US20070181149A1 - Single wafer backside wet clean - Google Patents

Single wafer backside wet clean Download PDF

Info

Publication number
US20070181149A1
US20070181149A1 US11/689,283 US68928307A US2007181149A1 US 20070181149 A1 US20070181149 A1 US 20070181149A1 US 68928307 A US68928307 A US 68928307A US 2007181149 A1 US2007181149 A1 US 2007181149A1
Authority
US
United States
Prior art keywords
platter
substrate
backside
top side
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/689,283
Inventor
Alexander Ko
Glen Egami
Billy Suber
Konstantin Smekalin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/891,849 external-priority patent/US7451774B2/en
Application filed by Individual filed Critical Individual
Priority to US11/689,283 priority Critical patent/US20070181149A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SUBER, BILLY DAVID, EGAMI, GLEN, KO, ALEXANDER SOU-KANG, SMEKALIN, KONSTANTIN
Publication of US20070181149A1 publication Critical patent/US20070181149A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B2203/00Details of cleaning machines or methods involving the use or presence of liquid or steam
    • B08B2203/02Details of machines or methods for cleaning by the force of jets or sprays
    • B08B2203/0288Ultra or megasonic jets
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • the present invention relates to the field of cleaning of a substrate surface and more particularly to the backside cleaning of a single semiconductor wafer.
  • particle removal is essential.
  • most particle removal processes focus on the device side of a wafer as contamination left on the device side can cause a malfunctioning device.
  • removing backside particles from a wafer is just as important.
  • Contamination left on the non-device side (backside) can also cause a number of problems.
  • Backside contamination can cause the photolithography step on the front side to be out of focus.
  • Contamination on the backside can cause contamination of the processing tools, which in turn can contaminate the front side of the wafer.
  • metallic contamination on the backside when present during a high temperature operation, can diffuse through the silicon wafer and contaminate the device side of the wafer and cause device defects.
  • Particles can be removed by chemical means or by mechanical means.
  • particles are usually removed by both a combination of mechanical means and chemical means.
  • the current state of the art is spray processing to clean the non-device side of a wafer.
  • a batch process that places a number of wafers into a bath filled with a liquid may be used.
  • high frequency (megasonic) irradiation may be applied to the liquid to enhance the cleaning process.
  • hydrophobic wafers tend to repel liquids. Consequently, backside cleaning of hydrophobic wafers with conventional methods of cleaning has not been effective or efficient.
  • a method for cleaning a backside of a substrate that includes placing the substrate parallel to a platter, wherein the backside of the substrate is facing the top side of the platter in a spaced apart relation, thus defining a gap therebetween and flowing a liquid through the platter and into continuous contact with the entire backside of the substrate and the top side of the platter.
  • a method for cleaning a backside of a substrate that includes placing the substrate parallel to a platter having a top side and a bottom side, wherein the backside of the substrate is spaced apart from the top side of the platter forming a gap between the backside of the substrate and the top side of the platter and filling the gap with a cleaning liquid provided through the platter.
  • a method for cleaning a backside of a substrate that includes placing the substrate parallel to a platter having a top side and a bottom side, wherein the backside of the substrate is facing the top side of the platter in a horizontal orientation. Then the backside of the substrate is spaced approximately 3.0 to 4.0 millimeters from the top side of the platter. Subsequently, a cleaning liquid is flowed through the platter into continuous contact with the entire backside of the substrate and the top side of the platter.
  • FIG. 1 is a sectional view of one embodiment of a wafer cleaning chamber
  • FIG. 2 is a partial sectional view of one embodiment of a center-section of a platter and a wafer having a flow of liquids therebetween;
  • FIG. 3 is a top plan view of one embodiment of a wafer holding bracket
  • FIG. 4 is a perspective view of the platter having a wafer disposed on a bracket.
  • FIG. 5 is a flow diagram of one embodiment of a sequence for cleaning the backside of a wafer.
  • a suitable process chamber includes the process chamber disclosed in U.S. application Ser. No. 09/891,849, filed on Jun. 25, 2001, which is incorporated by reference. Additional suitable process chambers include the TEMPESTTM family of chambers available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that other systems, including those available from other manufacturers may be utilized.
  • FIG. 1 is a sectional view of one embodiment of a single wafer cleaning chamber 100 .
  • the chamber 100 is configured to expose a non-device side 114 of a wafer 106 to cleaning, rinsing and drying chemicals.
  • the non-device side 114 of the wafer 106 is facing towards a through hole (feed port) 142 for exposure to cleaning chemicals provided from a chemical source 112 , while a device side 116 of the wafer 106 is facing away from feed port 142 .
  • a platter 108 to initiate a wafer process cycle, a platter 108 translates along an axis 145 a distance downward and a rotatable wafer holding bracket (bracket) 148 moves to a position to receive the wafer 106 .
  • a robot arm (not shown) holding the wafer 106 enters the interior of a chamber body 160 of the chamber 100 through an access door 158 and the wafer 106 is placed on the bracket 148 .
  • the platter 108 is then raised so as to position the wafer 106 in a spaced apart relation above the platter 108 .
  • platter 108 is circular, those skilled in the art will recognize that the geometry of platter 108 may be any geometry substantially similar in size to substrate 106 .
  • the wafer 106 resting in the bracket 148 in the process position, is parallel to the platter 108 and spaced a distance from the platter 108 , thereby creating a gap 102 .
  • the platter 108 is flat where it faces the wafer 106 and therefore, the distance separating the platter 108 and the wafer 106 is uniform.
  • the gap 102 between the wafer 106 and the platter 108 is set such that a liquid flowing through the gap 102 may contact both the platter 108 and backside of the substrate 106 .
  • the distance across the gap 102 may be directly correlated to the viscosity of a liquid, such as the cleaning chemicals provided from the chemical source 112 , used to clean the non-device side 114 of the wafer 106 .
  • the distance across gap 102 may be in the range of approximately 0.5-10.0 millimeters (mm) and preferably between 3.0-4.0 mm.
  • the wafer 106 when positioned in the bracket 148 can rest on three or more vertical support posts (posts) 110 of the bracket 148 .
  • the posts 110 can contain an elastomer pad (shown in FIG. 3 ) to contact the wafer 106 directly.
  • the wafer 106 may be rotated while cleaning chemicals are dispensed from below to contact the non-device side 114 of the wafer 106 .
  • Cleaning chemicals may be any type of chemicals used to clean wafers in semiconductor chip fabrication, such as for example, isopropyl alcohol, hydrofluoric acid, standard clean-1 solution (hydrogen peroxide, ammonium hydroxide and water), de-ionized water, any combination thereof or any other suitable cleaning fluids.
  • a tube 128 connects the chemical source 112 to the platter 108 .
  • the platter 108 has a feed port 142 through which cleaning chemicals delivered through the tube 128 are provided to the non-device side 114 of the wafer 106 .
  • feed port 142 may have a plurality of holes.
  • the platter 108 may be made of any porous material, such as for example, a sponge-like material.
  • platter 108 may be a static plate or a plate with megasonics, as disclosed in U.S. Patent Publication No. 2002/0029788, which is hereby incorporated by reference in its entirety.
  • a nozzle 117 may be positioned above the wafer surface over the outer half of the wafer 106 .
  • the nozzle 117 can apply a stream of inert gas 113 , such as Nitrogen (N 2 ) or additional cleaning fluids.
  • Cleaning chemicals placed between the wafer 106 and the platter 108 can be maintained in position by natural forces such as capillary action and surface tension.
  • a volume defined within the gap 102 and bounded at an edge 115 of the wafer 106 is substantially filled by the cleaning chemicals provided between the wafer 106 and the platter 108 . Consequently, the cleaning chemicals are in continuous contact with the entire non-device side 114 of the wafer 106 and a top side of the platter 108 .
  • full immersion of the wafer non-device side 114 is simulated.
  • a chemical flow rate required to maintain the cleaning chemicals against the non-device side 114 can be reduced during processing, resulting in less chemicals used for each process.
  • the reduction in chemicals also allows for economic use of single use chemicals.
  • the wafer rotation may be stopped allowing the wafer 106 to remain still while the cleaning chemicals contact the non-device side 114 of the wafer 106 .
  • the wafer 106 can be rotated, however, to wet out the non-device side 114 of the wafer 106 initially with the cleaning chemicals as well as for the rinse and dry cycles.
  • the wafer 106 may also be oscillated or vibrated.
  • FIG. 2 is an illustration of one embodiment of the center section of the platter 108 and the wafer 106 having a flow of cleaning chemicals therebetween.
  • the platter 108 has a topside 217 and a bottom side 219 .
  • the platter topside 217 faces the non-device side 114 of the wafer 106 .
  • the platter 108 may be able to translate along rotational axis 145 to increase the distance across the gap 102 during wafer rinse and/or dry cycles.
  • the robot arm (not shown) can place the wafer 106 in the bracket 148 such that the wafer device side 116 is facing up and away from the platter 108 . When placed in the bracket 148 , the wafer 106 can be centered over, and held substantially parallel to, the platter 108 to create the gap 102 .
  • the distance across the gap 102 may be approximately between 3.0-4.0 mm, but may fall within a larger range of approximately 0.5-10.0 mm.
  • Positioned beneath the platter 108 can be an electric motor (not shown) for rotating the bracket 148 .
  • a through hole (not shown) can exist in the electric motor through which wiring may be passed from the platter 108 as well as a tube 128 for transferring cleaning chemicals from the chemical source 112 to the feed port 142 .
  • bracket 148 rotates wafer 106 while the cleaning chemicals are applied from below such that the chemicals are in simultaneous and continuous contact with the platter 108 and the entire non-device side 114 of the wafer 106 .
  • the cleaning chemicals substantially fill the volume created by the gap 102 to the edge 115 of the wafer 106 .
  • the wafer 106 may be rotated at a selected revolution per minute (rpm) about an axis 145 that runs through the pivot point of the bracket 148 . Additionally, to optimize any particular cycle, the wafer spin rate may be stopped or varied by changing the power setting.
  • the bracket 148 powered by the motor (not shown), can rotate the wafer 106 during cleaning operations at an rpm of approximately between 0-1000 rpm and during the dry and rinse cycles at an rpm of greater than 250 rpm, wherein a range of approximately between 250-6000 rpm is preferable.
  • FIG. 3 illustrates a top plan view of one embodiment of the rotatable wafer holding bracket 148 .
  • FIG. 4 illustrates a perspective view of the bracket 148 holding the wafer 106 . Both views will be discussed simultaneously for the purpose of clarity.
  • the wafer 106 (shown in dashed line) can be held in place by the bracket 148 to position the wafer 106 parallel to and near the platter (not shown for clarity).
  • the bracket 148 can hold the wafer 106 by gravity at four points 409 and 409 ′ along the edge 115 such that the device side 116 and the non-device side 114 of wafer 106 are clear of the bracket structure and fully exposed to cleaning/rinsing liquids.
  • the number of contact points 409 and 409 ′ between the bracket 148 and the wafer 106 may be at least three.
  • the portion of the bracket 148 in contact with the wafer 106 can be made with an elastomeric material such as a plastic or rubber to friction grip the wafer 106 during the start and stop phases of rotation.
  • the contact points are O-rings that are positioned at the ends of bracket support posts (posts) 411 .
  • FIG. 5 is a flow diagram illustrating one embodiment of a method 500 for cleaning the backside of a substrate.
  • the method 500 is described in conjunction with chamber 100 of FIG. 1 , as an example for clarity, but one skilled in the art will recognize that method 500 may be practiced on other systems.
  • the method 500 begins at step 510 by placing the substrate 106 in the chamber 100 .
  • Substrate 106 such as a semiconductor wafer, is placed on bracket 148 at a distance from the platter 108 . In one embodiment, the substrate 106 is placed horizontally and parallel to the platter 108 .
  • step 520 the height of bracket 148 is adjusted such that the substrate 106 may be set at an appropriate distance from platter 108 .
  • the distance between the non-device side 114 of substrate 106 and the top side of platter 108 form the gap 102 .
  • the appropriate distance across the gap 102 may be set in response to the viscosity of the cleaning chemicals.
  • the distance across the gap 102 may be in the range of 0.5-10.0 mm and more preferably in the range of 3.0-4.0 mm.
  • the method 500 proceeds by flowing a liquid, such as cleaning chemicals provided by chemical source 112 , through platter 108 to fill gap 102 .
  • a liquid such as cleaning chemicals provided by chemical source 112
  • the distance across the gap 102 measured from the non-device side 114 of substrate 106 to the top side of platter 108 , is such that the flow of liquid through platter 108 substantially fills the volume created by gap 102 to the edge 115 of the substrate 106 .
  • the liquid is in continuous contact with the entire non-device side 114 of the substrate 106 and the top side of platter 108 .
  • the non-device side 114 of the substrate 106 is cleaned by simulating full immersion of the substrate 106 .
  • Such a result is advantageous for certain substrates such as, for example, hydrophobic wafers that tend to repel liquids away from the wafer surface, and because less cleaning fluids are required compared to full immersion techniques.
  • the bracket 148 holding the substrate may be rotated while flowing liquid through platter 108 .
  • Rotating bracket 148 helps to achieve more uniform coverage of the liquid on the non-device side 114 of substrate 106 .

Abstract

A method and apparatus for cleaning a backside of a substrate is disclosed. The method includes placing the substrate parallel to a platter, wherein the backside of the substrate is facing a top side of the platter in a spaced apart relation, thus defining a gap therebetween. Subsequently, a liquid is flowed through the platter and into continuous contact with the entire backside of the substrate and the top side of the platter.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of U.S. patent application Ser. No. 09/891,849, filed on Jun. 25, 2001, which is a continuation-in-part of U.S. patent application Ser. No. 09/603,792, filed on Jun. 26, 2000, both of which are hereby incorporated by reference in their entireties. Priority to both U.S. patent application Ser. Nos. 09/891,849 and 09/603,792 are claimed.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to the field of cleaning of a substrate surface and more particularly to the backside cleaning of a single semiconductor wafer.
  • 2. Background of the Related Art
  • In semiconductor substrate (wafer, substrate or other workpeice) cleaning, particle removal is essential. Generally, most particle removal processes focus on the device side of a wafer as contamination left on the device side can cause a malfunctioning device. However, removing backside particles from a wafer is just as important. Contamination left on the non-device side (backside) can also cause a number of problems. Backside contamination can cause the photolithography step on the front side to be out of focus. Contamination on the backside can cause contamination of the processing tools, which in turn can contaminate the front side of the wafer. Additionally, metallic contamination on the backside, when present during a high temperature operation, can diffuse through the silicon wafer and contaminate the device side of the wafer and cause device defects.
  • Particles can be removed by chemical means or by mechanical means. In current state of the art, particles are usually removed by both a combination of mechanical means and chemical means. The current state of the art is spray processing to clean the non-device side of a wafer. Alternatively, a batch process that places a number of wafers into a bath filled with a liquid may be used. Optionally, high frequency (megasonic) irradiation may be applied to the liquid to enhance the cleaning process.
  • In addition, some semiconductor device fabrications utilize hydrophobic wafers. Hydrophobic wafers tend to repel liquids. Consequently, backside cleaning of hydrophobic wafers with conventional methods of cleaning has not been effective or efficient. Currently, there are no effective single wafer cleaning techniques that are able to sufficiently clean both sides of a hydrophobic wafer simultaneously.
  • Therefore, there remains a need for a more effective and efficient process suitable for a single wafer back-side cleaning.
  • SUMMARY OF THE INVENTION
  • Methods are disclosed for cleaning a backside of a substrate in a single substrate cleaning tool. In one embodiment, a method is provided for cleaning a backside of a substrate that includes placing the substrate parallel to a platter, wherein the backside of the substrate is facing the top side of the platter in a spaced apart relation, thus defining a gap therebetween and flowing a liquid through the platter and into continuous contact with the entire backside of the substrate and the top side of the platter.
  • In another embodiment, a method is provided for cleaning a backside of a substrate that includes placing the substrate parallel to a platter having a top side and a bottom side, wherein the backside of the substrate is spaced apart from the top side of the platter forming a gap between the backside of the substrate and the top side of the platter and filling the gap with a cleaning liquid provided through the platter.
  • In another embodiment, a method is provided for cleaning a backside of a substrate that includes placing the substrate parallel to a platter having a top side and a bottom side, wherein the backside of the substrate is facing the top side of the platter in a horizontal orientation. Then the backside of the substrate is spaced approximately 3.0 to 4.0 millimeters from the top side of the platter. Subsequently, a cleaning liquid is flowed through the platter into continuous contact with the entire backside of the substrate and the top side of the platter.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a sectional view of one embodiment of a wafer cleaning chamber;
  • FIG. 2 is a partial sectional view of one embodiment of a center-section of a platter and a wafer having a flow of liquids therebetween;
  • FIG. 3 is a top plan view of one embodiment of a wafer holding bracket;
  • FIG. 4 is a perspective view of the platter having a wafer disposed on a bracket; and
  • FIG. 5 is a flow diagram of one embodiment of a sequence for cleaning the backside of a wafer.
  • To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. It is contemplated that features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Aspects of the invention will be described below in reference to a process chamber that can process either or both a top and bottom side of a single substrate or wafer in chip processing. It is to be noted, that hereinafter substrate and wafer may be used interchangeably. A suitable process chamber includes the process chamber disclosed in U.S. application Ser. No. 09/891,849, filed on Jun. 25, 2001, which is incorporated by reference. Additional suitable process chambers include the TEMPEST™ family of chambers available from Applied Materials, Inc., of Santa Clara, Calif. It is contemplated that other systems, including those available from other manufacturers may be utilized.
  • FIG. 1 is a sectional view of one embodiment of a single wafer cleaning chamber 100. The chamber 100 is configured to expose a non-device side 114 of a wafer 106 to cleaning, rinsing and drying chemicals. In one embodiment, the non-device side 114 of the wafer 106 is facing towards a through hole (feed port) 142 for exposure to cleaning chemicals provided from a chemical source 112, while a device side 116 of the wafer 106 is facing away from feed port 142.
  • In one embodiment, to initiate a wafer process cycle, a platter 108 translates along an axis 145 a distance downward and a rotatable wafer holding bracket (bracket) 148 moves to a position to receive the wafer 106. A robot arm (not shown) holding the wafer 106 enters the interior of a chamber body 160 of the chamber 100 through an access door 158 and the wafer 106 is placed on the bracket 148. The platter 108 is then raised so as to position the wafer 106 in a spaced apart relation above the platter 108. Although in the present exemplary embodiment platter 108 is circular, those skilled in the art will recognize that the geometry of platter 108 may be any geometry substantially similar in size to substrate 106.
  • In one embodiment, the wafer 106, resting in the bracket 148 in the process position, is parallel to the platter 108 and spaced a distance from the platter 108, thereby creating a gap 102. The platter 108 is flat where it faces the wafer 106 and therefore, the distance separating the platter 108 and the wafer 106 is uniform. The gap 102 between the wafer 106 and the platter 108 is set such that a liquid flowing through the gap 102 may contact both the platter 108 and backside of the substrate 106. In one embodiment, the distance across the gap 102 may be directly correlated to the viscosity of a liquid, such as the cleaning chemicals provided from the chemical source 112, used to clean the non-device side 114 of the wafer 106. The distance across gap 102 may be in the range of approximately 0.5-10.0 millimeters (mm) and preferably between 3.0-4.0 mm.
  • In one embodiment, the wafer 106 when positioned in the bracket 148 can rest on three or more vertical support posts (posts) 110 of the bracket 148. The posts 110 can contain an elastomer pad (shown in FIG. 3) to contact the wafer 106 directly. The wafer 106 may be rotated while cleaning chemicals are dispensed from below to contact the non-device side 114 of the wafer 106. Cleaning chemicals may be any type of chemicals used to clean wafers in semiconductor chip fabrication, such as for example, isopropyl alcohol, hydrofluoric acid, standard clean-1 solution (hydrogen peroxide, ammonium hydroxide and water), de-ionized water, any combination thereof or any other suitable cleaning fluids.
  • A tube 128 connects the chemical source 112 to the platter 108. The platter 108 has a feed port 142 through which cleaning chemicals delivered through the tube 128 are provided to the non-device side 114 of the wafer 106. Although only a single hole in feed port 142 is shown in the present embodiment, platter 108 may have a plurality of holes. Moreover, the platter 108 may be made of any porous material, such as for example, a sponge-like material. In addition, platter 108 may be a static plate or a plate with megasonics, as disclosed in U.S. Patent Publication No. 2002/0029788, which is hereby incorporated by reference in its entirety.
  • In addition, a nozzle 117 may be positioned above the wafer surface over the outer half of the wafer 106. The nozzle 117 can apply a stream of inert gas 113, such as Nitrogen (N2) or additional cleaning fluids.
  • Cleaning chemicals placed between the wafer 106 and the platter 108 can be maintained in position by natural forces such as capillary action and surface tension. A volume defined within the gap 102 and bounded at an edge 115 of the wafer 106 is substantially filled by the cleaning chemicals provided between the wafer 106 and the platter 108. Consequently, the cleaning chemicals are in continuous contact with the entire non-device side 114 of the wafer 106 and a top side of the platter 108. Thus, full immersion of the wafer non-device side 114 is simulated.
  • Furthermore, a chemical flow rate required to maintain the cleaning chemicals against the non-device side 114 can be reduced during processing, resulting in less chemicals used for each process. The reduction in chemicals also allows for economic use of single use chemicals. During the cleaning portion of the process, the wafer rotation may be stopped allowing the wafer 106 to remain still while the cleaning chemicals contact the non-device side 114 of the wafer 106. The wafer 106 can be rotated, however, to wet out the non-device side 114 of the wafer 106 initially with the cleaning chemicals as well as for the rinse and dry cycles. The wafer 106 may also be oscillated or vibrated.
  • FIG. 2 is an illustration of one embodiment of the center section of the platter 108 and the wafer 106 having a flow of cleaning chemicals therebetween. The platter 108 has a topside 217 and a bottom side 219. The platter topside 217 faces the non-device side 114 of the wafer 106. The platter 108 may be able to translate along rotational axis 145 to increase the distance across the gap 102 during wafer rinse and/or dry cycles. The robot arm (not shown) can place the wafer 106 in the bracket 148 such that the wafer device side 116 is facing up and away from the platter 108. When placed in the bracket 148, the wafer 106 can be centered over, and held substantially parallel to, the platter 108 to create the gap 102. The distance across the gap 102 may be approximately between 3.0-4.0 mm, but may fall within a larger range of approximately 0.5-10.0 mm. Positioned beneath the platter 108 can be an electric motor (not shown) for rotating the bracket 148. A through hole (not shown) can exist in the electric motor through which wiring may be passed from the platter 108 as well as a tube 128 for transferring cleaning chemicals from the chemical source 112 to the feed port 142.
  • In one exemplary embodiment of the present method, bracket 148 rotates wafer 106 while the cleaning chemicals are applied from below such that the chemicals are in simultaneous and continuous contact with the platter 108 and the entire non-device side 114 of the wafer 106. As depicted by arrows 202, the cleaning chemicals substantially fill the volume created by the gap 102 to the edge 115 of the wafer 106.
  • During the cleaning, rinse and dry cycles, the wafer 106 may be rotated at a selected revolution per minute (rpm) about an axis 145 that runs through the pivot point of the bracket 148. Additionally, to optimize any particular cycle, the wafer spin rate may be stopped or varied by changing the power setting. In one embodiment, the bracket 148, powered by the motor (not shown), can rotate the wafer 106 during cleaning operations at an rpm of approximately between 0-1000 rpm and during the dry and rinse cycles at an rpm of greater than 250 rpm, wherein a range of approximately between 250-6000 rpm is preferable.
  • FIG. 3 illustrates a top plan view of one embodiment of the rotatable wafer holding bracket 148. FIG. 4 illustrates a perspective view of the bracket 148 holding the wafer 106. Both views will be discussed simultaneously for the purpose of clarity. The wafer 106 (shown in dashed line) can be held in place by the bracket 148 to position the wafer 106 parallel to and near the platter (not shown for clarity). Initially, the bracket 148 can hold the wafer 106 by gravity at four points 409 and 409′ along the edge 115 such that the device side 116 and the non-device side 114 of wafer 106 are clear of the bracket structure and fully exposed to cleaning/rinsing liquids. The number of contact points 409 and 409′ between the bracket 148 and the wafer 106 may be at least three. The portion of the bracket 148 in contact with the wafer 106 can be made with an elastomeric material such as a plastic or rubber to friction grip the wafer 106 during the start and stop phases of rotation. In one embodiment, the contact points are O-rings that are positioned at the ends of bracket support posts (posts) 411.
  • FIG. 5 is a flow diagram illustrating one embodiment of a method 500 for cleaning the backside of a substrate. The method 500 is described in conjunction with chamber 100 of FIG. 1, as an example for clarity, but one skilled in the art will recognize that method 500 may be practiced on other systems. The method 500 begins at step 510 by placing the substrate 106 in the chamber 100. Substrate 106, such as a semiconductor wafer, is placed on bracket 148 at a distance from the platter 108. In one embodiment, the substrate 106 is placed horizontally and parallel to the platter 108.
  • Once the substrate 106 is properly placed in chamber 100, the process proceeds to step 520 where the height of bracket 148 is adjusted such that the substrate 106 may be set at an appropriate distance from platter 108. The distance between the non-device side 114 of substrate 106 and the top side of platter 108 form the gap 102.
  • As discussed above in an exemplary embodiment, the appropriate distance across the gap 102 may be set in response to the viscosity of the cleaning chemicals. The higher the viscosity of the cleaning chemicals, a larger distance across the gap 102 may be used. Likewise, the lower the viscosity of the cleaning chemicals, a shorter distance across the gap 102 must be used. Using a 300 mm substrate and typical backside wafer cleaning chemicals such as, for example, isopropyl alcohol, standard clean-1 (i.e. hydrogen peroxide, ammonium hydroxide and water), de-ionized water, or any combination thereof, the distance across the gap 102 may be in the range of 0.5-10.0 mm and more preferably in the range of 3.0-4.0 mm.
  • At step 530, the method 500 proceeds by flowing a liquid, such as cleaning chemicals provided by chemical source 112, through platter 108 to fill gap 102. As discussed above, the distance across the gap 102, measured from the non-device side 114 of substrate 106 to the top side of platter 108, is such that the flow of liquid through platter 108 substantially fills the volume created by gap 102 to the edge 115 of the substrate 106. By filling the volume created by gap 102 to the edge 115 of the substrate 106 with liquid, the liquid is in continuous contact with the entire non-device side 114 of the substrate 106 and the top side of platter 108. As a result, the non-device side 114 of the substrate 106 is cleaned by simulating full immersion of the substrate 106. Such a result is advantageous for certain substrates such as, for example, hydrophobic wafers that tend to repel liquids away from the wafer surface, and because less cleaning fluids are required compared to full immersion techniques.
  • At step 540, the bracket 148 holding the substrate may be rotated while flowing liquid through platter 108. Rotating bracket 148 helps to achieve more uniform coverage of the liquid on the non-device side 114 of substrate 106.
  • While the foregoing is directed to the exemplary aspects of the invention, other and further aspects of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (21)

1. A method for cleaning a backside of a substrate, comprising:
placing a substrate parallel to a platter, wherein a backside of the substrate is facing a top side of the platter in a spaced apart relation, thus defining a gap therebetween; and
flowing a liquid through the platter and into continuous contact with the top side of the platter and the entire backside of the substrate.
2. The method of claim 1, further comprising:
holding the substrate in a bracket above the platter; and
rotating the bracket while simultaneously performing the flowing step.
3. The method of claim 1, wherein the placing step further comprises placing the substrate parallel to the platter in a horizontal orientation.
4. The method of claim 1, wherein the substrate comprises a hydrophobic semiconductor wafer.
5. The method of claim 1, wherein the platter comprises a porous material.
6. The method of claim 1 further comprising setting a distance across the gap in response to a viscosity of the liquid.
7. The method of claim 1, wherein a distance across the gap defined between the backside of the substrate and the top side of the platter is approximately 3.0 to 4.0 millimeters.
8. The method of claim 1, wherein the liquid comprises at least one of isopropyl alcohol, hydrogen peroxide, ammonium hydroxide, water or de-ionized water.
9. A method for cleaning a backside of a substrate, comprising:
placing a substrate parallel to a platter having a top side and a bottom side, wherein a backside of the substrate is spaced apart from the top side of the platter, thereby forming a gap between the backside of the substrate and the top side of the platter; and
filling the gap with a cleaning liquid provided through the platter.
10. The method of claim 9, further comprising:
rotating the substrate while the cleaning liquid is in the gap.
11. The method of claim 9, wherein the placing step further comprises placing the substrate in a horizontal orientation above the platter.
12. The method of claim 9, wherein the substrate comprises a hydrophobic semiconductor wafer.
13. The method of claim 9, wherein the platter comprises a porous material.
14. The method of claim 9 further comprising setting a distance across the gap in response to a viscosity of the cleaning liquid.
15. The method of claim 9, wherein a distance across the gap between the backside of the substrate and the top side of the platter is approximately 3.0 to 4.0 millimeters.
16. The method of claim 9, wherein the cleaning liquid comprises at least one of isopropyl alcohol, hydrogen peroxide, ammonium hydroxide, water or de-ionized water.
17. A method for cleaning a backside of a substrate, comprising:
placing a substrate parallel to a platter having a top side and a bottom side, wherein the backside of the substrate is facing the top side of the platter in a horizontal orientation;
spacing the backside of the substrate approximately 3.0 to 4.0 millimeters from the top side of the platter; and
flowing a cleaning liquid through the platter into continuous contact with the entire backside of the substrate and the top side of the platter.
18. The method of claim 17, further comprising:
rotating the substrate while flowing the cleaning liquid into contact with the substrate.
19. The method of claim 18, further comprising:
stopping the flow of cleaning liquid.
20. The method of claim 19, further comprising:
increasing a rotating speed of the substrate after stopping the flow of cleaning liquid.
21. The method of claim 20, further comprising:
increasing the spacing between the substrate and the top side of the platter after stopping the flow of cleaning liquid.
US11/689,283 2000-06-26 2007-03-21 Single wafer backside wet clean Abandoned US20070181149A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/689,283 US20070181149A1 (en) 2000-06-26 2007-03-21 Single wafer backside wet clean

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60379200A 2000-06-26 2000-06-26
US09/891,849 US7451774B2 (en) 2000-06-26 2001-06-25 Method and apparatus for wafer cleaning
US11/689,283 US20070181149A1 (en) 2000-06-26 2007-03-21 Single wafer backside wet clean

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/891,849 Continuation-In-Part US7451774B2 (en) 2000-06-26 2001-06-25 Method and apparatus for wafer cleaning

Publications (1)

Publication Number Publication Date
US20070181149A1 true US20070181149A1 (en) 2007-08-09

Family

ID=27084514

Family Applications (14)

Application Number Title Priority Date Filing Date
US11/497,492 Abandoned US20060260644A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,410 Abandoned US20060260661A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,193 Expired - Fee Related US7819985B2 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,936 Abandoned US20060260659A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,405 Abandoned US20060260643A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,895 Abandoned US20060260642A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,828 Abandoned US20060266392A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,935 Abandoned US20060266393A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,937 Expired - Fee Related US7334588B2 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,907 Abandoned US20060266387A1 (en) 2000-06-26 2006-08-01 Method and apparatus for wafer cleaning
US11/689,283 Abandoned US20070181149A1 (en) 2000-06-26 2007-03-21 Single wafer backside wet clean
US11/977,896 Abandoned US20080047582A1 (en) 2000-06-26 2007-10-26 Method and apparatus for wafer cleaning
US11/977,818 Abandoned US20080083437A1 (en) 2000-06-26 2007-10-26 Method and apparatus for wafer cleaning
US11/977,972 Abandoned US20080083436A1 (en) 2000-06-26 2007-10-26 Method and apparatus for wafer cleaning

Family Applications Before (10)

Application Number Title Priority Date Filing Date
US11/497,492 Abandoned US20060260644A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,410 Abandoned US20060260661A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,193 Expired - Fee Related US7819985B2 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,936 Abandoned US20060260659A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,405 Abandoned US20060260643A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,895 Abandoned US20060260642A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,828 Abandoned US20060266392A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,935 Abandoned US20060266393A1 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/496,937 Expired - Fee Related US7334588B2 (en) 2000-06-26 2006-07-31 Method and apparatus for wafer cleaning
US11/497,907 Abandoned US20060266387A1 (en) 2000-06-26 2006-08-01 Method and apparatus for wafer cleaning

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/977,896 Abandoned US20080047582A1 (en) 2000-06-26 2007-10-26 Method and apparatus for wafer cleaning
US11/977,818 Abandoned US20080083437A1 (en) 2000-06-26 2007-10-26 Method and apparatus for wafer cleaning
US11/977,972 Abandoned US20080083436A1 (en) 2000-06-26 2007-10-26 Method and apparatus for wafer cleaning

Country Status (5)

Country Link
US (14) US20060260644A1 (en)
EP (1) EP1295314A2 (en)
JP (1) JP2004515053A (en)
AU (1) AU2001270205A1 (en)
WO (1) WO2002001613A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080236615A1 (en) * 2007-03-28 2008-10-02 Mimken Victor B Method of processing wafers in a sequential fashion
US8734593B2 (en) * 2010-03-30 2014-05-27 Dainippon Screen Mfg. Co., Ltd. Substrate treatment apparatus and substrate treatment method
US11508610B2 (en) 2018-04-19 2022-11-22 Applied Materials, Inc. Substrate support with edge seal

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004515053A (en) * 2000-06-26 2004-05-20 アプライド マテリアルズ インコーポレイテッド Wafer cleaning method and apparatus
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
US7234477B2 (en) 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7000622B2 (en) 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US7584761B1 (en) 2000-06-30 2009-09-08 Lam Research Corporation Wafer edge surface treatment with liquid meniscus
US7153400B2 (en) 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US8236382B2 (en) 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US7997288B2 (en) 2002-09-30 2011-08-16 Lam Research Corporation Single phase proximity head having a controlled meniscus for treating a substrate
US7367345B1 (en) 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US6954993B1 (en) 2002-09-30 2005-10-18 Lam Research Corporation Concentric proximity processing head
US7293571B2 (en) 2002-09-30 2007-11-13 Lam Research Corporation Substrate proximity processing housing and insert for generating a fluid meniscus
US7093375B2 (en) 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US7389783B2 (en) 2002-09-30 2008-06-24 Lam Research Corporation Proximity meniscus manifold
US7614411B2 (en) 2002-09-30 2009-11-10 Lam Research Corporation Controls of ambient environment during wafer drying using proximity head
US7045018B2 (en) 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US6988327B2 (en) 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7513262B2 (en) 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
EP1500128B1 (en) * 2002-09-30 2007-06-20 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
US7069937B2 (en) 2002-09-30 2006-07-04 Lam Research Corporation Vertical proximity processor
US7383843B2 (en) 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7198055B2 (en) * 2002-09-30 2007-04-03 Lam Research Corporation Meniscus, vacuum, IPA vapor, drying manifold
US7632376B1 (en) 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US6880560B2 (en) * 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
US7675000B2 (en) 2003-06-24 2010-03-09 Lam Research Corporation System method and apparatus for dry-in, dry-out, low defect laser dicing using proximity technology
US8062471B2 (en) 2004-03-31 2011-11-22 Lam Research Corporation Proximity head heating method and apparatus
JP2006173378A (en) * 2004-12-16 2006-06-29 Dainippon Screen Mfg Co Ltd Board treatment device and board treatment method
JP4407944B2 (en) 2004-12-21 2010-02-03 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
US20060201532A1 (en) * 2005-03-14 2006-09-14 Applied Materials, Inc. Semiconductor substrate cleaning system
WO2007085022A2 (en) * 2006-01-20 2007-07-26 Akrion Technologies, Inc. System, apparatus and methods for processing substrates using acoustic energy
US9987666B2 (en) 2006-01-20 2018-06-05 Naura Akrion Inc. Composite transducer apparatus and system for processing a substrate and method of constructing the same
US9049520B2 (en) 2006-01-20 2015-06-02 Akrion Systems Llc Composite transducer apparatus and system for processing a substrate and method of constructing the same
KR101369197B1 (en) 2006-01-20 2014-03-27 아크리온 테크놀로지즈 인코포레이티드 Acoustic energy system, method and apparatus for processing flat articles
US7928366B2 (en) 2006-10-06 2011-04-19 Lam Research Corporation Methods of and apparatus for accessing a process chamber using a dual zone gas injector with improved optical access
US8813764B2 (en) 2009-05-29 2014-08-26 Lam Research Corporation Method and apparatus for physical confinement of a liquid meniscus over a semiconductor wafer
WO2008070295A2 (en) * 2006-10-17 2008-06-12 Akrion Technologies, Inc. System and method for the sonic-assisted cleaning of substrates utilizing a sonic-treated liquid
US8146902B2 (en) 2006-12-21 2012-04-03 Lam Research Corporation Hybrid composite wafer carrier for wet clean equipment
US20080156360A1 (en) * 2006-12-26 2008-07-03 Applied Materials, Inc. Horizontal megasonic module for cleaning substrates
US7975708B2 (en) 2007-03-30 2011-07-12 Lam Research Corporation Proximity head with angled vacuum conduit system, apparatus and method
US8464736B1 (en) 2007-03-30 2013-06-18 Lam Research Corporation Reclaim chemistry
US8141566B2 (en) 2007-06-19 2012-03-27 Lam Research Corporation System, method and apparatus for maintaining separation of liquids in a controlled meniscus
US8960129B2 (en) * 2007-11-19 2015-02-24 United Pet Group, Inc. Toothed pet grooming tool with fur ejecting mechanism
US20090173358A1 (en) * 2008-01-09 2009-07-09 Micron Technology, Inc. Megasonic cleaning with controlled boundary layer thickness and associated systems and methods
US20090255555A1 (en) * 2008-04-14 2009-10-15 Blakely, Sokoloff, Taylor & Zafman Advanced cleaning process using integrated momentum transfer and controlled cavitation
US8492288B2 (en) 2008-06-10 2013-07-23 Micron Technology, Inc. Methods of treating semiconductor substrates, methods of forming openings during semiconductor fabrication, and methods of removing particles from over semiconductor substrates
US20100028813A1 (en) * 2008-08-04 2010-02-04 Banqiu Wu Backside cleaning of substrate
US7908902B2 (en) * 2008-10-16 2011-03-22 Emitech, Inc Amplified sensitivity of porous chemosensors based on bernoulli effect
US20100104953A1 (en) * 2008-10-24 2010-04-29 Papanu James S Process and hardware for plasma treatments
JP5648047B2 (en) * 2009-03-31 2015-01-07 エーシーエム リサーチ (シャンハイ) インコーポレーテッド Semiconductor wafer cleaning method and cleaning apparatus
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9108232B2 (en) * 2009-10-28 2015-08-18 Megasonic Sweeping, Incorporated Megasonic multifrequency apparatus with matched transducers and mounting plate
US9138784B1 (en) * 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
DE102010028883A1 (en) * 2010-05-11 2011-11-17 Dürr Ecoclean GmbH process vessels
JP5306300B2 (en) * 2010-09-15 2013-10-02 株式会社東芝 Film forming apparatus and film forming method
KR20160105948A (en) * 2010-11-08 2016-09-07 오메로스 코포레이션 Treatment of addiction and impulse-control disorders using pde7 inhibitors
EP3442006A3 (en) 2011-01-25 2019-02-20 EV Group E. Thallner GmbH Method for the permanent bonding of wafers
JP2014516470A (en) 2011-04-08 2014-07-10 エーファウ・グループ・エー・タルナー・ゲーエムベーハー Method for permanently bonding a wafer
CN103460342B (en) 2011-04-08 2016-12-07 Ev 集团 E·索尔纳有限责任公司 The permanent adhesive method of wafer
JP6061484B2 (en) 2012-03-27 2017-01-18 株式会社Screenセミコンダクターソリューションズ Substrate cleaning apparatus and substrate processing apparatus having the same
RU2524603C2 (en) * 2012-06-27 2014-07-27 Михаил Олегович Мамонтов Method of surface hydrocavitation cleaning and device to this end
US10043653B2 (en) * 2012-08-27 2018-08-07 Taiwan Semiconductor Manufacturing Company Maranagoni dry with low spin speed for charging release
US9147593B2 (en) * 2012-10-10 2015-09-29 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles
US9127884B2 (en) * 2012-12-04 2015-09-08 Eastman Kodak Company Acoustic drying system with interspersed exhaust channels
US9691641B2 (en) 2012-12-13 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of cleaning wafers
US8943706B2 (en) * 2013-01-18 2015-02-03 Eastman Kodak Company Acoustic wave drying method
US9117760B2 (en) * 2013-01-30 2015-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for energized and pressurized liquids for cleaning/etching applications in semiconductor manufacturing
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
JP6373803B2 (en) * 2015-06-23 2018-08-15 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
CA2946415C (en) * 2015-11-11 2023-11-07 Engineered Abrasives, Inc. Part processing and cleaning apparatus and method of same
EP3515611A4 (en) * 2016-09-19 2020-05-13 ACM Research (Shanghai) Inc. Methods and apparatus for cleaning substrates
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
KR20190086859A (en) 2018-01-15 2019-07-24 삼성전자주식회사 Substrate Support Mechanism And Substrate Cleaning Device Including The Same
KR102517890B1 (en) * 2021-02-05 2023-04-04 에스케이실트론 주식회사 Demount stage for wafer and wafer polishing apparatus including the same
JP7391450B2 (en) * 2021-03-30 2023-12-05 ▲騰▼▲訊▼科技(深▲セン▼)有限公司 Photoresist removal method and photoresist removal system
CN113600545B (en) * 2021-10-08 2021-12-10 智程半导体设备科技(昆山)有限公司 Wafer spraying type cleaning device

Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4350562A (en) * 1980-07-23 1982-09-21 Siemens Aktiengesellschaft Method for etching semiconductor wafers on one side
US4401131A (en) * 1981-05-15 1983-08-30 Gca Corporation Apparatus for cleaning semiconductor wafers
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US4736130A (en) * 1987-01-09 1988-04-05 Puskas William L Multiparameter generator for ultrasonic transducers
US4804007A (en) * 1987-04-29 1989-02-14 Verteq, Inc. Cleaning apparatus
US4979994A (en) * 1989-04-06 1990-12-25 Branson Ultrasonics Corporation Method and apparatus for cleaning by ultrasonic wave energy
US5158100A (en) * 1989-05-06 1992-10-27 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefor
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5379785A (en) * 1991-10-09 1995-01-10 Mitsubishi Denki Kabushiki Kaisha Cleaning apparatus
US5645474A (en) * 1995-11-30 1997-07-08 Rodel Nitta Company Workpiece retaining device and method for producing the same
US5834871A (en) * 1996-08-05 1998-11-10 Puskas; William L. Apparatus and methods for cleaning and/or processing delicate parts
US5865199A (en) * 1997-10-31 1999-02-02 Pedziwiatr; Michael P. Ultrasonic cleaning apparatus
US5909741A (en) * 1997-06-20 1999-06-08 Ferrell; Gary W. Chemical bath apparatus
US5979475A (en) * 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5996601A (en) * 1996-06-28 1999-12-07 International Business Machines Corporation Polymer protected component
US6016821A (en) * 1996-09-24 2000-01-25 Puskas; William L. Systems and methods for ultrasonically processing delicate parts
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6021789A (en) * 1998-11-10 2000-02-08 International Business Machines Corporation Wafer cleaning system with progressive megasonic wave
US6036785A (en) * 1997-05-02 2000-03-14 Ferrell; Gary W. Method for removing chemical residues from a surface
US6115867A (en) * 1997-08-18 2000-09-12 Tokyo Electron Limited Apparatus for cleaning both sides of substrate
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6276370B1 (en) * 1999-06-30 2001-08-21 International Business Machines Corporation Sonic cleaning with an interference signal
US6313565B1 (en) * 2000-02-15 2001-11-06 William L. Puskas Multiple frequency cleaning system
US6315836B1 (en) * 1998-07-13 2001-11-13 Kokusai Electric Co., Ltd. Clean, recirculating processing method which prevents surface contamination of an object
US6334902B1 (en) * 1997-09-24 2002-01-01 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for removing a liquid from a surface
US20020029788A1 (en) * 2000-06-26 2002-03-14 Applied Materials, Inc. Method and apparatus for wafer cleaning
US6374836B1 (en) * 1997-10-22 2002-04-23 Hitachi, Ltd. Apparatus for treating plate type part with fluid
US6431184B1 (en) * 1997-08-05 2002-08-13 Tokyo Electron Limited Apparatus and method for washing substrate
US6460552B1 (en) * 1998-10-05 2002-10-08 Lorimer D'arcy H. Method and apparatus for cleaning flat workpieces
US20020157685A1 (en) * 2000-09-11 2002-10-31 Naoya Hayamizu Washing method, method of manufacturing semiconductor device and method of manufacturing active matrix-type display device
US6505634B2 (en) * 2001-03-23 2003-01-14 Will Be S & T Co., Ltd. Semiconductor wafer cleaning apparatus
US6539952B2 (en) * 2000-04-25 2003-04-01 Solid State Equipment Corp. Megasonic treatment apparatus
US6595224B2 (en) * 2001-06-20 2003-07-22 P.C.T. Systems, Inc. Bath system with sonic transducers on vertical and angled walls
US7334588B2 (en) * 2000-06-26 2008-02-26 Applied Materials, Inc. Method and apparatus for wafer cleaning

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3182671A (en) * 1962-12-19 1965-05-11 North American Aviation Inc Etching apparatus
DE8437288U1 (en) * 1984-12-20 1985-03-21 Skf Kugellagerfabriken Gmbh, 8720 Schweinfurt Sealing arrangement for bearing bushes, in particular for universal joints
JPH03258381A (en) 1990-03-07 1991-11-18 Shimada Phys & Chem Ind Co Ltd Ultrasonic cleaning machine
JP3167317B2 (en) * 1990-10-18 2001-05-21 株式会社東芝 Substrate processing apparatus and method
JPH04213826A (en) 1990-12-11 1992-08-04 Nec Yamagata Ltd Wafer washing unit for manufacture of semiconductor
JPH0513396A (en) 1991-07-09 1993-01-22 Shimada Phys & Chem Ind Co Ltd Cleaning method for semiconductor device
US5108512A (en) * 1991-09-16 1992-04-28 Hemlock Semiconductor Corporation Cleaning of CVD reactor used in the production of polycrystalline silicon by impacting with carbon dioxide pellets
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JPH0878368A (en) * 1994-09-07 1996-03-22 Hitachi Ltd Work treating method and apparatus
WO1998001896A1 (en) * 1996-07-03 1998-01-15 Ultraclean Technology Research Institute Washing apparatus and washing method
US6039059A (en) 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
JP3511441B2 (en) 1996-11-29 2004-03-29 忠弘 大見 Liquid-saving liquid supply nozzle, wet processing apparatus, and wet processing method used for wet processing including cleaning, etching, development, and peeling
US5984391A (en) * 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
JP3512322B2 (en) * 1997-12-15 2004-03-29 大日本スクリーン製造株式会社 Single wafer substrate processing equipment
JPH11179306A (en) * 1997-12-24 1999-07-06 Dainippon Screen Mfg Co Ltd Single wafer processing cleaner
TW415722U (en) * 1998-04-02 2000-12-11 Hon Hai Prec Ind Co Ltd Flexible printed cable with ground plane and required impedance
US6090534A (en) * 1998-06-03 2000-07-18 Lucent Technologies Inc. Device and method of decreasing circular defects and charge buildup integrated circuit fabrication
AU2867299A (en) 1998-10-14 2000-05-01 Ahmed A. Busnaina Fast single-article megasonic cleaning process
EP1052682B1 (en) * 1999-04-28 2002-01-09 SEZ Semiconductor-Equipment Zubehör für die Halbleiterfertigung AG Device and process for the liquid treatment of disk-shaped objects
US6331902B1 (en) * 1999-10-14 2001-12-18 Match Lab, Inc. System and method for digital color image processing
US6273370B1 (en) * 1999-11-01 2001-08-14 Lockheed Martin Corporation Method and system for estimation and correction of angle-of-attack and sideslip angle from acceleration measurements
US6370791B1 (en) * 2000-03-10 2002-04-16 Semitool, Inc. Processing machine with lockdown rotor

Patent Citations (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4350562A (en) * 1980-07-23 1982-09-21 Siemens Aktiengesellschaft Method for etching semiconductor wafers on one side
US4401131A (en) * 1981-05-15 1983-08-30 Gca Corporation Apparatus for cleaning semiconductor wafers
US4544446A (en) * 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
US4736130A (en) * 1987-01-09 1988-04-05 Puskas William L Multiparameter generator for ultrasonic transducers
US4804007A (en) * 1987-04-29 1989-02-14 Verteq, Inc. Cleaning apparatus
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US4979994A (en) * 1989-04-06 1990-12-25 Branson Ultrasonics Corporation Method and apparatus for cleaning by ultrasonic wave energy
US5158100A (en) * 1989-05-06 1992-10-27 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefor
US5379785A (en) * 1991-10-09 1995-01-10 Mitsubishi Denki Kabushiki Kaisha Cleaning apparatus
US5979475A (en) * 1994-04-28 1999-11-09 Hitachi, Ltd. Specimen holding method and fluid treatment method of specimen surface and systems therefor
US5645474A (en) * 1995-11-30 1997-07-08 Rodel Nitta Company Workpiece retaining device and method for producing the same
US5996601A (en) * 1996-06-28 1999-12-07 International Business Machines Corporation Polymer protected component
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5834871A (en) * 1996-08-05 1998-11-10 Puskas; William L. Apparatus and methods for cleaning and/or processing delicate parts
US6181052B1 (en) * 1996-09-24 2001-01-30 William L. Puskas Ultrasonic generating unit having a plurality of ultrasonic transducers
US6016821A (en) * 1996-09-24 2000-01-25 Puskas; William L. Systems and methods for ultrasonically processing delicate parts
US6036785A (en) * 1997-05-02 2000-03-14 Ferrell; Gary W. Method for removing chemical residues from a surface
US5909741A (en) * 1997-06-20 1999-06-08 Ferrell; Gary W. Chemical bath apparatus
US6431184B1 (en) * 1997-08-05 2002-08-13 Tokyo Electron Limited Apparatus and method for washing substrate
US6115867A (en) * 1997-08-18 2000-09-12 Tokyo Electron Limited Apparatus for cleaning both sides of substrate
US6334902B1 (en) * 1997-09-24 2002-01-01 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for removing a liquid from a surface
US6374836B1 (en) * 1997-10-22 2002-04-23 Hitachi, Ltd. Apparatus for treating plate type part with fluid
US5865199A (en) * 1997-10-31 1999-02-02 Pedziwiatr; Michael P. Ultrasonic cleaning apparatus
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6315836B1 (en) * 1998-07-13 2001-11-13 Kokusai Electric Co., Ltd. Clean, recirculating processing method which prevents surface contamination of an object
US6460552B1 (en) * 1998-10-05 2002-10-08 Lorimer D'arcy H. Method and apparatus for cleaning flat workpieces
US6021789A (en) * 1998-11-10 2000-02-08 International Business Machines Corporation Wafer cleaning system with progressive megasonic wave
US6276370B1 (en) * 1999-06-30 2001-08-21 International Business Machines Corporation Sonic cleaning with an interference signal
US6313565B1 (en) * 2000-02-15 2001-11-06 William L. Puskas Multiple frequency cleaning system
US6539952B2 (en) * 2000-04-25 2003-04-01 Solid State Equipment Corp. Megasonic treatment apparatus
US20020029788A1 (en) * 2000-06-26 2002-03-14 Applied Materials, Inc. Method and apparatus for wafer cleaning
US7334588B2 (en) * 2000-06-26 2008-02-26 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20080314424A1 (en) * 2000-06-26 2008-12-25 Steven Verhaverbeke Method and apparatus for wafer cleaning
US20090020144A1 (en) * 2000-06-26 2009-01-22 Steven Verhaverbeke Method and apparatus for cleaning a substrate
US20020157685A1 (en) * 2000-09-11 2002-10-31 Naoya Hayamizu Washing method, method of manufacturing semiconductor device and method of manufacturing active matrix-type display device
US6505634B2 (en) * 2001-03-23 2003-01-14 Will Be S & T Co., Ltd. Semiconductor wafer cleaning apparatus
US6595224B2 (en) * 2001-06-20 2003-07-22 P.C.T. Systems, Inc. Bath system with sonic transducers on vertical and angled walls

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080236615A1 (en) * 2007-03-28 2008-10-02 Mimken Victor B Method of processing wafers in a sequential fashion
US8734593B2 (en) * 2010-03-30 2014-05-27 Dainippon Screen Mfg. Co., Ltd. Substrate treatment apparatus and substrate treatment method
US9576787B2 (en) 2010-03-30 2017-02-21 SCREEN Holdings Co., Ltd. Substrate treatment method
US11508610B2 (en) 2018-04-19 2022-11-22 Applied Materials, Inc. Substrate support with edge seal

Also Published As

Publication number Publication date
WO2002001613A8 (en) 2003-10-23
US20060260643A1 (en) 2006-11-23
US20060278253A1 (en) 2006-12-14
US20060266392A1 (en) 2006-11-30
AU2001270205A1 (en) 2002-01-08
US20060260659A1 (en) 2006-11-23
US20060260644A1 (en) 2006-11-23
US20060266387A1 (en) 2006-11-30
US20060266393A1 (en) 2006-11-30
US20060260660A1 (en) 2006-11-23
US7819985B2 (en) 2010-10-26
US20060260642A1 (en) 2006-11-23
WO2002001613A2 (en) 2002-01-03
JP2004515053A (en) 2004-05-20
US20080047582A1 (en) 2008-02-28
US20080083437A1 (en) 2008-04-10
US7334588B2 (en) 2008-02-26
US20080083436A1 (en) 2008-04-10
EP1295314A2 (en) 2003-03-26
WO2002001613A3 (en) 2002-08-22
US20060260661A1 (en) 2006-11-23

Similar Documents

Publication Publication Date Title
US20070181149A1 (en) Single wafer backside wet clean
TWI823063B (en) Substrate cleaning device, substrate cleaning method and substrate processing device
JP4621055B2 (en) Interface between the substrate and the meniscus and the handling method thereof
US9275881B2 (en) Liquid processing apparatus, liquid processing method, and storage medium
US10643865B2 (en) Substrate cleaning apparatus
EP1583136A1 (en) Controls of ambient environment during wafer drying using proximity head
JP2003045839A (en) Substrate processing apparatus and method
JP3837026B2 (en) Substrate cleaning apparatus and substrate cleaning method
US8671961B2 (en) Methods and apparatus for cleaning semiconductor wafers
JP2007208247A (en) Apparatus and system for cleaning substrate
JP2003203892A (en) Substrate-cleaning device and substrate cleaning method
CN107086190B (en) Substrate cleaning apparatus and substrate processing apparatus
JP5726686B2 (en) Liquid processing apparatus and method for controlling liquid processing apparatus
KR101972226B1 (en) Substrate cleaning device and substrate processing apparatus including the same
KR101120617B1 (en) Apparatus method for wet treatment of wafers
KR20070086411A (en) Method and apparatus for cleaning semiconductor substrates
JPH0864568A (en) Wafer cleaning device
JP4080584B2 (en) Cleaning processing equipment
JP2003197718A (en) Unit and method for treatment substrate
JPH11330041A (en) Device for processing substrate by etching liquid
JP2003320323A (en) Substrate cleaning method
WO2020179417A1 (en) Substrate treatment brush cleaning method and substrate treatment device
JPH1174195A (en) Liquid treatment device
JP2000208466A (en) Method and apparatus for treating substrate
CN112439737A (en) Apparatus and method for processing substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KO, ALEXANDER SOU-KANG;EGAMI, GLEN;SUBER, BILLY DAVID;AND OTHERS;REEL/FRAME:019043/0931;SIGNING DATES FROM 20070316 TO 20070319

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION