US20070245191A1 - At-speed transition fault testing with low speed scan enable - Google Patents

At-speed transition fault testing with low speed scan enable Download PDF

Info

Publication number
US20070245191A1
US20070245191A1 US11/410,628 US41062806A US2007245191A1 US 20070245191 A1 US20070245191 A1 US 20070245191A1 US 41062806 A US41062806 A US 41062806A US 2007245191 A1 US2007245191 A1 US 2007245191A1
Authority
US
United States
Prior art keywords
enable signal
scan enable
flip
state
flop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/410,628
Other versions
US7640475B2 (en
Inventor
C. P. Ravikumar
Nisar Ahmed
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RAVIKUMAR, C.P., AHMED, NISAR
Publication of US20070245191A1 publication Critical patent/US20070245191A1/en
Application granted granted Critical
Publication of US7640475B2 publication Critical patent/US7640475B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318566Comparators; Diagnosing the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects

Definitions

  • This disclosure relates generally to the technical fields of electronics and digital circuit technology and, in one example embodiment, to a method and/or a system of at-speed transition fault testing with low speed scan enable.
  • a continuous scaling (e.g., a gate length, an oxide thickness, an other device dimension, etc.) of a transistor (e.g., a MOSFET, a JFET, a HEMT, a BJT, etc.) may allow increasingly more transistors to be packed on an IC chip (e.g., a microprocessor, a memory, a RF transmitter/receiver, etc.) while occupying a smaller area and operating at a higher frequency.
  • An increased complexity in a design, manufacturing, and fabrication process may lead to an aggravated susceptibility to defects (e.g., functional defects, timing-related defects, etc.).
  • a design for test (DFT) technique is a design method that may include testability hardware features on the IC chip.
  • the design for test technique may be used with an automatic test pattern generator (ATPG) tool (e.g., SYNOPSYS Tetramax ATPG, etc.) to generate an application specific test pattern to locate the defects on the IC chip.
  • the defects may cause the IC chip to malfunction (e.g., fail to meet a design specification, fail to operate, etc.).
  • an efficiency e.g., a required time for carrying out the testing, etc.
  • an effectiveness e.g., fault coverage, etc.
  • a test method e.g., stuck-at-fault testing, I DDQ testing, at-speed testing, etc.
  • an IC company e.g., Texas Instruments, Intel, AMD, Motorola, Infineon, IBM, etc.
  • At-speed testing may include a path delay model which may target a cumulative delay along a circuit path as well as a transition fault model which may target a delay (e.g., a slow to rise delay and/or a slow to fall delay) at a gate output.
  • a path delay model which may target a cumulative delay along a circuit path as well as a transition fault model which may target a delay (e.g., a slow to rise delay and/or a slow to fall delay) at a gate output.
  • the transition fault model may be used in a scan chain (e.g., a chain of flip-flops, a shift register, etc.); namely, a launch-off-shift (LOS) method and a launch-off-capture (LOC) method.
  • the launch-off-shift method may be a preferred method as a shorter and simpler test pattern may be generated from an automatic test pattern generator (ATPG) due to a combinatorial nature (e.g., no memory elements, and/or no storage elements, etc.).
  • test pattern generated from the automatic test pattern generator (ATPG) for the launch-off-capture may be longer and more complicated due to a sequential nature (e.g., has memory elements, and/or storage elements, etc.).
  • the shorter and simpler test pattern may allow for higher fault coverage as well as decreased testing time.
  • a scan enable signal must be able to switch at-speed. This may be difficult to achieve as a fanout load (e.g., a combination of a scan chain, a shift register, an inverter, and/or a flip-flop, etc.) of the scan enable signal may be sizable due to an increasing design size and complexity. While a pipeline scan enabled scheme may be used to divide the fanout load of the scan enable signal, routing awareness is increased and may lead to reduced flexibility with regards to using a place-and-route (PNR) tool. The reduced flexibility when using the place-and-route tool could further complicate the design process when trying to meet a timing closure.
  • PNR place-and-route
  • a digital system includes any number of scan chains interconnected together with logic circuitry to form at least a portion of a functional circuit.
  • Each scan chain may have any number of scan cells, a global scan enable signal and an at-speed local scan enable signal to control a mode of operation, any number of last transition generator cells physically located anywhere in the scan chain and not connected to a circuit-under-test, and a clock signal.
  • each last transition generator cell may include a first flip-flop with an output connected to a second flip-flop input, an input multiplexer to apply a first flip-flop output data or a test data to a first flip-flop input based on a state of the at-speed local scan enable signal, and an OR gate having a first flip-flop output and the global scan enable signal as inputs to generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state of the first flip-flop output data.
  • the first flip-flop may be loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle in a launch-off-shift method.
  • the first flip-flop may be loaded with the state ‘0’ in a launch-off-capture method.
  • the at-speed local scan enable signal to control the launch cycle and a capture cycle may be generated synchronously in the scan chain from the test data based on the clock signal.
  • the at-speed local scan enable signal may be locally generated in the last transition generator cell from any of an at-speed and/or not-at-speed global scan enable signal based on the clock signal.
  • the global scan enable signal may be asynchronously de-asserted to the state ‘0’ in the initialization cycle and asserted to the state ‘1’ after the capture cycle.
  • a number of local scan enable signals generated may be specified by a user and each local scan enable signal may drive any number of scan cells.
  • the first flip-flop may remain in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal.
  • the first flip-flop may remain in the state ‘0’ by feeding back the first flip-flop output data to an input ‘0’ of the input multiplexer selected by the local scan enable signal while the first flip-flop output data and the global scan enable signal both remain in the state ‘0’.
  • a last transition generator cell to generate an at-speed local scan enable signal from a global scan enable signal includes a first flip-flop with an output connected to a second flip-flop input, an input multiplexer to apply any one of a first flip-flop output data and a test data to a first flip-flop input based on a state of the local scan enable signal.
  • an OR gate may generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state of the first flip-flop output data, and a clock signal to synchronously control a mode of operation.
  • the first flip-flop may be loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle in the launch-off-shift method.
  • the first flip-flop may be loaded with the state ‘0’ in the launch-off-capture method.
  • the at-speed local scan enable signal to control the launch cycle and a capture cycle during transition fault testing may be generated synchronously from the test data based on the clock signal.
  • the global scan enable signal may be asynchronously de-asserted to the state ‘0’ in the initialization cycle and asserted to the state ‘1’ after the capture cycle.
  • the first flip-flop may remain in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal.
  • the first flip-flop may remain in the state ‘0’ by feeding back the first flip-flop output data to the input ‘0’ of the input multiplexer selected by the local scan enable signal while the first flip-flop output data and the global scan enable signal both remain in the state ‘0’.
  • a method may include generating an at-speed local scan enable signal from a global scan enable signal to control a mode of operation during transition fault testing, decreasing a fanout load of the global scan enable signal, and enhancing flexibility of placing any number of scan cells and any number of last transition generator cells during a place-and-route procedure to meet a timing closure.
  • the method may include generating the at-speed local scan enable signal synchronously during a launch cycle and a capture cycle from a test data, and generating the test data by an automatic test pattern generator tool to embed appropriate control information to generate the at-speed local scan enable signal based on a location and a number of last transition generator cells in a scan chain.
  • the method may also include setting the global scan enable signal to a state ‘1’ during test setup to overcome a failing during a ‘design rule check’ phase of the automatic test pattern generator tool due to an internal nature of the at-speed local scan enable signal.
  • the last transition generator cell may be inserted in the scan chain by designing the last transition generator cell as a module and declaring it as a scan segment in a design-for-test compiler.
  • FIG. 1 is a diagram of a scan chain having a last transition generator cell and multiple scan cells, according to one embodiment.
  • FIG. 2 is a circuit diagram of a last transition generator cell, according to one embodiment.
  • FIG. 3 is a launch-off-shift method view showing a comparison of timing diagrams of a scan enable signal and a local scan enable signal generated by a last transition generator cell, according to one embodiment.
  • FIG. 4 is a launch-off-capture method view showing a comparison of timing diagrams of a scan enable signal and a local scan enable signal generated by a last transition generator cell, according to one embodiment.
  • FIG. 5 is a diagram having multiple at-speed local scan enable signals generated from a global scan enable signal applied to multiple scan chains, according to one embodiment.
  • FIG. 6 is a process flow of generating an at-speed local scan enable signal from a global scan enable signal, according to one embodiment.
  • a digital system includes any number of scan chains (e.g., as illustrated in FIG. 5 ) interconnected together with logic circuitry to form at least a portion of a functional circuit.
  • Each scan chain may have any number of scan cells (e.g., as illustrated in FIG. 1 ), a global scan enable signal (e.g., a GSEN 200 of FIGS. 2-4 , a GSEN 550 of FIG. 5 ) and an at-speed local scan enable signal (e.g., an LSEN 202 of FIGS. 2-4 , a LSEN 520 A-N of FIG.
  • a mode of operation e.g., an initialization cycle 322 , 422 , a launch cycle 324 , 424 , a capture cycle 326 , 426 of FIGS. 3-4 ), any number of last transition generator cells physically located anywhere in the scan chain and not connected to a circuit-under-test (as illustrated in FIG. 1 and FIG. 5 ), and a clock signal (e.g., a CLK 212 of FIGS. 2-4 ).
  • each last transition generator cell may include a first flip-flop (e.g., a D flip-flop 216 of FIG. 2 ) with an output connected to a second flip-flop (e.g., a D flip-flop 216 of FIG. 2 ) input, an input multiplexer (e.g., a MUX 220 of FIG. 2 ) to apply any one of a first flip-flop output data (e.g., an output 204 of FIG. 2 ) and a test data (e.g., a SD 210 of FIG. 2 ) to a first flip-flop input based on a state of the at-speed local scan enable signal (e.g., the LSEN 202 of FIG.
  • a first flip-flop e.g., a D flip-flop 216 of FIG. 2
  • an input multiplexer e.g., a MUX 220 of FIG. 2
  • a test data e.g., a SD 210 of FIG. 2
  • an OR gate e.g., an OR gate 218 of FIG. 2
  • the global scan enable signal e.g., the GSEN 200 of FIG. 2
  • a last transition generator cell e.g., a last transition generator cell 100 of FIG.
  • an at-speed local scan enable signal (e.g., a LSEN 202 ) from a global scan enable signal (e.g., the GSEN 200 ) includes a first flip-flop (e.g., a D flip-flop 216 ) with an output (e.g., an output 206 ) connected to a second flip-flop (e.g., a D flip-flop 216 ) input, an input multiplexer (e.g., a MUX 220 ) to apply an one of a first flip-flop output data (e.g., the output 206 ) and a test data (e.g., a SD 210 ) to a first flip-flop input based on a state of the local scan enable signal.
  • a first flip-flop e.g., a D flip-flop 216
  • an output e.g., an output 206
  • a second flip-flop e.g., a D flip-flop 216
  • an input multiplexer
  • an OR gate may generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state (e.g., a state ‘0’, a state ‘1’) of the first flip-flop output data, and a clock signal (e.g., the CLK 212 of FIG. 2 ) to synchronously control a mode of operation (e.g., an initialization cycle 322 , 422 , a launch cycle 324 , 424 , a capture cycle 326 , 426 of FIGS. 3-4 ).
  • a mode of operation e.g., an initialization cycle 322 , 422 , a launch cycle 324 , 424 , a capture cycle 326 , 426 of FIGS. 3-4 .
  • a method may include generating an at-speed local scan enable signal (e.g., the LSEN 202 of FIG. 1 , the LSEN 520 A-C of FIG. 5 ) from a global scan enable signal to control a mode of operation during transition fault testing, decreasing a fanout load (e.g., as illustrated in FIG. 5 ) of the global scan enable signal (e.g., the GSEN 200 of FIG. 2 , the GSEN 500 of FIG. 5 ), and enhancing flexibility of placing any number of scan cells and any number of last transition generator cells during a place-and-route procedure to meet a timing closure.
  • an at-speed local scan enable signal e.g., the LSEN 202 of FIG. 1 , the LSEN 520 A-C of FIG. 5
  • a global scan enable signal e.g., the GSEN 200 of FIG. 2 , the GSEN 500 of FIG. 5
  • FIG. 1 is a diagram of a scan chain 150 having a last transition generator cell 100 and multiple scan cells (e.g., a scan cell 102 A, a scan cell 102 B, and/or a scan cell 102 N, etc.) according to one embodiment.
  • multiple scan cells e.g., a scan cell 102 A, a scan cell 102 B, and/or a scan cell 102 N, etc.
  • a scan chain may perform a transition fault test (e.g., a launch-off-shift test, a launch-off-capture test, etc.) to detect a timing-related defect (e.g., a cumulative path delay, a slow-to-rise delay, a slow-to-fall delay, etc.).
  • the scan chain e.g., the scan chain 150
  • the scan chain may include any number of scan cells (e.g., a scan cell 100 A, 100 B, and/or 100 N, etc.) and any number of last transition generator cells (e.g., the last transition generator cell 100 ).
  • the scan chain and the last transition generator cell may not be connected to a circuit-under-test.
  • a mode of operation e.g., an initialization cycle, a launch cycle and/or a capture cycle, etc.
  • a scan enable signal e.g., an at-speed local scan enable signal, and/or a global scan-enable signal.
  • the at-speed local scan enable signal to control the mode of operation may be generated locally (e.g., in the scan chain (e.g., the scan chain 150 )) by an internal circuit component (e.g., the last transition generator cell 100 ).
  • FIG. 2 is a circuit diagram of a last transition generator cell 100 of FIG. 1 , according to one embodiment.
  • FIG. 2 illustrates an exploded view of the last transition generator cell 100 of FIG. 1 .
  • the last transition generator cell 100 may have two input signals (e.g., a D 208 and/or an SD 210 ).
  • the D 208 may be connected to an input ‘0’ of an input multiplexer (e.g., a MUX 220 ) and the SD 210 may be connected to an input ‘1’ of the input multiplexer (e.g., the MUX 220 ).
  • An input multiplexer (e.g., the MUX 220 ) output may be coupled to a first flip-flop (e.g., a D flip-flop 214 ).
  • the first flip-flop output data (e.g., an output 204 ) may be coupled to a second flip-flop (e.g., a D flip-flop 216 ).
  • a second flip-flop output (e.g., an output 206 ) may be an output of the last transition generator cell 100 .
  • a global scan enable signal (e.g., the GSEN 200 ) and the first flip-flop output data (e.g., the output 204 ) may be inputs to an OR gate (e.g., the OR gate 218 ) whose output may be an at-speed local scan enable signal (e.g., a LSEN 202 ).
  • the last transition generator cell 100 may store two bits by including the first flip-flop (e.g., the D flip-flop 214 ) with the first flip-flop output data (e.g. the output 204 ) synchronously coupled to the second flip-flop (e.g., the D flip-flop 216 ) input by a clock signal (e.g., a CLK 212 ).
  • a clock signal e.g., a CLK 212
  • the input multiplexer (e.g., a MUX 220 ) may be used to apply the first flip-flop output data (e.g., the output 204 ) or a test data (e.g., the SD 210 ) to the first flip-flop (e.g., the D flip-flop 216 ) input based on a state of a select signal (e.g., the LSEN 202 ).
  • a MUX 220 may be used to apply the first flip-flop output data (e.g., the output 204 ) or a test data (e.g., the SD 210 ) to the first flip-flop (e.g., the D flip-flop 216 ) input based on a state of a select signal (e.g., the LSEN 202 ).
  • the select signal (e.g., the LSEN 202 ) may be based on a state of the OR gate (e.g., the OR gate 218 ) output signal having the first flip-flop output data (e.g., the output 204 ) and a global scan enable signal (e.g., a GSEN 200 ) as inputs.
  • a state of the OR gate e.g., the OR gate 218
  • the first flip-flop output data e.g., the output 204
  • a global scan enable signal e.g., a GSEN 200
  • the first flip-flop (e.g., the D flip-flop 216 ) may be loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle to generate the at-speed local scan enable signal (e.g., the LSEN 202 ) from the global scan enable signal (e.g., the GSEN 200 ) for an at-speed transition between the launch cycle and a capture cycle in a launch-off-shift test.
  • the at-speed local scan enable signal e.g., the LSEN 202
  • the global scan enable signal e.g., the GSEN 200
  • the first flip-flop (e.g., the D flip-flop 216 ) may be loaded with the state ‘0’ to ensure the at-speed local scan enable signal (e.g., the LSEN 202 ) is de-asserted to the state ‘0’ when the global scan enable signal (e.g., the GSEN 200 ) is de-asserted to the state ‘0’ after a shift cycle.
  • the at-speed local scan enable signal e.g., the LSEN 202
  • the global scan enable signal e.g., the GSEN 200
  • the at-speed local scan enable signal (e.g., the LSEN 202 ) to control a mode of operation (e.g., an initialization cycle, a launch cycle, and/or a capture cycle, etc.) may be generated synchronously from the test data (e.g., the SD 210 ) and the global scan enable signal (e.g., the GSEN 200 ) based on the clock signal (e.g., the clock 212 ).
  • the at-speed local scan enable signal (e.g., the LSEN 202 ) may remain in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal (e.g., the GSEN 200 ) to ensure proper operation of the transition fault test.
  • the global scan enable signal e.g., the GSEN 200
  • the at-speed local scan enable signal (e.g., the LSEN 202 ) is based on the state of the two-input OR gate (e.g., the OR gate 218 ) output signal having the first flip-flop output data (e.g., the output 204 ) and the global scan enable signal (e.g., a GSEN 200 ) as inputs
  • the first flip-flop output data (e.g., the output 204 ) may be kept at the state ‘0’ for the at-speed local scan enable signal (e.g., the LSEN 202 ) to remain at the state ‘0’ when the global scan enable signal (e.g., the GSEN 200 ) is at the state ‘0’.
  • the first flip-flop output data (e.g., the output 204 ) is fed back to the input ‘0’ of the input multiplexer (e.g., the MUX 220 ).
  • the input ‘0’ of the input multiplexer may be selected by the selection line (e.g., the LSEN 202 ) as long as the first flip-flop output data (e.g., the output 204 ) and the global scan enable signal (e.g., the GSEN 200 ) both remain in the state ‘0’.
  • FIG. 3 is a launch-off-shift method view 350 showing a comparison of timing diagrams 300 and 320 for a scan enable signal 310 and a local scan enable signal 202 generated by a last transition generator cell, according to one embodiment.
  • the timing diagram 300 shows a state (e.g., a state ‘1’, a state ‘0’) of a scan enable signal (e.g., a SEN 310 ) with respect to a clock signal (e.g., a CLK 308 ) corresponding to a mode of operation (e.g., an initialization cycle 302 , a launch cycle 304 , and/or a capture cycle 306 , etc.) such that proper operation may be ensured in the launch-off-shift method.
  • the scan enable signal (e.g., the SEN 310 ) may transition at-speed after the launch cycle 304 and before the capture cycle 306 .
  • the timing diagram 320 shows a state (e.g., the state ‘1’, the state ‘0’) of an at-speed local scan enable signal (e.g., a LSEN 202 ) with respect to a clock signal (e.g., a CLK 212 ) generated from an logic OR of a global scan enable signal (e.g., a GSEN 200 ) and a first flip-flop output data (e.g., an output 204 ) corresponding to a mode of operation (e.g., an initialization cycle 322 , a launch cycle 324 , and/or a capture cycle 326 , etc.).
  • a mode of operation e.g., an initialization cycle 322 , a launch cycle 324 , and/or a capture cycle 326 , etc.
  • the global scan enable signal (e.g., the GSEN 200 ) may be asynchronously de-asserted to the state ‘0’ in an initialization cycle (e.g., the initialization cycle 322 ) and asserted to the state ‘1’ after a capture cycle (e.g., the capture cycle 326 ).
  • the de-assertion to the state ‘0’ in the initialization cycle and the assertion to the state ‘1’ of the global scan enable signal (e.g., the GSEN 200 ) after the capture cycle may not be at-speed.
  • an at-speed transition from the state ‘1’ to the state ‘0’ may be generated in the at-speed local scan enable signal (e.g., the LSEN 202 ).
  • a state of the first flip-flop output may be maintained at the state ‘0’ while the global scan enable signal (e.g., the GSEN 200 ) is at the state ‘0’.
  • the at-speed local scan enable signal (e.g., the LSEN 202 ) thus generated may be applied to a scan chain (e.g., the scan chain 150 of FIG. 1 , the scan chains 500 A-N of FIG. 5 ) such that proper operation may be ensured in the launch-off-shift method.
  • FIG. 4 is a launch-off-capture method view showing a comparison of timing diagrams 400 and 420 for a scan enable signal 410 and a local scan enable signal 202 generated by a last transition generator cell, according to one embodiment.
  • the timing diagram 400 shows a state (e.g., a state ‘1’, a state ‘0’) of a scan enable signal (e.g., a SEN 410 ) with respect to a clock signal (e.g., a CLK 408 ) corresponding to a mode of operation (e.g., an initialization cycle 402 , a launch cycle 404 , and/or a capture cycle 406 , etc.) such that proper operation may be ensured in the launch-off-capture method.
  • the scan enable signal (e.g., the SEN 410 ) may be de-asserted after the initialization cycle 402 and asserted after the capture cycle 406 .
  • the de-assertion after the initialization cycle 402 and assertion after the capture cycle 406 of the scan enable signal (e.g., the SEN 410 ) may not be at-speed.
  • the timing diagram 420 shows a state (e.g., the state ‘1’, the state ‘0’) of an at-speed local scan enable signal (e.g., a LSEN 202 ) with respect to a clock signal (e.g., a CLK 212 ) generated from a logic OR of a global scan enable signal (e.g., a GSEN 200 ) and a first flip-flop output data (e.g., an output 204 ) corresponding to a mode of operation (e.g., an initialization cycle 422 , a launch cycle 424 , and/or a capture cycle 426 , etc.).
  • a mode of operation e.g., an initialization cycle 422 , a launch cycle 424 , and/or a capture cycle 426 , etc.
  • the global scan enable signal (e.g., the GSEN 200 ) may be asynchronously de-asserted to the state ‘0’ in an initialization cycle (e.g., the initialization cycle 422 ) and asserted to the state ‘1’ after a capture cycle (e.g., the capture cycle 426 ).
  • the de-assertion to the state ‘0’ in the initialization cycle and the assertion to the state ‘1’ of the global scan enable signal (e.g., the GSEN 200 ) after the capture cycle may not be at-speed.
  • the local scan enable signal (e.g., the LSEN 202 ) may be de-asserted to the state ‘0’ when the global scan enable signal (e.g., the GSEN 200 ) is in the state ‘0’.
  • the local scan enable signal e.g., the LSEN 202
  • a state of the first flip-flop output may be maintained at the state ‘0’ while the global scan enable signal (e.g., the GSEN 200 ) is at the state ‘0’.
  • the local scan enable signal (e.g., the LSEN 202 ) thus generated may be applied to a scan chain (e.g., the scan chain 150 of FIG. 1 , the scan chains 500 A-N of FIG. 5 ) such that proper operation may be ensured in the launch-off-capture method.
  • a scan chain e.g., the scan chain 150 of FIG. 1 , the scan chains 500 A-N of FIG. 5
  • the local scan enable signal e.g. the LSEN 202
  • the local scan enable signal thus generated for the launch-off-capture method may not be at-speed.
  • FIG. 5 is a diagram having multiple at-speed local scan enable signals (e.g., LSEN 520 A-N) generated from a global scan enable signal (e.g., a GSEN 550 ) applied to multiple scan chains, according to one embodiment.
  • LSEN 520 A-N at-speed local scan enable signals
  • GSEN 550 global scan enable signal
  • multiple scan chains may be interconnected together with logic circuitry to form at least a portion of a functional circuit.
  • Any number of at-speed local scan enable signals (e.g., the LSEN 520 A-N) may be generated from the global scan enable signal (e.g., the GSEN 550 ) to control a mode of operation (e.g., an initialization cycle 322 , 422 , a launch cycle 324 , 424 , a capture cycle 326 , 426 of FIGS. 3-4 ) during transition fault testing (e.g., a launch-off-shift test, a launch-off-capture test, etc.).
  • a mode of operation e.g., an initialization cycle 322 , 422 , a launch cycle 324 , 424 , a capture cycle 326 , 426 of FIGS. 3-4
  • transition fault testing e.g., a launch-off-shift test, a launch-off-capture test, etc.
  • any number of scan chains may be driven by the at-speed local scan enable signals (e.g., the LSEN 520 A-N).
  • a fanout load e.g., a combination of a scan chain, a shift register, an inverter, and/or a flip-flop, etc.
  • the global scan enable signal e.g., the GSEN 550
  • a number of last transition generator cells e.g., a last transition generator cell 100 of FIG.
  • the last transition generator cells 510 A-N from a number of scan cells (e.g., a scan cell 102 A, a scan cell 102 B, and/or a scan cell 102 N of FIG. 1 ), according to one embodiment.
  • the number of last transition generator cells may be specified by a user and each at-speed local scan enable signal (e.g., the LSEN 202 of FIG. 2 , the LSEN 520 A-N) may drive any number of the scan cell (e.g., a scan cell 102 A, a scan cell 102 B, and/or a scan cell 102 N of FIG. 1 ).
  • the last transition generator cell e.g., the last transition generator cell 100 of FIG. 1 , the last transition generator cells 510 A-N
  • may also be placed anywhere in the scan chain e.g., a scan chain 150 of FIG. 1 , the scan chains 500 A-N). As a result, flexibility may be enhanced during a place-and-route procedure to meet a timing closure.
  • FIG. 6 is a process flow of generating an at-speed local scan enable signal from a global scan enable signal, according to one embodiment.
  • an at-speed local scan enable signal (e.g., the at-speed local scan enable signal 202 of FIG. 1 , the local scan enable signals 520 A-N of FIG. 5 ) is generated to control a mode of operation (e.g., an initialization cycle 322 , 422 , a launch cycle 324 , 424 , a capture cycle 326 , 426 of FIGS. 3-4 ) during transition fault testing (e.g., a launch-off-shift test, a launch-off-capture test, etc.).
  • a mode of operation e.g., an initialization cycle 322 , 422 , a launch cycle 324 , 424 , a capture cycle 326 , 426 of FIGS. 3-4
  • transition fault testing e.g., a launch-off-shift test, a launch-off-capture test, etc.
  • a fanout load e.g., a combination of a scan chain, a shift register, an inverter, and/or a flip-flop, etc.
  • the global scan enable signal e.g., the GSEN 200 of FIG. 2 , the GSEN 500 of FIG. 5
  • any number of scan cells e.g., a scan cell 102 A, a scan cell 102 B, and/or a scan cell 102 N of FIG. 1
  • any number of last transition generator cells e.g., the last transition generator cell 100 of FIG. 1 , the last transition generator cells 510 A-N of FIG. 5
  • any number of last transition generator cells is enhanced during a place-and-route procedure to meet a timing closure.
  • the at-speed local scan enable signal (e.g., the at-speed local scan enable signal 202 of FIG. 1 , the local scan enable signals 520 A-N of FIG. 5 ) may be generated synchronously during a launch cycle and a capture cycle from the test data (e.g., the SD 210 ).
  • the test data e.g., the SD 210
  • the at-speed local scan enable signal e.g., the at-speed local scan enable signal 202 of FIG. 1 , the local scan enable signals 520 A-N of FIG.
  • the last transition generator cell e.g., the last transition generator cell 100 of FIG. 1 , the last transition generator cells 510 A-N of FIG. 5
  • a scan chain e.g., the scan chain 150 of FIG. 1 , the scan chains 500 A-N of FIG. 5 .
  • the global scan enable signal (e.g., the GSEN 200 of FIG. 2 , the GSEN 500 of FIG. 5 ) may be set to a state ‘1’ during test setup to overcome a failing during a ‘design rule check’ phase of an automatic test pattern generator tool (e.g., SYNOPSYS Tetramax ATPG, etc.) due to an internal nature of the at-speed local scan enable signal (e.g., the at-speed local scan enable signal 202 of FIG. 1 , the local scan enable signals 520 A-N of FIG. 5 ).
  • the last transition generator cell may be inserted in the scan chain (e.g., the scan chain 150 of FIG. 1 , the scan chains 500 A-N of FIG.
  • the last transition generator cell e.g., the last transition generator cell 100 of FIG. 1 , the last transition generator cells 510 A-N of FIG. 5
  • a design for test compiler e.g., SYNOPSYS DFTCompiler, etc.
  • the various devices, modules, analyzers, generators, etc. described herein may be enabled and operated using hardware circuitry (e.g., CMOS based logic circuitry), firmware, software and/or any combination of hardware, firmware, and/or software (e.g., embodied in a machine readable medium).
  • hardware circuitry e.g., CMOS based logic circuitry
  • firmware e.g., software and/or any combination of hardware, firmware, and/or software
  • the various electrical structure and methods may be embodied using transistors, logic gates, and electrical circuits (e.g., application specific integrated ASIC circuitry).

Abstract

A method and/or a system of at-speed transition fault testing with low speed scan enable is disclosed. In one embodiment, a digital system includes any number of scan chains. Each scan chain may have any number of scan cells, an at-speed local scan enable signal to control a mode of operation, and any number of last transition generator cells. In addition, each last transition generator cell includes a first flip-flop with an output connected to a second flip-flop input, an input multiplexer to apply any one of a first flip-flop output data and an OR gate having a first flip-flop input based on a state of the at-speed local scan enable signal, and an OR gate having a first flip-flop output and the global scan enable signal as inputs to generate the at-speed local scan enable signal based on a state of the global scan enable signal.

Description

    FIELD OF TECHNOLOGY
  • This disclosure relates generally to the technical fields of electronics and digital circuit technology and, in one example embodiment, to a method and/or a system of at-speed transition fault testing with low speed scan enable.
  • BACKGROUND
  • A continuous scaling (e.g., a gate length, an oxide thickness, an other device dimension, etc.) of a transistor (e.g., a MOSFET, a JFET, a HEMT, a BJT, etc.) may allow increasingly more transistors to be packed on an IC chip (e.g., a microprocessor, a memory, a RF transmitter/receiver, etc.) while occupying a smaller area and operating at a higher frequency. An increased complexity in a design, manufacturing, and fabrication process may lead to an aggravated susceptibility to defects (e.g., functional defects, timing-related defects, etc.).
  • A design for test (DFT) technique is a design method that may include testability hardware features on the IC chip. The design for test technique may be used with an automatic test pattern generator (ATPG) tool (e.g., SYNOPSYS Tetramax ATPG, etc.) to generate an application specific test pattern to locate the defects on the IC chip. The defects may cause the IC chip to malfunction (e.g., fail to meet a design specification, fail to operate, etc.). As a result, an efficiency (e.g., a required time for carrying out the testing, etc.) and an effectiveness (e.g., fault coverage, etc.) of a test method (e.g., stuck-at-fault testing, IDDQ testing, at-speed testing, etc.) are critical metrics that an IC company (e.g., Texas Instruments, Intel, AMD, Motorola, Infineon, IBM, etc.) may use to evaluate the design-for-test technique.
  • The continuous scaling of the transistor may also cause a number of the timing-related defects to drastically increase. As such, at-speed testing has become imperative for a deep-submicron (DSM) design to ensure operability of the IC chip. At-speed testing may include a path delay model which may target a cumulative delay along a circuit path as well as a transition fault model which may target a delay (e.g., a slow to rise delay and/or a slow to fall delay) at a gate output.
  • There may be two fault pattern generation methods for the transition fault model that may be used in a scan chain (e.g., a chain of flip-flops, a shift register, etc.); namely, a launch-off-shift (LOS) method and a launch-off-capture (LOC) method. The launch-off-shift method may be a preferred method as a shorter and simpler test pattern may be generated from an automatic test pattern generator (ATPG) due to a combinatorial nature (e.g., no memory elements, and/or no storage elements, etc.). On the other hand, the test pattern generated from the automatic test pattern generator (ATPG) for the launch-off-capture may be longer and more complicated due to a sequential nature (e.g., has memory elements, and/or storage elements, etc.). The shorter and simpler test pattern may allow for higher fault coverage as well as decreased testing time.
  • Thus, the IC company may benefit significantly from ubiquitous use of the launch-off-shift method for testing the IC chip. However, a major obstacle to prevalent usage of the launch-off-shift method is that a scan enable signal must be able to switch at-speed. This may be difficult to achieve as a fanout load (e.g., a combination of a scan chain, a shift register, an inverter, and/or a flip-flop, etc.) of the scan enable signal may be sizable due to an increasing design size and complexity. While a pipeline scan enabled scheme may be used to divide the fanout load of the scan enable signal, routing awareness is increased and may lead to reduced flexibility with regards to using a place-and-route (PNR) tool. The reduced flexibility when using the place-and-route tool could further complicate the design process when trying to meet a timing closure.
  • SUMMARY
  • A method and/or a system of at-speed transition fault testing with low speed scan enable is disclosed. In one aspect, a digital system includes any number of scan chains interconnected together with logic circuitry to form at least a portion of a functional circuit. Each scan chain may have any number of scan cells, a global scan enable signal and an at-speed local scan enable signal to control a mode of operation, any number of last transition generator cells physically located anywhere in the scan chain and not connected to a circuit-under-test, and a clock signal.
  • In addition, each last transition generator cell may include a first flip-flop with an output connected to a second flip-flop input, an input multiplexer to apply a first flip-flop output data or a test data to a first flip-flop input based on a state of the at-speed local scan enable signal, and an OR gate having a first flip-flop output and the global scan enable signal as inputs to generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state of the first flip-flop output data.
  • The first flip-flop may be loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle in a launch-off-shift method. The first flip-flop may be loaded with the state ‘0’ in a launch-off-capture method. The at-speed local scan enable signal to control the launch cycle and a capture cycle may be generated synchronously in the scan chain from the test data based on the clock signal. The at-speed local scan enable signal may be locally generated in the last transition generator cell from any of an at-speed and/or not-at-speed global scan enable signal based on the clock signal.
  • The global scan enable signal may be asynchronously de-asserted to the state ‘0’ in the initialization cycle and asserted to the state ‘1’ after the capture cycle. A number of local scan enable signals generated may be specified by a user and each local scan enable signal may drive any number of scan cells.
  • The first flip-flop may remain in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal. The first flip-flop may remain in the state ‘0’ by feeding back the first flip-flop output data to an input ‘0’ of the input multiplexer selected by the local scan enable signal while the first flip-flop output data and the global scan enable signal both remain in the state ‘0’.
  • In another aspect, a last transition generator cell to generate an at-speed local scan enable signal from a global scan enable signal includes a first flip-flop with an output connected to a second flip-flop input, an input multiplexer to apply any one of a first flip-flop output data and a test data to a first flip-flop input based on a state of the local scan enable signal. In addition, an OR gate may generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state of the first flip-flop output data, and a clock signal to synchronously control a mode of operation. The first flip-flop may be loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle in the launch-off-shift method. The first flip-flop may be loaded with the state ‘0’ in the launch-off-capture method.
  • The at-speed local scan enable signal to control the launch cycle and a capture cycle during transition fault testing may be generated synchronously from the test data based on the clock signal. The global scan enable signal may be asynchronously de-asserted to the state ‘0’ in the initialization cycle and asserted to the state ‘1’ after the capture cycle. The first flip-flop may remain in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal. The first flip-flop may remain in the state ‘0’ by feeding back the first flip-flop output data to the input ‘0’ of the input multiplexer selected by the local scan enable signal while the first flip-flop output data and the global scan enable signal both remain in the state ‘0’.
  • In yet another aspect, a method may include generating an at-speed local scan enable signal from a global scan enable signal to control a mode of operation during transition fault testing, decreasing a fanout load of the global scan enable signal, and enhancing flexibility of placing any number of scan cells and any number of last transition generator cells during a place-and-route procedure to meet a timing closure.
  • In addition, the method may include generating the at-speed local scan enable signal synchronously during a launch cycle and a capture cycle from a test data, and generating the test data by an automatic test pattern generator tool to embed appropriate control information to generate the at-speed local scan enable signal based on a location and a number of last transition generator cells in a scan chain.
  • In addition, the method may also include setting the global scan enable signal to a state ‘1’ during test setup to overcome a failing during a ‘design rule check’ phase of the automatic test pattern generator tool due to an internal nature of the at-speed local scan enable signal. The last transition generator cell may be inserted in the scan chain by designing the last transition generator cell as a module and declaring it as a scan segment in a design-for-test compiler.
  • The methods, systems, and apparatuses disclosed herein may be implemented in any means for achieving various aspects, and may be executed in a form of a machine-readable medium embodying a set of instructions that, when executed by a machine, cause the machine to perform any of the operations disclosed herein. Other features will be apparent from the accompanying drawings and from the detailed description that follows.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Example embodiments are illustrated by way of example and not limitation in the figures of the accompanying drawings, in which like references indicate similar elements and in which:
  • FIG. 1 is a diagram of a scan chain having a last transition generator cell and multiple scan cells, according to one embodiment.
  • FIG. 2 is a circuit diagram of a last transition generator cell, according to one embodiment.
  • FIG. 3 is a launch-off-shift method view showing a comparison of timing diagrams of a scan enable signal and a local scan enable signal generated by a last transition generator cell, according to one embodiment.
  • FIG. 4 is a launch-off-capture method view showing a comparison of timing diagrams of a scan enable signal and a local scan enable signal generated by a last transition generator cell, according to one embodiment.
  • FIG. 5 is a diagram having multiple at-speed local scan enable signals generated from a global scan enable signal applied to multiple scan chains, according to one embodiment.
  • FIG. 6 is a process flow of generating an at-speed local scan enable signal from a global scan enable signal, according to one embodiment.
  • Other features of the present embodiments will be apparent from the accompanying drawings and from the detailed description that follows.
  • DETAILED DESCRIPTION
  • A method and/or a system of at-speed transition fault testing with low speed scan enable is disclosed. In the following description, for the purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the various embodiments. It will be evident, however to one skilled in the art that the various embodiments may be practiced without these specific details.
  • In one embodiment, a digital system includes any number of scan chains (e.g., as illustrated in FIG. 5) interconnected together with logic circuitry to form at least a portion of a functional circuit. Each scan chain may have any number of scan cells (e.g., as illustrated in FIG. 1), a global scan enable signal (e.g., a GSEN 200 of FIGS. 2-4, a GSEN 550 of FIG. 5) and an at-speed local scan enable signal (e.g., an LSEN 202 of FIGS. 2-4, a LSEN 520A-N of FIG. 5) to control a mode of operation e.g., an initialization cycle 322, 422, a launch cycle 324, 424, a capture cycle 326, 426 of FIGS. 3-4), any number of last transition generator cells physically located anywhere in the scan chain and not connected to a circuit-under-test (as illustrated in FIG. 1 and FIG. 5), and a clock signal (e.g., a CLK 212 of FIGS. 2-4).
  • In addition, each last transition generator cell may include a first flip-flop (e.g., a D flip-flop 216 of FIG. 2) with an output connected to a second flip-flop (e.g., a D flip-flop 216 of FIG. 2) input, an input multiplexer (e.g., a MUX 220 of FIG. 2) to apply any one of a first flip-flop output data (e.g., an output 204 of FIG. 2) and a test data (e.g., a SD 210 of FIG. 2) to a first flip-flop input based on a state of the at-speed local scan enable signal (e.g., the LSEN 202 of FIG. 2), and an OR gate (e.g., an OR gate 218 of FIG. 2) having a first flip-flop output and the global scan enable signal (e.g., the GSEN 200 of FIG. 2) as inputs to generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state of the first flip-flop output data. In another embodiment, a last transition generator cell (e.g., a last transition generator cell 100 of FIG. 2) to generate an at-speed local scan enable signal (e.g., a LSEN 202) from a global scan enable signal (e.g., the GSEN 200) includes a first flip-flop (e.g., a D flip-flop 216) with an output (e.g., an output 206) connected to a second flip-flop (e.g., a D flip-flop 216) input, an input multiplexer (e.g., a MUX 220) to apply an one of a first flip-flop output data (e.g., the output 206) and a test data (e.g., a SD 210) to a first flip-flop input based on a state of the local scan enable signal. In addition, an OR gate may generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state (e.g., a state ‘0’, a state ‘1’) of the first flip-flop output data, and a clock signal (e.g., the CLK 212 of FIG. 2) to synchronously control a mode of operation (e.g., an initialization cycle 322, 422, a launch cycle 324, 424, a capture cycle 326, 426 of FIGS. 3-4).
  • In yet another embodiment, a method may include generating an at-speed local scan enable signal (e.g., the LSEN 202 of FIG. 1, the LSEN 520A-C of FIG. 5) from a global scan enable signal to control a mode of operation during transition fault testing, decreasing a fanout load (e.g., as illustrated in FIG. 5) of the global scan enable signal (e.g., the GSEN 200 of FIG. 2, the GSEN 500 of FIG. 5), and enhancing flexibility of placing any number of scan cells and any number of last transition generator cells during a place-and-route procedure to meet a timing closure.
  • FIG. 1 is a diagram of a scan chain 150 having a last transition generator cell 100 and multiple scan cells (e.g., a scan cell 102A, a scan cell 102B, and/or a scan cell 102N, etc.) according to one embodiment.
  • A scan chain (e.g., the scan chain 150) may perform a transition fault test (e.g., a launch-off-shift test, a launch-off-capture test, etc.) to detect a timing-related defect (e.g., a cumulative path delay, a slow-to-rise delay, a slow-to-fall delay, etc.). The scan chain (e.g., the scan chain 150) may include any number of scan cells (e.g., a scan cell 100A, 100B, and/or 100N, etc.) and any number of last transition generator cells (e.g., the last transition generator cell 100). The scan chain and the last transition generator cell may not be connected to a circuit-under-test. During the transition fault test (e.g., the launch-off-shift test, the launch-off-capture test, etc.), a mode of operation (e.g., an initialization cycle, a launch cycle and/or a capture cycle, etc.) may be based on a state (e.g., a state ‘0’, a state ‘1’) of a scan enable signal (e.g., an at-speed local scan enable signal, and/or a global scan-enable signal). The at-speed local scan enable signal to control the mode of operation (e.g., the initialization cycle, the launch cycle, and/or the capture cycle, etc.) may be generated locally (e.g., in the scan chain (e.g., the scan chain 150)) by an internal circuit component (e.g., the last transition generator cell 100).
  • FIG. 2 is a circuit diagram of a last transition generator cell 100 of FIG. 1, according to one embodiment.
  • FIG. 2 illustrates an exploded view of the last transition generator cell 100 of FIG. 1. The last transition generator cell 100 may have two input signals (e.g., a D 208 and/or an SD 210). The D 208 may be connected to an input ‘0’ of an input multiplexer (e.g., a MUX 220) and the SD 210 may be connected to an input ‘1’ of the input multiplexer (e.g., the MUX 220). An input multiplexer (e.g., the MUX 220) output may be coupled to a first flip-flop (e.g., a D flip-flop 214). The first flip-flop output data (e.g., an output 204) may be coupled to a second flip-flop (e.g., a D flip-flop 216). A second flip-flop output (e.g., an output 206) may be an output of the last transition generator cell 100. A global scan enable signal (e.g., the GSEN 200) and the first flip-flop output data (e.g., the output 204) may be inputs to an OR gate (e.g., the OR gate 218) whose output may be an at-speed local scan enable signal (e.g., a LSEN 202).
  • In FIG. 2, the last transition generator cell 100 may store two bits by including the first flip-flop (e.g., the D flip-flop 214) with the first flip-flop output data (e.g. the output 204) synchronously coupled to the second flip-flop (e.g., the D flip-flop 216) input by a clock signal (e.g., a CLK 212). The input multiplexer (e.g., a MUX 220) may be used to apply the first flip-flop output data (e.g., the output 204) or a test data (e.g., the SD 210) to the first flip-flop (e.g., the D flip-flop 216) input based on a state of a select signal (e.g., the LSEN 202). The select signal (e.g., the LSEN 202) may be based on a state of the OR gate (e.g., the OR gate 218) output signal having the first flip-flop output data (e.g., the output 204) and a global scan enable signal (e.g., a GSEN 200) as inputs.
  • In one embodiment, the first flip-flop (e.g., the D flip-flop 216) may be loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle to generate the at-speed local scan enable signal (e.g., the LSEN 202) from the global scan enable signal (e.g., the GSEN 200) for an at-speed transition between the launch cycle and a capture cycle in a launch-off-shift test. In a launch-off-capture test, the first flip-flop (e.g., the D flip-flop 216) may be loaded with the state ‘0’ to ensure the at-speed local scan enable signal (e.g., the LSEN 202) is de-asserted to the state ‘0’ when the global scan enable signal (e.g., the GSEN 200) is de-asserted to the state ‘0’ after a shift cycle.
  • In another embodiment, the at-speed local scan enable signal (e.g., the LSEN 202) to control a mode of operation (e.g., an initialization cycle, a launch cycle, and/or a capture cycle, etc.) may be generated synchronously from the test data (e.g., the SD 210) and the global scan enable signal (e.g., the GSEN 200) based on the clock signal (e.g., the clock 212).
  • In yet another embodiment, the at-speed local scan enable signal (e.g., the LSEN 202) may remain in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal (e.g., the GSEN 200) to ensure proper operation of the transition fault test. Since the at-speed local scan enable signal (e.g., the LSEN 202) is based on the state of the two-input OR gate (e.g., the OR gate 218) output signal having the first flip-flop output data (e.g., the output 204) and the global scan enable signal (e.g., a GSEN 200) as inputs, the first flip-flop output data (e.g., the output 204) may be kept at the state ‘0’ for the at-speed local scan enable signal (e.g., the LSEN 202) to remain at the state ‘0’ when the global scan enable signal (e.g., the GSEN 200) is at the state ‘0’.
  • As such, the first flip-flop output data (e.g., the output 204) is fed back to the input ‘0’ of the input multiplexer (e.g., the MUX 220). The input ‘0’ of the input multiplexer may be selected by the selection line (e.g., the LSEN 202) as long as the first flip-flop output data (e.g., the output 204) and the global scan enable signal (e.g., the GSEN 200) both remain in the state ‘0’.
  • FIG. 3 is a launch-off-shift method view 350 showing a comparison of timing diagrams 300 and 320 for a scan enable signal 310 and a local scan enable signal 202 generated by a last transition generator cell, according to one embodiment.
  • The timing diagram 300 shows a state (e.g., a state ‘1’, a state ‘0’) of a scan enable signal (e.g., a SEN 310) with respect to a clock signal (e.g., a CLK 308) corresponding to a mode of operation (e.g., an initialization cycle 302, a launch cycle 304, and/or a capture cycle 306, etc.) such that proper operation may be ensured in the launch-off-shift method. The scan enable signal (e.g., the SEN 310) may transition at-speed after the launch cycle 304 and before the capture cycle 306.
  • The timing diagram 320 shows a state (e.g., the state ‘1’, the state ‘0’) of an at-speed local scan enable signal (e.g., a LSEN 202) with respect to a clock signal (e.g., a CLK 212) generated from an logic OR of a global scan enable signal (e.g., a GSEN 200) and a first flip-flop output data (e.g., an output 204) corresponding to a mode of operation (e.g., an initialization cycle 322, a launch cycle 324, and/or a capture cycle 326, etc.). In one embodiment, the global scan enable signal (e.g., the GSEN 200) may be asynchronously de-asserted to the state ‘0’ in an initialization cycle (e.g., the initialization cycle 322) and asserted to the state ‘1’ after a capture cycle (e.g., the capture cycle 326). The de-assertion to the state ‘0’ in the initialization cycle and the assertion to the state ‘1’ of the global scan enable signal (e.g., the GSEN 200) after the capture cycle may not be at-speed.
  • By loading the state ‘1’ in the first flip-flop (e.g., the D flip-flop 216) in the initialization cycle (e.g., the initialization cycle 322) and loading the state ‘0’ in the first flip-flop (e.g., the flip-flop 214) in a launch cycle (e.g., the launch cycle 324), an at-speed transition from the state ‘1’ to the state ‘0’ may be generated in the at-speed local scan enable signal (e.g., the LSEN 202). After a de-assertion of the at-speed local scan enable signal (e.g., the LSEN 202), a state of the first flip-flop output may be maintained at the state ‘0’ while the global scan enable signal (e.g., the GSEN 200) is at the state ‘0’. The at-speed local scan enable signal (e.g., the LSEN 202) thus generated may be applied to a scan chain (e.g., the scan chain 150 of FIG. 1, the scan chains 500A-N of FIG. 5) such that proper operation may be ensured in the launch-off-shift method.
  • FIG. 4 is a launch-off-capture method view showing a comparison of timing diagrams 400 and 420 for a scan enable signal 410 and a local scan enable signal 202 generated by a last transition generator cell, according to one embodiment.
  • The timing diagram 400 shows a state (e.g., a state ‘1’, a state ‘0’) of a scan enable signal (e.g., a SEN 410) with respect to a clock signal (e.g., a CLK 408) corresponding to a mode of operation (e.g., an initialization cycle 402, a launch cycle 404, and/or a capture cycle 406, etc.) such that proper operation may be ensured in the launch-off-capture method. The scan enable signal (e.g., the SEN 410) may be de-asserted after the initialization cycle 402 and asserted after the capture cycle 406. The de-assertion after the initialization cycle 402 and assertion after the capture cycle 406 of the scan enable signal (e.g., the SEN 410) may not be at-speed.
  • The timing diagram 420 shows a state (e.g., the state ‘1’, the state ‘0’) of an at-speed local scan enable signal (e.g., a LSEN 202) with respect to a clock signal (e.g., a CLK 212) generated from a logic OR of a global scan enable signal (e.g., a GSEN 200) and a first flip-flop output data (e.g., an output 204) corresponding to a mode of operation (e.g., an initialization cycle 422, a launch cycle 424, and/or a capture cycle 426, etc.). In one embodiment, the global scan enable signal (e.g., the GSEN 200) may be asynchronously de-asserted to the state ‘0’ in an initialization cycle (e.g., the initialization cycle 422) and asserted to the state ‘1’ after a capture cycle (e.g., the capture cycle 426). The de-assertion to the state ‘0’ in the initialization cycle and the assertion to the state ‘1’ of the global scan enable signal (e.g., the GSEN 200) after the capture cycle may not be at-speed.
  • By loading the state ‘0’ in the first flip-flop (e.g., the D flip-flop 216) the local scan enable signal (e.g., the LSEN 202) may be de-asserted to the state ‘0’ when the global scan enable signal (e.g., the GSEN 200) is in the state ‘0’. After a de-assertion of the local scan enable signal (e.g., the LSEN 202), a state of the first flip-flop output may be maintained at the state ‘0’ while the global scan enable signal (e.g., the GSEN 200) is at the state ‘0’. The local scan enable signal (e.g., the LSEN 202) thus generated may be applied to a scan chain (e.g., the scan chain 150 of FIG. 1, the scan chains 500A-N of FIG. 5) such that proper operation may be ensured in the launch-off-capture method. Note that the local scan enable signal (e.g. the LSEN 202) thus generated for the launch-off-capture method may not be at-speed.
  • FIG. 5 is a diagram having multiple at-speed local scan enable signals (e.g., LSEN 520A-N) generated from a global scan enable signal (e.g., a GSEN 550) applied to multiple scan chains, according to one embodiment.
  • In FIG. 5, multiple scan chains (e.g., scan chains 500A-N) may be interconnected together with logic circuitry to form at least a portion of a functional circuit. Any number of at-speed local scan enable signals (e.g., the LSEN 520A-N) may be generated from the global scan enable signal (e.g., the GSEN 550) to control a mode of operation (e.g., an initialization cycle 322, 422, a launch cycle 324, 424, a capture cycle 326, 426 of FIGS. 3-4) during transition fault testing (e.g., a launch-off-shift test, a launch-off-capture test, etc.). Therefore, any number of scan chains (e.g., scan chains 500A-N) may be driven by the at-speed local scan enable signals (e.g., the LSEN 520A-N). As such, a fanout load (e.g., a combination of a scan chain, a shift register, an inverter, and/or a flip-flop, etc.) of the global scan enable signal (e.g., the GSEN 550) may be decreased to a number of last transition generator cells (e.g., a last transition generator cell 100 of FIG. 1, the last transition generator cells 510A-N) from a number of scan cells (e.g., a scan cell 102A, a scan cell 102B, and/or a scan cell 102N of FIG. 1), according to one embodiment.
  • In another embodiment, the number of last transition generator cells (e.g., the last transition generator cell 100 of FIG. 1, the last transition generator cells 510A-N) may be specified by a user and each at-speed local scan enable signal (e.g., the LSEN 202 of FIG. 2, the LSEN 520A-N) may drive any number of the scan cell (e.g., a scan cell 102A, a scan cell 102B, and/or a scan cell 102N of FIG. 1). The last transition generator cell (e.g., the last transition generator cell 100 of FIG. 1, the last transition generator cells 510A-N) may also be placed anywhere in the scan chain (e.g., a scan chain 150 of FIG. 1, the scan chains 500A-N). As a result, flexibility may be enhanced during a place-and-route procedure to meet a timing closure.
  • FIG. 6 is a process flow of generating an at-speed local scan enable signal from a global scan enable signal, according to one embodiment. In operation 602, an at-speed local scan enable signal (e.g., the at-speed local scan enable signal 202 of FIG. 1, the local scan enable signals 520A-N of FIG. 5) is generated to control a mode of operation (e.g., an initialization cycle 322, 422, a launch cycle 324, 424, a capture cycle 326, 426 of FIGS. 3-4) during transition fault testing (e.g., a launch-off-shift test, a launch-off-capture test, etc.). In operation 604, a fanout load (e.g., a combination of a scan chain, a shift register, an inverter, and/or a flip-flop, etc.) of the global scan enable signal (e.g., the GSEN 200 of FIG. 2, the GSEN 500 of FIG. 5) is decreased.
  • In operation 606, flexibility of placing any number of scan cells (e.g., a scan cell 102A, a scan cell 102B, and/or a scan cell 102N of FIG. 1) and any number of last transition generator cells (e.g., the last transition generator cell 100 of FIG. 1, the last transition generator cells 510A-N of FIG. 5) is enhanced during a place-and-route procedure to meet a timing closure.
  • Then, in operation 608, the at-speed local scan enable signal (e.g., the at-speed local scan enable signal 202 of FIG. 1, the local scan enable signals 520A-N of FIG. 5) may be generated synchronously during a launch cycle and a capture cycle from the test data (e.g., the SD 210). In operation 610, the test data (e.g., the SD 210) to generate the at-speed local scan enable signal (e.g., the at-speed local scan enable signal 202 of FIG. 1, the local scan enable signals 520A-N of FIG. 5) may be generated to embed appropriate control information based on a location and a number of the last transition generator cell (e.g., the last transition generator cell 100 of FIG. 1, the last transition generator cells 510A-N of FIG. 5) in a scan chain (e.g., the scan chain 150 of FIG. 1, the scan chains 500A-N of FIG. 5).
  • Then, in operation 612, the global scan enable signal (e.g., the GSEN 200 of FIG. 2, the GSEN 500 of FIG. 5) may be set to a state ‘1’ during test setup to overcome a failing during a ‘design rule check’ phase of an automatic test pattern generator tool (e.g., SYNOPSYS Tetramax ATPG, etc.) due to an internal nature of the at-speed local scan enable signal (e.g., the at-speed local scan enable signal 202 of FIG. 1, the local scan enable signals 520A-N of FIG. 5). In operation 614, the last transition generator cell may be inserted in the scan chain (e.g., the scan chain 150 of FIG. 1, the scan chains 500A-N of FIG. 5) by designing the last transition generator cell (e.g., the last transition generator cell 100 of FIG. 1, the last transition generator cells 510A-N of FIG. 5) as a module and declaring it as a scan segment in a design for test compiler (e.g., SYNOPSYS DFTCompiler, etc.).
  • Although the present embodiments have been described with reference to specific example embodiments, it will be evident that various modifications and changes may be made to these embodiments without departing from the broader spirit and scope of the various embodiments. For example, the various devices, modules, analyzers, generators, etc. described herein may be enabled and operated using hardware circuitry (e.g., CMOS based logic circuitry), firmware, software and/or any combination of hardware, firmware, and/or software (e.g., embodied in a machine readable medium). For example, the various electrical structure and methods may be embodied using transistors, logic gates, and electrical circuits (e.g., application specific integrated ASIC circuitry).
  • In addition, it will be appreciated that the various operations, processes, and methods disclosed herein may be embodied in a machine-readable medium and/or a machine accessible medium compatible with a data processing system (e.g., a computer system), and may be performed in any order. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims (20)

1. A digital system, comprising:
a plurality of scan chains interconnected together with logic circuitry to form at least a portion of a functional circuit, with each scan chain having any number of scan cells, a global scan enable signal and an at-speed local scan enable signal to control a mode of operation, any number of last transition generator cells physically located anywhere in the scan chain and not connected to a circuit-under-test, a clock signal, with each last transition generator cell having:
a first flip-flop with an output connected to a second flip-flop input;
an input multiplexer to apply any one of a first flip-flop output data and a test data to a first flip-flop input based on a state of the at-speed local scan enable signal; and
an OR gate having a first flip-flop output and the global scan enable signal as inputs to generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state of the first flip-flop output data.
2. The digital system of claim 1 wherein the first flip-flop is loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle in a launch-off-shift method.
3. The digital system of claim 1 wherein the first flip-flop is loaded with the state ‘0’ a launch-off-capture method.
4. The digital system of claim 1 wherein the at-speed local scan enable signal to control the mode of operation is generated synchronously in the scan chain from the test data based on the clock signal.
5. The digital system of claim 4 wherein the at-speed local scan enable signal is locally generated in the last transition generator cell from any of an at-speed and not-at-speed global scan enable signal based on the clock signal.
6. The digital system of claim 4 wherein the global scan enable signal is asynchronously de-asserted to the state ‘0’ in the initialization cycle and asserted to the state ‘1’ after the capture cycle.
7. The digital system of claim 1 wherein a number of local scan enable signals generated is specified by a user and each local scan enable signal drives any number of scan cells.
8. The digital system of claim 2 wherein the first flip-flop remains in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal.
9. The digital system of claim 8 wherein the first flip-flop remains in the state ‘0’ by feeding back the first flip-flop output data to an input ‘0’ of the input multiplexer selected by the local scan enable signal while the first flip-flop output data and the global scan enable signal both remain in the state ‘0’.
10. A last transition generator cell to generate an at-speed local scan enable signal from a global scan enable signal, comprising:
a first flip-flop with an output connected to a second flip-flop input;
an input multiplexer to apply any one of a first flip-flop output data and a test data to a first flip-flop input based on a state of the local scan enable signal;
an OR gate to generate the at-speed local scan enable signal based on a state of the global scan enable signal and a state of the first flip-flop output data; and
a clock signal to synchronously control a mode of operation.
11. The last transition generator cell of claim 10 wherein the first flip-flop is loaded with a state ‘1’ in an initialization cycle and loaded with a state ‘0’ in a launch cycle in the launch-off-shift method.
12. The last transition generator cell of claim 10 wherein the first flip-flop is loaded with the state ‘0’ in the launch-off-capture method.
13. The last transition generator cell of claim 10 wherein the at-speed local scan enable signal to control the mode of operation during transition fault testing is generated synchronously from the test data based on the clock signal.
14. The last transition generator cell of claim 11 wherein the global scan enable signal is asynchronously de-asserted to the state ‘0’ in the initialization cycle and asserted to the state ‘1’ after the capture cycle.
15. The last transition generator cell of claim 10 wherein the first flip-flop remains in the state ‘0’ during and after the capture cycle until it is asynchronously set to the state ‘1’ by the global scan enable signal, and
16. The last transition generator cell of claim 15 wherein the first flip-flop remains in the state ‘0’ by feeding back the first flip-flop output data to an input ‘0’ of the input multiplexer selected by the local scan enable signal while the first flip-flop output data and the global scan enable signal both remain in the state ‘0’.
17. A method, comprising:
generating an at-speed local scan enable signal from a global scan enable signal to control a mode of operation during transition fault testing;
decreasing a fanout load of the global scan enable signal; and
enhancing flexibility of placing any number of scan cells and any number of last transition generator cells during a place-and-route procedure to meet a timing closure.
18. The method of claim 17 further comprising generating the at-speed local scan enable signal synchronously during a launch cycle and a capture cycle from a test data.
19. The method of claim 18 further comprising generating the test data by an automatic test pattern generator tool to embed appropriate control information to generate the at-speed local scan enable signal based on a location and a number of the last transition generator cell in a scan chain.
20. The method of claim 19 further comprising setting the global scan enable signal to a state ‘1’ during test setup to overcome a failing during a ‘design rule check’ phase of the automatic test pattern generator tool due to an internal nature of the at-speed local scan enable signal and wherein the last transition generator cell is inserted in the scan chain by designing the last transition generator cell as a module and declaring it as a scan segment in a design-for-test compiler.
US11/410,628 2006-03-30 2006-04-25 At-speed transition fault testing with low speed scan enable Active 2028-05-05 US7640475B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
IN603/CHE/2006 2006-03-30
IN603CH2006 2006-03-30

Publications (2)

Publication Number Publication Date
US20070245191A1 true US20070245191A1 (en) 2007-10-18
US7640475B2 US7640475B2 (en) 2009-12-29

Family

ID=38606251

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/410,628 Active 2028-05-05 US7640475B2 (en) 2006-03-30 2006-04-25 At-speed transition fault testing with low speed scan enable

Country Status (1)

Country Link
US (1) US7640475B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015030887A1 (en) * 2013-08-28 2015-03-05 International Business Machines Corporation A q-gating cell architecture to satiate the launch-off-shift (los) testing and an algorithm to identify best q-gating candidates
US11204385B2 (en) * 2019-11-20 2021-12-21 Texas Instruments Incorporated Transition fault test (TFT) clock receiver system

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7861128B1 (en) * 2006-12-14 2010-12-28 Xilinx, Inc. Scan element with self scan-mode toggle
US8788895B2 (en) * 2010-04-08 2014-07-22 Stmicroelectronics S.R.L. Testing system for integrated circuits including components for receiving clock signals corresponding to different clock domains

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5889788A (en) * 1997-02-03 1999-03-30 Motorola, Inc. Wrapper cell architecture for path delay testing of embedded core microprocessors and method of operation
US6023778A (en) * 1997-12-12 2000-02-08 Intel Corporation Method and apparatus for utilizing mux scan flip-flops to test speed related defects by delaying an active to inactive transition of a scan mode signal
US6567943B1 (en) * 2000-04-07 2003-05-20 International Business Machines Corporation D flip-flop structure with flush path for high-speed boundary scan applications
US6622273B1 (en) * 1999-08-24 2003-09-16 Stmicroelectronics Limited Scan latch circuit
US6671860B2 (en) * 2002-04-16 2003-12-30 Lsi Logic Corporation Method and apparatus for fault injection using boundary scan for pins enabled as outputs
US20070200597A1 (en) * 2006-02-28 2007-08-30 Oakland Steven F Clock generator having improved deskewer
US7266742B1 (en) * 2004-04-06 2007-09-04 Cisco Technology, Inc. Method and apparatus for generating a local scan enable signal to test circuitry in a die

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5889788A (en) * 1997-02-03 1999-03-30 Motorola, Inc. Wrapper cell architecture for path delay testing of embedded core microprocessors and method of operation
US6023778A (en) * 1997-12-12 2000-02-08 Intel Corporation Method and apparatus for utilizing mux scan flip-flops to test speed related defects by delaying an active to inactive transition of a scan mode signal
US6622273B1 (en) * 1999-08-24 2003-09-16 Stmicroelectronics Limited Scan latch circuit
US6567943B1 (en) * 2000-04-07 2003-05-20 International Business Machines Corporation D flip-flop structure with flush path for high-speed boundary scan applications
US6671860B2 (en) * 2002-04-16 2003-12-30 Lsi Logic Corporation Method and apparatus for fault injection using boundary scan for pins enabled as outputs
US7266742B1 (en) * 2004-04-06 2007-09-04 Cisco Technology, Inc. Method and apparatus for generating a local scan enable signal to test circuitry in a die
US20070200597A1 (en) * 2006-02-28 2007-08-30 Oakland Steven F Clock generator having improved deskewer
US20080122515A1 (en) * 2006-02-28 2008-05-29 International Business Machines Corporation Clock generator having improved deskewer
US7456674B2 (en) * 2006-02-28 2008-11-25 International Business Machines Corporation Clock generator having improved deskewer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015030887A1 (en) * 2013-08-28 2015-03-05 International Business Machines Corporation A q-gating cell architecture to satiate the launch-off-shift (los) testing and an algorithm to identify best q-gating candidates
US9086458B2 (en) 2013-08-28 2015-07-21 International Business Machines Corporation Q-gating cell architecture to satiate the launch-off-shift (LOS) testing and an algorithm to identify best Q-gating candidates
US11204385B2 (en) * 2019-11-20 2021-12-21 Texas Instruments Incorporated Transition fault test (TFT) clock receiver system

Also Published As

Publication number Publication date
US7640475B2 (en) 2009-12-29

Similar Documents

Publication Publication Date Title
Kim et al. Delay defect characteristics and testing strategies
US9057766B2 (en) Isolating failing latches using a logic built-in self-test
US20060168487A1 (en) System pulse latch and shadow pulse latch coupled to output joining circuit
US20160349320A1 (en) Remote bus wrapper for testing remote cores using automatic test pattern generation and other techniques
US8479068B2 (en) Decoded register outputs enabling test clock to selected asynchronous domains
US20090300448A1 (en) Scan flip-flop device
US7882410B2 (en) Launch-on-shift support for on-chip-clocking
US7890826B2 (en) Method and apparatus for test of asynchronous pipelines
US8990623B2 (en) Avoiding BIST and MBIST intrusion logic in critical timing paths
US6446229B1 (en) Method and apparatus for integrated flip-flop to support two test modes
US6853212B2 (en) Gated scan output flip-flop
US7640475B2 (en) At-speed transition fault testing with low speed scan enable
US6349398B1 (en) Method and apparatus for partial-scan built-in self test logic
Goel et al. Low-overhead design of soft-error-tolerant scan flip-flops with enhanced-scan capability
Ahmed et al. Local at-speed scan enable generation for transition fault testing using low-cost testers
US7240263B2 (en) Apparatus for performing stuck fault testings within an integrated circuit
US6487688B1 (en) Method for testing circuits with tri-state drivers and circuit for use therewith
US11301607B2 (en) Testing of asynchronous reset logic
KR100907254B1 (en) System-on-chip having ieee 1500 wrapper and internal delay test method thereof
US10393804B2 (en) Clock selection circuit and test clock generation circuit for LBIST and ATPG test circuit
US9366725B1 (en) Multiplexer circuit
Dooply et al. Optimal clocking and enhanced testability for high-performance self-resetting domino pipelines
Kramer et al. Utilization of a local grid of Mac OS X-based computers using Xgrid
US7882454B2 (en) Apparatus and method for improved test controllability and observability of random resistant logic
Ahlawat et al. On minimization of test power through modified scan flip-flop

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:RAVIKUMAR, C.P.;AHMED, NISAR;REEL/FRAME:018467/0470;SIGNING DATES FROM 20060719 TO 20060731

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12