US20070262389A1 - Tri-gate transistors and methods to fabricate same - Google Patents

Tri-gate transistors and methods to fabricate same Download PDF

Info

Publication number
US20070262389A1
US20070262389A1 US11/828,290 US82829007A US2007262389A1 US 20070262389 A1 US20070262389 A1 US 20070262389A1 US 82829007 A US82829007 A US 82829007A US 2007262389 A1 US2007262389 A1 US 2007262389A1
Authority
US
United States
Prior art keywords
semiconductor body
integrated circuit
circuit device
height
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/828,290
Inventor
Robert Chau
Suman Datta
Brian Doyle
Been-Yih Jin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/828,290 priority Critical patent/US20070262389A1/en
Publication of US20070262389A1 publication Critical patent/US20070262389A1/en
Assigned to TAHOE RESEARCH, LTD. reassignment TAHOE RESEARCH, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEL CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • Embodiments of the invention relate generally to the field of integrated circuit device fabrication and more specifically to tri-gate transistor fabrication.
  • SOI silicon-on-insulator
  • FIGS. 1A-1D illustrate a portion of the fabrication process for creating a tri-gate SOI transistor in accordance with the prior art.
  • a carrier wafer 101 typically a silicon substrate
  • an insulator layer 102 typically silicon dioxide, disposed upon it.
  • a silicon dioxide layer may be grown on a silicon substrate.
  • a transfer wafer 103 is then bonded to the insulator layer 102 , which may facilitate the bonding.
  • the bonding of the carrier wafer to the insulator layer may be effected though a heat-induced hydrogen bonding process.
  • the transfer wafer which may be, for example, silicon, is approximately 600 microns thick.
  • the transfer wafer is then thinned to a desired thickness based upon the transistor dimensions. Typically, this thickness is approximately 50-100 nm.
  • the thinning of the transfer wafer may be accomplished through one of several typical processes. For example, a wet etch and polish process may be used to grind the transfer wafer to the desired thickness.
  • An alternative method for thinning the transfer wafer includes hydrogen implantation of the transfer layer to create a weak section of the transfer wafer. The bonded pair is then heated to effect a high temperature cleave of the hydrogen-doped interface. Subsequently, the transfer wafer surface is polished or treated in other ways to planarize the surface or further reduce the thickness. These methods provide control of the thickness to within approximately several hundred angstroms. As shown in FIG.
  • the transfer wafer 103 has been thinned to a desired dimension for the silicon body of the transistor, resulting in film layer 104 .
  • the thickness of film layer 104 is determined by the desired height of the silicon body (H Si ).
  • the film layer 104 is then selectively etched to create silicon bodies for the transistors. As shown in FIG. 1D , selectively etching the film layer 104 , using lithography techniques, results in silicon bodies 105 having a desired body width (W Si ) and body height (H Si ).
  • gate length is proportional to H Si , with H Si equal to about one-third of gate length.
  • H Si is greater than approximately 20 nm.
  • the H Si value must be uniform across a wafer in order to produce transistors with uniform characteristics.
  • the transistor threshold voltage which is directly proportional to H Si , should not vary by more than approximately 10%. Therefore, the film layer thickness that determines H Si , should not vary by more than 10%.
  • the methods of thinning the transfer layer to obtain the film layer are capable of producing a film layer of approximately 20 nm thickness that does not vary by more than approximately 10%. However, these methods fail to produce the required uniformity for thinner film layers. Therefore, current methods of fabricating SOI transistors are incapable of yielding transistors with gate lengths smaller than approximately 50 nm.
  • FIGS. 1A-1D illustrate a process for creating a tri-gate SOI transistor in accordance with the prior art
  • FIG. 2 illustrates a process for providing increased uniformity in silicon body height, H Si , in accordance with one embodiment of the invention.
  • FIGS. 3A-3G illustrate the fabrication of a tri-gate transistor in accordance with one embodiment of the invention.
  • FIG. 2 illustrates a process for providing increased uniformity in silicon body height, H Si , in accordance with one embodiment of the invention.
  • Process 200 shown in FIG. 2 , begins with operation 205 in which a trench layer is disposed upon a substrate layer.
  • the trench layer may be disposed upon the substrate layer using a chemical vapor deposition (CVD) process.
  • the substrate layer is silicon.
  • the substrate layer may be another semiconductor material such as germanium (Ge) or gallium arsenide (GaAs).
  • the trench layer thickness is determined based upon the specification of the transistor's gate length. That is, the trench layer thickness is selected to be equal to a desired H Si value.
  • the trench layer is a material that can be selectively etched using conventional etching processes.
  • the trench layer may be multiple layers of different materials with each material. In one such embodiment, the multiple layers of the trench layer are susceptible to different etching processes.
  • the trenches formed by operation 210 are filled with a semiconductor material (e.g., silicon).
  • a semiconductor material e.g., silicon
  • the trenches are filled with epitaxial silicon using a selective epitaxial process.
  • the trenches are filled in some other manner.
  • the trenches may be filled with polysilicon using a blanket deposition process.
  • the excess semiconductor material is removed. That is, semiconductor material filling the trench that extends above the surface of the remainder of the trench layer is removed.
  • CMP chemical-mechanical polish
  • the remainder of the trench layer is removed exposing semiconductor fins (i.e., the semiconductor material filling the trenches).
  • semiconductor fins i.e., the semiconductor material filling the trenches.
  • the height of the semiconductor fins is uniform to within less than 5%.
  • FIGS. 3A-3G illustrate the fabrication of a tri-gate transistor in accordance with one embodiment of the invention.
  • FIG. 3A shows a silicon substrate 301 .
  • a multi-layer trench layer is disposed on the silicon substrate 301 .
  • the trench layer is comprised of a first oxide (e.g., SiO 2 ) layer 302 , a nitride (e.g., Si 3 N 4 ) layer 303 , and a second oxide (e.g., SiO 2 ) layer 304 .
  • a first oxide e.g., SiO 2
  • a nitride e.g., Si 3 N 4
  • a second oxide e.g., SiO 2
  • FIG. 3B illustrates the application of a photoresist mask layer 305 to define the transistor bodies.
  • the patterning of the photoresist mask layer 305 determines the width of the silicon body, W Si .
  • FIG. 3C illustrates the etching of the trench layer to define trenches 306 a and 306 b .
  • a series of three distinct dry etch processes are employed.
  • the second oxide layer 304 is etched using a selective dry etch process in which the nitride layer 303 acts as an etch stop.
  • the nitride layer 303 is etched using a different selective dry etch process in which the first oxide layer 302 acts as an etch stop.
  • the first oxide layer 302 is etched using a dry etch process that is sufficiently selective to stop on the surface of the silicon substrate 301 .
  • FIG. 3D illustrates filling trenches 306 a and 306 b with silicon 307 after the photoresist layer 305 has been stripped away, as indicated.
  • the trenches may be filled with silicon through various alternative methods including epitaxial growth or blanket deposition of polysilicon.
  • FIG. 3E illustrates the silicon 307 planarized to the level of the second oxide layer 304 .
  • the planarization is effected using a CMP process.
  • the polishing process is used to remove the second oxide layer 304 and the nitride layer 303 is used as a polish stop.
  • the polish has a high selectivity between oxide and nitride.
  • the second oxide layer 304 is selectively etched to the nitride layer 303 .
  • the nitride layer 303 is etched using a wet etch process using, for example, phosphoric acid.
  • the first oxide layer 302 acts as an etch stop for such a process.
  • FIG. 3F illustrates the silicon bodies for the tri-gate transistors exposed with the removal of the trench layer (e.g., second oxide layer 304 and the nitride layer 303 ). As shown in FIG. 3F , a portion of the trench layer (e.g., first oxide layer 302 ) may be retained to effect beneficial properties of the transistor as explained below.
  • the silicon 307 forming the gate bodies has a uniform height to within a specified tolerance. For one embodiment, the height, H Si , of silicon 307 is approximately 10 nm and is uniform within 5%.
  • FIG. 3G illustrates the tri-gate transistor fabricated by forming a gate 308 surrounding the silicon 307 .
  • the gate 308 may be, for example, metal or another suitable material as known in the art.
  • Embodiments of the invention include various operations. Many of the methods are described in their most basic form, but operations can be added to or deleted from any of the methods without departing from the basic scope of the invention.
  • the trench layer described in operation 205 of FIG. 2 , may be disposed on the substrate in various alternative matters and may be comprised of more than one layer as illustrated in FIG. 3A . Furthermore, a portion of the trench layer may be retained to effect benefits. As shown in FIGS. 3F and 3G , a portion of the first oxide layer is retained to reduce fringe capacitance in the transistor.
  • the trenches formed in the trench layer may be filled with silicon in a number of ways including, for example, blanket deposition of polysilicon.
  • a blanket deposition of polysilicon is used, an annealing process is employed after deposition to anneal the silicon into a single crystal.

Abstract

Embodiments of the invention provide a method for effecting uniform silicon body height for silicon-on-insulator transistor fabrication. For one embodiment, a sacrificial oxide layer is disposed upon a semiconductor substrate. The oxide layer is etched to form a trench. The trench is then filled with a semiconductor material. The semiconductor material is then planarized with the remainder of the oxide layer and the remainder of the oxide layer is then removed. The semiconductor fins thus exposed are of uniform height to within a specified tolerance.

Description

  • This application is a divisional of U.S. patent application Ser. No. 10/760,028 filed Jan. 16, 2004.
  • FIELD
  • Embodiments of the invention relate generally to the field of integrated circuit device fabrication and more specifically to tri-gate transistor fabrication.
  • BACKGROUND
  • The trend toward increasing the number of functions of an integrated circuit device (IC device) is continuing. As the size of transistors decreases, serious drawbacks in current transistor fabrication processes become evident. For example, typical silicon-on-insulator (SOI) transistors are fabricated by coating a substrate with an insulator (e.g., glass or silicon oxide) layer. A second silicon wafer is then bonded to the insulator layer and thinned to a desired thickness (i.e., as determined by the transistor dimensions). This thinning process is very difficult to control with great accuracy.
  • FIGS. 1A-1D illustrate a portion of the fabrication process for creating a tri-gate SOI transistor in accordance with the prior art. As shown in FIG. 1A, a carrier wafer 101, typically a silicon substrate, has an insulator layer 102, typically silicon dioxide, disposed upon it. For example, a silicon dioxide layer may be grown on a silicon substrate.
  • As shown in FIG. 1B, a transfer wafer 103 is then bonded to the insulator layer 102, which may facilitate the bonding. The bonding of the carrier wafer to the insulator layer may be effected though a heat-induced hydrogen bonding process. The transfer wafer, which may be, for example, silicon, is approximately 600 microns thick.
  • The transfer wafer is then thinned to a desired thickness based upon the transistor dimensions. Typically, this thickness is approximately 50-100 nm. The thinning of the transfer wafer may be accomplished through one of several typical processes. For example, a wet etch and polish process may be used to grind the transfer wafer to the desired thickness. An alternative method for thinning the transfer wafer includes hydrogen implantation of the transfer layer to create a weak section of the transfer wafer. The bonded pair is then heated to effect a high temperature cleave of the hydrogen-doped interface. Subsequently, the transfer wafer surface is polished or treated in other ways to planarize the surface or further reduce the thickness. These methods provide control of the thickness to within approximately several hundred angstroms. As shown in FIG. 1C, the transfer wafer 103 has been thinned to a desired dimension for the silicon body of the transistor, resulting in film layer 104. The thickness of film layer 104 is determined by the desired height of the silicon body (HSi). The film layer 104 is then selectively etched to create silicon bodies for the transistors. As shown in FIG. 1D, selectively etching the film layer 104, using lithography techniques, results in silicon bodies 105 having a desired body width (WSi) and body height (HSi).
  • For typical transistor design architecture, gate length is proportional to HSi, with HSi equal to about one-third of gate length. For typical transistors with gate lengths of approximately 20-100 nm, the desired HSi is greater than approximately 20 nm. Using the current fabrication method, it is possible to create adequate film layers. However, as the gate length, and hence, the desired HSi decreases, current fabrication methods exhibit serious disadvantages.
  • The HSi value must be uniform across a wafer in order to produce transistors with uniform characteristics. For example, the transistor threshold voltage, which is directly proportional to HSi, should not vary by more than approximately 10%. Therefore, the film layer thickness that determines HSi, should not vary by more than 10%.
  • The methods of thinning the transfer layer to obtain the film layer are capable of producing a film layer of approximately 20 nm thickness that does not vary by more than approximately 10%. However, these methods fail to produce the required uniformity for thinner film layers. Therefore, current methods of fabricating SOI transistors are incapable of yielding transistors with gate lengths smaller than approximately 50 nm.
  • Moreover, the process of bonding the carrier wafer and transfer wafer, and the process of thinning the transfer wafer to the desired thickness, are costly and difficult to control.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention may be best understood by referring to the following description and accompanying drawings that are used to illustrate embodiments of the invention. In the drawings:
  • FIGS. 1A-1D illustrate a process for creating a tri-gate SOI transistor in accordance with the prior art;
  • FIG. 2 illustrates a process for providing increased uniformity in silicon body height, HSi, in accordance with one embodiment of the invention; and
  • FIGS. 3A-3G illustrate the fabrication of a tri-gate transistor in accordance with one embodiment of the invention.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are set forth. However, it is understood that embodiments of the invention may be practiced without these specific details. In other instances, well-known circuits, structures and techniques have not been shown in detail in order not to obscure the understanding of this description.
  • Reference throughout the specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, the appearance of the phrases “in one embodiment” or “in an embodiment” in various places throughout the specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.
  • Moreover, inventive aspects lie in less than all features of a single disclosed embodiment. Thus, the claims following the Detailed Description are hereby expressly incorporated into this Detailed Description, with each claim standing on its own as a separate embodiment of this invention.
  • FIG. 2 illustrates a process for providing increased uniformity in silicon body height, HSi, in accordance with one embodiment of the invention. Process 200, shown in FIG. 2, begins with operation 205 in which a trench layer is disposed upon a substrate layer. For one embodiment, the trench layer may be disposed upon the substrate layer using a chemical vapor deposition (CVD) process. For one embodiment, the substrate layer is silicon. For alternative embodiments, the substrate layer may be another semiconductor material such as germanium (Ge) or gallium arsenide (GaAs). For one embodiment, the trench layer thickness is determined based upon the specification of the transistor's gate length. That is, the trench layer thickness is selected to be equal to a desired HSi value.
  • At operation 210, selected portions of the trench layer are removed, thus forming trenches. For one embodiment, the trench layer is a material that can be selectively etched using conventional etching processes. For various alternative embodiments, the trench layer may be multiple layers of different materials with each material. In one such embodiment, the multiple layers of the trench layer are susceptible to different etching processes.
  • At operation 215, the trenches formed by operation 210, are filled with a semiconductor material (e.g., silicon). For one embodiment, the trenches are filled with epitaxial silicon using a selective epitaxial process. In an alternative embodiment, the trenches are filled in some other manner. For example, the trenches may be filled with polysilicon using a blanket deposition process.
  • At operation 220, the excess semiconductor material is removed. That is, semiconductor material filling the trench that extends above the surface of the remainder of the trench layer is removed. For one embodiment, a chemical-mechanical polish (CMP) is employed to planarize the surface of the semiconductor material.
  • At operation 225, the remainder of the trench layer is removed exposing semiconductor fins (i.e., the semiconductor material filling the trenches). For one embodiment, the height of the semiconductor fins is uniform to within less than 5%.
  • FIGS. 3A-3G illustrate the fabrication of a tri-gate transistor in accordance with one embodiment of the invention. FIG. 3A shows a silicon substrate 301. A multi-layer trench layer is disposed on the silicon substrate 301. The trench layer is comprised of a first oxide (e.g., SiO2) layer 302, a nitride (e.g., Si3N4) layer 303, and a second oxide (e.g., SiO2) layer 304. Eventually the tri-gate body thickness, HSi, will be determined by the thickness of the second oxide layer, which is a very controllable thickness.
  • FIG. 3B illustrates the application of a photoresist mask layer 305 to define the transistor bodies. The patterning of the photoresist mask layer 305 determines the width of the silicon body, WSi.
  • FIG. 3C illustrates the etching of the trench layer to define trenches 306 a and 306 b. For one embodiment, a series of three distinct dry etch processes are employed. In such an embodiment, the second oxide layer 304 is etched using a selective dry etch process in which the nitride layer 303 acts as an etch stop. Then the nitride layer 303 is etched using a different selective dry etch process in which the first oxide layer 302 acts as an etch stop. Finally, the first oxide layer 302 is etched using a dry etch process that is sufficiently selective to stop on the surface of the silicon substrate 301.
  • FIG. 3D illustrates filling trenches 306 a and 306 b with silicon 307 after the photoresist layer 305 has been stripped away, as indicated. As noted above, the trenches may be filled with silicon through various alternative methods including epitaxial growth or blanket deposition of polysilicon.
  • FIG. 3E illustrates the silicon 307 planarized to the level of the second oxide layer 304. For one embodiment, the planarization is effected using a CMP process. For one embodiment, the polishing process is used to remove the second oxide layer 304 and the nitride layer 303 is used as a polish stop. For such an embodiment, the polish has a high selectivity between oxide and nitride. For an alternative embodiment, the second oxide layer 304 is selectively etched to the nitride layer 303. Subsequently, the nitride layer 303 is etched using a wet etch process using, for example, phosphoric acid. The first oxide layer 302 acts as an etch stop for such a process.
  • FIG. 3F illustrates the silicon bodies for the tri-gate transistors exposed with the removal of the trench layer (e.g., second oxide layer 304 and the nitride layer 303). As shown in FIG. 3F, a portion of the trench layer (e.g., first oxide layer 302) may be retained to effect beneficial properties of the transistor as explained below. The silicon 307 forming the gate bodies has a uniform height to within a specified tolerance. For one embodiment, the height, HSi, of silicon 307 is approximately 10 nm and is uniform within 5%.
  • FIG. 3G illustrates the tri-gate transistor fabricated by forming a gate 308 surrounding the silicon 307. The gate 308 may be, for example, metal or another suitable material as known in the art.
  • General Matters
  • Embodiments of the invention include various operations. Many of the methods are described in their most basic form, but operations can be added to or deleted from any of the methods without departing from the basic scope of the invention. For example, the trench layer, described in operation 205 of FIG. 2, may be disposed on the substrate in various alternative matters and may be comprised of more than one layer as illustrated in FIG. 3A. Furthermore, a portion of the trench layer may be retained to effect benefits. As shown in FIGS. 3F and 3G, a portion of the first oxide layer is retained to reduce fringe capacitance in the transistor.
  • As described above, the trenches formed in the trench layer may be filled with silicon in a number of ways including, for example, blanket deposition of polysilicon. For an embodiment in which a blanket deposition of polysilicon is used, an annealing process is employed after deposition to anneal the silicon into a single crystal.
  • While the invention has been described in terms of several embodiments, those skilled in the art will recognize that the invention is not limited to the embodiments described, but can be practiced with modification and alteration within the spirit and scope of the appended claims. The description is thus to be regarded as illustrative instead of limiting.

Claims (20)

1. An integrated circuit device comprising:
a substrate; and
a plurality of transistors formed upon the substrate, each transistor of the plurality having a semiconductor body, each semiconductor body having a height (Hsi) of less than 20 nm, the height (Hsi) across the plurality of transistors being uniform to within 5%.
2. The integrated circuit device of claim 1, wherein the semiconductor body is adjacent to a dielectric layer on the substrate, the dielectric layer having a thickness uniformity across the substrate that is lower than the uniformity of the height the semiconductor body (Hsi) across the plurality of transistors.
3. The integrated circuit device of claim 2, wherein the semiconductor body has a height (Hsi) of approximately 10 nm and the variation in Hsi across the plurality of transistors no greater than 0.5 nm.
4. The integrated circuit device of claim 1, wherein the semiconductor body further comprises an upper portion of a semiconductor film, the semiconductor film having a thickness uniformity across the plurality of transistors that is lower than the uniformity of the height semiconductor body (Hsi) across the plurality of transistors.
5. The integrated circuit device of claim 1, wherein the semiconductor body is epitaxial single crystalline semiconductor.
6. The integrated circuit device of claim 5, wherein the substrate comprises single crystalline silicon and the epitaxial single crystalline semiconductor body comprises silicon.
7. The integrated circuit device of claim 1 wherein one or more of the plurality of transistors are tri-gate transistors.
8. An integrated circuit device comprising:
a plurality of transistors on a substrate, each transistor of the plurality having a semiconductor body extending a distance from the substrate, wherein the variation in a transistor body height (HSi) across the plurality is less than the variation in the distance, across the plurality, the semiconductor bodies extend from the substrate.
9. The integrated circuit device of claim 8, wherein the variation in the distance, across the plurality, the semiconductor bodies extend from the substrate is greater than 5% of the transistor body height (Hsi).
10. The integrated circuit device of claim 8, wherein each of said plurality of transistors further comprises:
a gate dielectric on a sidewall of the semiconductor body, wherein the sidewall has a height (HSi) that is less than the distance the semiconductor body extends from the substrate;
a gate electrode on the gate dielectric; and
a source and drain region in the semiconductor body on opposite sides of said gate electrode.
11. The integrated circuit device of claim 10, wherein the gate electrode extends over an isolation dielectric adjacent to the semiconductor body, the isolation dielectric having a thickness uniformity across the substrate that is lower than the uniformity of the transistor body height (HSi) across the plurality of transistors.
12. The integrated circuit device of claim 8, wherein each semiconductor body is epitaxial single crystalline semiconductor.
13. The integrated circuit device of claim 12, wherein the substrate is single crystalline silicon and the epitaxial single crystalline semiconductor body is silicon.
14. The integrated circuit device of claim 8, wherein each semiconductor body has a height of less than 20 nm and the height of each semiconductor body (Hsi) of the plurality of transistors is uniform to within 5%.
15. An integrated circuit device comprising:
a first tri-gate transistor having a gate dielectric on a sidewall of a first semiconductor body, the first semiconductor body extending from a substrate by a first distance, wherein the sidewall of the first semiconductor body has a first sidewall height that is less than the first distance;
a second tri-gate transistor having the gate dielectric on a sidewall of a second semiconductor body, the second semiconductor body extending from the substrate by a second distance wherein the sidewall of the second semiconductor body has a second sidewall height that is less than the second distance and wherein the second sidewall height is within 5% of the first sidewall height.
16. The integrated circuit device of claim 15, wherein the second distance is different from the first distance by more than 5% of either the first or second sidewall height.
17. The integrated circuit device of claim 16, wherein the first and second sidewall height are approximately 10 nm.
18. The integrated circuit device of claim 15, further comprising a dielectric isolation layer between the first and second tri-gate transistor, the dielectric isolation layer having a thickness variation of more than 5% of either the first or second sidewall height.
19. The integrated circuit device of claim 15, wherein the first and second semiconductor body comprises epitaxial single crystalline semiconductor.
20. The integrated circuit device of claim 19, wherein the epitaxial single crystalline semiconductor comprises silicon.
US11/828,290 2004-01-16 2007-07-25 Tri-gate transistors and methods to fabricate same Abandoned US20070262389A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/828,290 US20070262389A1 (en) 2004-01-16 2007-07-25 Tri-gate transistors and methods to fabricate same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/760,028 US7268058B2 (en) 2004-01-16 2004-01-16 Tri-gate transistors and methods to fabricate same
US11/828,290 US20070262389A1 (en) 2004-01-16 2007-07-25 Tri-gate transistors and methods to fabricate same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/760,028 Division US7268058B2 (en) 2004-01-16 2004-01-16 Tri-gate transistors and methods to fabricate same

Publications (1)

Publication Number Publication Date
US20070262389A1 true US20070262389A1 (en) 2007-11-15

Family

ID=34749835

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/760,028 Expired - Lifetime US7268058B2 (en) 2004-01-16 2004-01-16 Tri-gate transistors and methods to fabricate same
US11/828,290 Abandoned US20070262389A1 (en) 2004-01-16 2007-07-25 Tri-gate transistors and methods to fabricate same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/760,028 Expired - Lifetime US7268058B2 (en) 2004-01-16 2004-01-16 Tri-gate transistors and methods to fabricate same

Country Status (6)

Country Link
US (2) US7268058B2 (en)
EP (1) EP1704590A1 (en)
JP (1) JP2007521667A (en)
CN (1) CN100550324C (en)
TW (1) TWI297212B (en)
WO (1) WO2005071730A1 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080169512A1 (en) * 2004-08-10 2008-07-17 Doyle Brian S Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20090061572A1 (en) * 2003-06-27 2009-03-05 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
US7781771B2 (en) 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7879675B2 (en) 2005-03-14 2011-02-01 Intel Corporation Field effect transistor with metal source/drain regions
US7898041B2 (en) 2005-06-30 2011-03-01 Intel Corporation Block contact architectures for nanoscale channel transistors
US7902014B2 (en) 2005-09-28 2011-03-08 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US7989280B2 (en) 2005-11-30 2011-08-02 Intel Corporation Dielectric interface for group III-V semiconductor device
US8067818B2 (en) 2004-10-25 2011-11-29 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8071983B2 (en) 2005-06-21 2011-12-06 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8084818B2 (en) 2004-06-30 2011-12-27 Intel Corporation High mobility tri-gate devices and methods of fabrication
US8183646B2 (en) 2005-02-23 2012-05-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8193567B2 (en) 2005-09-28 2012-06-05 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US8268709B2 (en) 2004-09-29 2012-09-18 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
CN102956466A (en) * 2011-08-26 2013-03-06 联华电子股份有限公司 Finned transistor and manufacturing method thereof
US8405164B2 (en) 2003-06-27 2013-03-26 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
US8617945B2 (en) 2006-08-02 2013-12-31 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
CN104037085A (en) * 2013-03-07 2014-09-10 中国科学院微电子研究所 Manufacturing method for semiconductor device
US20150171086A1 (en) * 2013-10-17 2015-06-18 Globalfoundries Inc. Selective Growth of a Work-Function Metal in a Replacement Metal Gate of a Semiconductor Device
US9337307B2 (en) 2005-06-15 2016-05-10 Intel Corporation Method for fabricating transistor with thinned channel
US9406805B2 (en) 2011-08-17 2016-08-02 United Microelectronics Corp. Fin-FET

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP2007299991A (en) * 2006-05-01 2007-11-15 Toshiba Corp Semiconductor device and its manufacturing method
US7354832B2 (en) * 2006-05-03 2008-04-08 Intel Corporation Tri-gate device with conformal PVD workfunction metal on its three-dimensional body and fabrication method thereof
KR100801078B1 (en) * 2006-06-29 2008-02-11 삼성전자주식회사 Non volatile memory integrate circuit having vertical channel and fabricating method thereof
US7517764B2 (en) * 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
US8247850B2 (en) * 2007-01-04 2012-08-21 Freescale Semiconductor, Inc. Dual interlayer dielectric stressor integration with a sacrificial underlayer film stack
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
KR101093588B1 (en) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-junction solar cells
US7763943B2 (en) * 2007-12-26 2010-07-27 Intel Corporation Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US20090206404A1 (en) * 2008-02-15 2009-08-20 Ravi Pillarisetty Reducing external resistance of a multi-gate device by silicidation
US8129749B2 (en) * 2008-03-28 2012-03-06 Intel Corporation Double quantum well structures for transistors
US8278687B2 (en) * 2008-03-28 2012-10-02 Intel Corporation Semiconductor heterostructures to reduce short channel effects
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US7884354B2 (en) * 2008-07-31 2011-02-08 Intel Corporation Germanium on insulator (GOI) semiconductor substrates
US7781283B2 (en) * 2008-08-15 2010-08-24 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US7979836B2 (en) * 2008-08-15 2011-07-12 International Business Machines Corporation Split-gate DRAM with MuGFET, design structure, and method of manufacture
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
EP2528087B1 (en) 2008-09-19 2016-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US8184472B2 (en) * 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8440998B2 (en) * 2009-12-21 2013-05-14 Intel Corporation Increasing carrier injection velocity for integrated circuit devices
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8313995B2 (en) * 2011-01-13 2012-11-20 Infineon Technologies Austria Ag Method for manufacturing a semiconductor device
CN103137445B (en) * 2011-12-05 2015-12-02 中芯国际集成电路制造(上海)有限公司 Form the method for Finfet doping fin
CN103177948B (en) * 2011-12-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 The fin of fin field effect pipe and the formation method of fin field effect pipe
US9082746B2 (en) * 2012-01-16 2015-07-14 Infineon Technologies Austria Ag Method for forming self-aligned trench contacts of semiconductor components and a semiconductor component
US8865535B2 (en) * 2012-04-13 2014-10-21 Sandisk Technologies Inc. Fabricating 3D non-volatile storage with transistor decoding structure
US20130302954A1 (en) * 2012-05-10 2013-11-14 Globalfoundries Inc. Methods of forming fins for a finfet device without performing a cmp process
CN103515234B (en) * 2012-06-25 2016-12-21 中芯国际集成电路制造(上海)有限公司 The method forming FinFET
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103578995B (en) * 2012-07-27 2015-12-02 中芯国际集成电路制造(上海)有限公司 Form the method for FinFET
CN103779210A (en) * 2012-10-18 2014-05-07 中国科学院微电子研究所 Manufacturing method of fin-shaped structure of FinFET
CN103811324B (en) * 2012-11-13 2016-08-31 中芯国际集成电路制造(上海)有限公司 The forming method of fin field effect pipe
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US9165933B2 (en) 2013-03-07 2015-10-20 Sandisk 3D Llc Vertical bit line TFT decoder for high voltage operation
US9082788B2 (en) 2013-05-31 2015-07-14 Stmicroelectronics, Inc. Method of making a semiconductor device including an all around gate
US8987082B2 (en) 2013-05-31 2015-03-24 Stmicroelectronics, Inc. Method of making a semiconductor device using sacrificial fins
US20140353716A1 (en) 2013-05-31 2014-12-04 Stmicroelectronics, Inc Method of making a semiconductor device using a dummy gate
CN104347409B (en) * 2013-07-24 2017-11-28 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9240420B2 (en) 2013-09-06 2016-01-19 Sandisk Technologies Inc. 3D non-volatile storage with wide band gap transistor decoder
CN103887177A (en) * 2014-03-27 2014-06-25 上海集成电路研发中心有限公司 Finned active area manufacturing method
US20150380258A1 (en) * 2014-06-25 2015-12-31 Stmicroelectronics, Inc. Method for controlling height of a fin structure
CN105428413B (en) * 2014-07-24 2019-01-22 中芯国际集成电路制造(上海)有限公司 Fin field effect pipe and forming method thereof
US9312143B1 (en) 2014-11-24 2016-04-12 International Business Machines Corporation Formation of isolation surrounding well implantation
US9530701B2 (en) 2014-12-18 2016-12-27 International Business Machines Corporation Method of forming semiconductor fins on SOI substrate
US20170018427A1 (en) * 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
US9397005B1 (en) 2015-07-20 2016-07-19 International Business Machines Corporation Dual-material mandrel for epitaxial crystal growth on silicon
CN107039519B (en) * 2016-02-03 2019-12-03 中芯国际集成电路制造(上海)有限公司 Fin formula field effect transistor and forming method thereof
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same

Citations (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US4996574A (en) * 1988-07-01 1991-02-26 Fujitsu Limited MIS transistor structure for increasing conductance between source and drain regions
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
US5466621A (en) * 1988-11-21 1995-11-14 Hitachi, Ltd. Method of manufacturing a semiconductor device having silicon islands
US5482877A (en) * 1993-02-17 1996-01-09 Samsung Electronics Co., Ltd. Method for making a semiconductor device having a silicon-on-insulator structure
US5595941A (en) * 1994-06-01 1997-01-21 Mitsubishi Denki Kabushiki Kaisha Method of forming fine patterns
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US5859456A (en) * 1994-11-02 1999-01-12 Texas Instruments Incorporated Multiple transistor integrated circuit with thick copper interconnect
US5880015A (en) * 1991-04-30 1999-03-09 Sgs-Thomson Microelectronics, Inc. Method of producing stepped wall interconnects and gates
US5889304A (en) * 1996-06-28 1999-03-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6013926A (en) * 1996-11-20 2000-01-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with refractory metal element
US6018176A (en) * 1995-05-26 2000-01-25 Samsung Electronics Co., Ltd. Vertical transistor and memory cell
US6031249A (en) * 1996-07-11 2000-02-29 Semiconductor Energy Laboratory Co., Ltd. CMOS semiconductor device having boron doped channel
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
US6190975B1 (en) * 1996-09-17 2001-02-20 Matsushita Electric Industrial Co., Ltd. Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6335251B2 (en) * 1998-05-29 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
US20020037619A1 (en) * 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US20030036290A1 (en) * 2001-08-17 2003-02-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US20030042542A1 (en) * 1996-04-26 2003-03-06 Shigeto Maegawa Semiconductor device having a thin film transistor and manufacturing method thereof
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US6537862B2 (en) * 2001-05-23 2003-03-25 Samsung Electronics Co., Ltd. Method of forming semiconductor device having a GAA type transistor
US20030057486A1 (en) * 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20030057477A1 (en) * 1999-06-18 2003-03-27 Hergenrother John Michael CMOS integrated circuit having vertical transistors and a process for fabricating same
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US20040016968A1 (en) * 2002-04-08 2004-01-29 Stmicroelectronics S.A. Surround-gate semiconductor device encapsulated in an insulating medium
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US20040029345A1 (en) * 2000-06-09 2004-02-12 Simon Deleonibus Damascene architecture electronics storage and method for making same
US20040029393A1 (en) * 2002-08-12 2004-02-12 Applied Materials, Inc. Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US20040033639A1 (en) * 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US20040036118A1 (en) * 2002-08-26 2004-02-26 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
US20040036127A1 (en) * 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US20040038533A1 (en) * 1999-04-09 2004-02-26 Chunlin Liang Isolated junction structure and method of manufacture
US20040038436A1 (en) * 2002-08-09 2004-02-26 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20050020020A1 (en) * 2002-07-16 2005-01-27 Nadine Collaert Integrated semiconductor fin device and a method for manufacturing such device
US20050019993A1 (en) * 2003-07-24 2005-01-27 Deok-Hyung Lee Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
US6849556B2 (en) * 2002-09-27 2005-02-01 Oki Electric Industry Co., Ltd. Etching method, gate etching method, and method of manufacturing semiconductor devices
US6849884B2 (en) * 2002-03-19 2005-02-01 International Business Machines Corporation Strained Fin FETs structure and method
US6852559B2 (en) * 2002-12-06 2005-02-08 Hynix Semiconductor Inc. Transistor of semiconductor device, and method for manufacturing the same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US20050035415A1 (en) * 2003-08-13 2005-02-17 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
US20050040444A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US20050059214A1 (en) * 2003-09-16 2005-03-17 International Business Machines Corporation Method and structure of vertical strained silicon devices
US6870226B2 (en) * 2002-10-17 2005-03-22 Renesas Technology Corp. Semiconductor device and method of manufacturing same
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6869898B2 (en) * 2000-07-31 2005-03-22 Heraeus Quarzglas Gmbh & Co. Kg Quartz glass jig for processing apparatus using plasma
US20060014338A1 (en) * 2004-06-30 2006-01-19 International Business Machines Corporation Method and structure for strained finfet devices
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6998318B2 (en) * 2002-07-26 2006-02-14 Dongbuanam Semiconductor Inc. Method for forming short-channel transistors
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) * 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US20060046521A1 (en) * 2004-09-01 2006-03-02 Vaartstra Brian A Deposition methods using heteroleptic precursors
US7013447B2 (en) * 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
US20060063469A1 (en) * 2002-01-17 2006-03-23 Homayoun Talieh Advanced chemical mechanical polishing system with smart endpoint detection
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
US20070001219A1 (en) * 2005-06-30 2007-01-04 Marko Radosavljevic Block contact architectures for nanoscale channel transistors
US7163898B2 (en) * 2002-07-31 2007-01-16 Stmicroelectronics S.R.L. Method for manufacturing semiconductor integrated circuit structures
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20070029624A1 (en) * 2005-08-03 2007-02-08 International Business Machines Corporation Fin-type field effect transistor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US20070045735A1 (en) * 2005-08-31 2007-03-01 Orlowski Marius K FinFET structure with contacts
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US20080017934A1 (en) * 2006-05-18 2008-01-24 Samsung Electronic Co., Ltd. Wire-type semiconductor devices and methods of fabricating the same
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR930003790B1 (en) * 1990-07-02 1993-05-10 삼성전자 주식회사 Dielectric meterial
JP3202223B2 (en) * 1990-11-27 2001-08-27 日本電気株式会社 Method for manufacturing transistor
US5521859A (en) * 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JP2851968B2 (en) * 1991-04-26 1999-01-27 キヤノン株式会社 Semiconductor device having improved insulated gate transistor and method of manufacturing the same
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5405454A (en) * 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (en) * 1992-03-30 1997-01-16 三星電子株式会社 Method of manufacturing thin film transistor having three-dimensional multi-channel structure
JPH0793441B2 (en) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド Thin film transistor and manufacturing method thereof
JPH06177089A (en) 1992-12-04 1994-06-24 Fujitsu Ltd Manufacture of semiconductor device
EP0623963A1 (en) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET on SOI substrate
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3460863B2 (en) 1993-09-17 2003-10-27 三菱電機株式会社 Method for manufacturing semiconductor device
JPH08125152A (en) * 1994-10-28 1996-05-17 Canon Inc Semiconductor device, correlation operating unit empolying it, ad converter, da converter, and signal processing system
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
JPH08204191A (en) * 1995-01-20 1996-08-09 Sony Corp Field-effect transistor and its manufacture
US5658806A (en) * 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5851882A (en) * 1996-05-06 1998-12-22 Micron Technology, Inc. ZPROM manufacture and design and methods for forming thin structures using spacers as an etching mask
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH1140811A (en) * 1997-07-22 1999-02-12 Hitachi Ltd Semiconductor device and manufacture thereof
US6066869A (en) * 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6114201A (en) * 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
JP2001093972A (en) * 1999-09-20 2001-04-06 Denso Corp Method for manufacture of semiconductor device
US6259135B1 (en) * 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
JP4923318B2 (en) * 1999-12-17 2012-04-25 ソニー株式会社 Nonvolatile semiconductor memory device and operation method thereof
JP3846706B2 (en) * 2000-02-23 2006-11-15 信越半導体株式会社 Polishing method and polishing apparatus for wafer outer peripheral chamfer
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6391782B1 (en) * 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
JP2002118255A (en) * 2000-07-31 2002-04-19 Toshiba Corp Semiconductor device and manufacturing method thereof
KR100338778B1 (en) * 2000-08-21 2002-05-31 윤종용 Method for fabricating MOS transistor using selective silicide process
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
AU2001267880A1 (en) 2000-11-22 2002-06-03 Hitachi Ltd. Semiconductor device and method for fabricating the same
US6921947B2 (en) * 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (en) * 2000-12-26 2002-07-12 Nec Corp Method for fabricating semiconductor device
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) * 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
US6635923B2 (en) * 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
JP2003017508A (en) 2001-07-05 2003-01-17 Nec Corp Field effect transistor
US6492212B1 (en) * 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) * 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (en) * 2002-01-29 2004-07-27 삼성전자주식회사 Method of forming mos transistor having notched gate
KR100458288B1 (en) 2002-01-30 2004-11-26 한국과학기술원 Double-Gate FinFET
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (en) 2002-02-22 2006-06-07 株式会社東芝 Semiconductor device, semiconductor device manufacturing method, and semiconductor substrate manufacturing method
US6762469B2 (en) * 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
JP2004175866A (en) 2002-11-26 2004-06-24 Nippon Kayaku Co Ltd Adhesive composition for optical disk, cured product and article
US6825506B2 (en) * 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US7728360B2 (en) * 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6794718B2 (en) * 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
JP4418760B2 (en) 2002-12-20 2010-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated antifuse structure for fin-type FET and CMOS devices
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) * 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
KR100607647B1 (en) 2003-03-14 2006-08-23 주식회사 하이닉스반도체 Method for forming semiconductor device
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
TWI231994B (en) 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
JP3976703B2 (en) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1519420A2 (en) * 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7223679B2 (en) * 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7247578B2 (en) * 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
US7224029B2 (en) * 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
US4996574A (en) * 1988-07-01 1991-02-26 Fujitsu Limited MIS transistor structure for increasing conductance between source and drain regions
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5466621A (en) * 1988-11-21 1995-11-14 Hitachi, Ltd. Method of manufacturing a semiconductor device having silicon islands
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
US5880015A (en) * 1991-04-30 1999-03-09 Sgs-Thomson Microelectronics, Inc. Method of producing stepped wall interconnects and gates
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
US5482877A (en) * 1993-02-17 1996-01-09 Samsung Electronics Co., Ltd. Method for making a semiconductor device having a silicon-on-insulator structure
US5595941A (en) * 1994-06-01 1997-01-21 Mitsubishi Denki Kabushiki Kaisha Method of forming fine patterns
US5859456A (en) * 1994-11-02 1999-01-12 Texas Instruments Incorporated Multiple transistor integrated circuit with thick copper interconnect
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
US6018176A (en) * 1995-05-26 2000-01-25 Samsung Electronics Co., Ltd. Vertical transistor and memory cell
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
US6693324B2 (en) * 1996-04-26 2004-02-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having a thin film transistor and manufacturing method thereof
US20030042542A1 (en) * 1996-04-26 2003-03-06 Shigeto Maegawa Semiconductor device having a thin film transistor and manufacturing method thereof
US5889304A (en) * 1996-06-28 1999-03-30 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US6031249A (en) * 1996-07-11 2000-02-29 Semiconductor Energy Laboratory Co., Ltd. CMOS semiconductor device having boron doped channel
US6190975B1 (en) * 1996-09-17 2001-02-20 Matsushita Electric Industrial Co., Ltd. Method of forming HCMOS devices with a silicon-germanium-carbon compound semiconductor layer
US6013926A (en) * 1996-11-20 2000-01-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with refractory metal element
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6335251B2 (en) * 1998-05-29 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
US20040038533A1 (en) * 1999-04-09 2004-02-26 Chunlin Liang Isolated junction structure and method of manufacture
US20030057477A1 (en) * 1999-06-18 2003-03-27 Hergenrother John Michael CMOS integrated circuit having vertical transistors and a process for fabricating same
US20040029345A1 (en) * 2000-06-09 2004-02-12 Simon Deleonibus Damascene architecture electronics storage and method for making same
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6869898B2 (en) * 2000-07-31 2005-03-22 Heraeus Quarzglas Gmbh & Co. Kg Quartz glass jig for processing apparatus using plasma
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US20020037619A1 (en) * 2000-09-22 2002-03-28 Kohei Sugihara Semiconductor device and method of producing the same
US6525403B2 (en) * 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US20020036290A1 (en) * 2000-09-28 2002-03-28 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US20040033639A1 (en) * 2001-05-07 2004-02-19 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6537862B2 (en) * 2001-05-23 2003-03-25 Samsung Electronics Co., Ltd. Method of forming semiconductor device having a GAA type transistor
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US20030036290A1 (en) * 2001-08-17 2003-02-20 United Microelectronics Corp. Method for improving the coating capability of low-k dielectric layer
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20030057486A1 (en) * 2001-09-27 2003-03-27 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US20060063469A1 (en) * 2002-01-17 2006-03-23 Homayoun Talieh Advanced chemical mechanical polishing system with smart endpoint detection
US6849884B2 (en) * 2002-03-19 2005-02-01 International Business Machines Corporation Strained Fin FETs structure and method
US20040016968A1 (en) * 2002-04-08 2004-01-29 Stmicroelectronics S.A. Surround-gate semiconductor device encapsulated in an insulating medium
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US20040031979A1 (en) * 2002-06-07 2004-02-19 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US20050020020A1 (en) * 2002-07-16 2005-01-27 Nadine Collaert Integrated semiconductor fin device and a method for manufacturing such device
US6998318B2 (en) * 2002-07-26 2006-02-14 Dongbuanam Semiconductor Inc. Method for forming short-channel transistors
US7163898B2 (en) * 2002-07-31 2007-01-16 Stmicroelectronics S.R.L. Method for manufacturing semiconductor integrated circuit structures
US20040038436A1 (en) * 2002-08-09 2004-02-26 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device
US20040029393A1 (en) * 2002-08-12 2004-02-12 Applied Materials, Inc. Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US20040036127A1 (en) * 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US20040036126A1 (en) * 2002-08-23 2004-02-26 Chau Robert S. Tri-gate devices and methods of fabrication
US6858478B2 (en) * 2002-08-23 2005-02-22 Intel Corporation Tri-gate devices and methods of fabrication
US20040036118A1 (en) * 2002-08-26 2004-02-26 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
US6849556B2 (en) * 2002-09-27 2005-02-01 Oki Electric Industry Co., Ltd. Etching method, gate etching method, and method of manufacturing semiconductor devices
US6870226B2 (en) * 2002-10-17 2005-03-22 Renesas Technology Corp. Semiconductor device and method of manufacturing same
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6852559B2 (en) * 2002-12-06 2005-02-08 Hynix Semiconductor Inc. Transistor of semiconductor device, and method for manufacturing the same
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US7013447B2 (en) * 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
US20050019993A1 (en) * 2003-07-24 2005-01-27 Deok-Hyung Lee Methods for fabricating fin field effect transistors using a protective layer to reduce etching damage
US20050035415A1 (en) * 2003-08-13 2005-02-17 Yee-Chia Yeo Multiple-gate transistors formed on bulk substrates
US20050040444A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Strained-channel fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US20050059214A1 (en) * 2003-09-16 2005-03-17 International Business Machines Corporation Method and structure of vertical strained silicon devices
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
US20060014338A1 (en) * 2004-06-30 2006-01-19 International Business Machines Corporation Method and structure for strained finfet devices
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US20060043500A1 (en) * 2004-08-24 2006-03-02 Jian Chen Transistor structure with stress modification and capacitive reduction feature in a channel direction and method thereof
US20060046521A1 (en) * 2004-09-01 2006-03-02 Vaartstra Brian A Deposition methods using heteroleptic precursors
US20060068591A1 (en) * 2004-09-29 2006-03-30 Marko Radosavljevic Fabrication of channel wraparound gate structure for field-effect transistor
US20070001219A1 (en) * 2005-06-30 2007-01-04 Marko Radosavljevic Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20070029624A1 (en) * 2005-08-03 2007-02-08 International Business Machines Corporation Fin-type field effect transistor
US20070045735A1 (en) * 2005-08-31 2007-03-01 Orlowski Marius K FinFET structure with contacts
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20080017934A1 (en) * 2006-05-18 2008-01-24 Samsung Electronic Co., Ltd. Wire-type semiconductor devices and methods of fabricating the same
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090061572A1 (en) * 2003-06-27 2009-03-05 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US8405164B2 (en) 2003-06-27 2013-03-26 Intel Corporation Tri-gate transistor device with stress incorporation layer and method of fabrication
US7820513B2 (en) 2003-06-27 2010-10-26 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US8273626B2 (en) 2003-06-27 2012-09-25 Intel Corporationn Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7781771B2 (en) 2004-03-31 2010-08-24 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US8084818B2 (en) 2004-06-30 2011-12-27 Intel Corporation High mobility tri-gate devices and methods of fabrication
US20080169512A1 (en) * 2004-08-10 2008-07-17 Doyle Brian S Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7960794B2 (en) 2004-08-10 2011-06-14 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US8399922B2 (en) 2004-09-29 2013-03-19 Intel Corporation Independently accessed double-gate and tri-gate transistors
US8268709B2 (en) 2004-09-29 2012-09-18 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US9190518B2 (en) 2004-10-25 2015-11-17 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US10236356B2 (en) 2004-10-25 2019-03-19 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8067818B2 (en) 2004-10-25 2011-11-29 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8749026B2 (en) 2004-10-25 2014-06-10 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US8502351B2 (en) 2004-10-25 2013-08-06 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US9741809B2 (en) 2004-10-25 2017-08-22 Intel Corporation Nonplanar device with thinned lower body portion and method of fabrication
US10121897B2 (en) 2005-02-23 2018-11-06 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9614083B2 (en) 2005-02-23 2017-04-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8664694B2 (en) 2005-02-23 2014-03-04 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8368135B2 (en) 2005-02-23 2013-02-05 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9048314B2 (en) 2005-02-23 2015-06-02 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9748391B2 (en) 2005-02-23 2017-08-29 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US9368583B2 (en) 2005-02-23 2016-06-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8816394B2 (en) 2005-02-23 2014-08-26 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8183646B2 (en) 2005-02-23 2012-05-22 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7879675B2 (en) 2005-03-14 2011-02-01 Intel Corporation Field effect transistor with metal source/drain regions
US9337307B2 (en) 2005-06-15 2016-05-10 Intel Corporation Method for fabricating transistor with thinned channel
US9806195B2 (en) 2005-06-15 2017-10-31 Intel Corporation Method for fabricating transistor with thinned channel
US9385180B2 (en) 2005-06-21 2016-07-05 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8581258B2 (en) 2005-06-21 2013-11-12 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8933458B2 (en) 2005-06-21 2015-01-13 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US9761724B2 (en) 2005-06-21 2017-09-12 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US8071983B2 (en) 2005-06-21 2011-12-06 Intel Corporation Semiconductor device structures and methods of forming semiconductor structures
US7898041B2 (en) 2005-06-30 2011-03-01 Intel Corporation Block contact architectures for nanoscale channel transistors
US7736956B2 (en) 2005-08-17 2010-06-15 Intel Corporation Lateral undercut of metal gate in SOI device
US7902014B2 (en) 2005-09-28 2011-03-08 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US8294180B2 (en) 2005-09-28 2012-10-23 Intel Corporation CMOS devices with a single work function gate electrode and method of fabrication
US8193567B2 (en) 2005-09-28 2012-06-05 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7989280B2 (en) 2005-11-30 2011-08-02 Intel Corporation Dielectric interface for group III-V semiconductor device
US8617945B2 (en) 2006-08-02 2013-12-31 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US8741733B2 (en) 2008-06-23 2014-06-03 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9450092B2 (en) 2008-06-23 2016-09-20 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9224754B2 (en) 2008-06-23 2015-12-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9806193B2 (en) 2008-06-23 2017-10-31 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US9406805B2 (en) 2011-08-17 2016-08-02 United Microelectronics Corp. Fin-FET
CN102956466A (en) * 2011-08-26 2013-03-06 联华电子股份有限公司 Finned transistor and manufacturing method thereof
US9634007B2 (en) 2012-09-28 2017-04-25 Intel Corporation Trench confined epitaxially grown device layer(s)
US8765563B2 (en) * 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
CN104037085A (en) * 2013-03-07 2014-09-10 中国科学院微电子研究所 Manufacturing method for semiconductor device
US20150171086A1 (en) * 2013-10-17 2015-06-18 Globalfoundries Inc. Selective Growth of a Work-Function Metal in a Replacement Metal Gate of a Semiconductor Device

Also Published As

Publication number Publication date
JP2007521667A (en) 2007-08-02
US20050158970A1 (en) 2005-07-21
WO2005071730A1 (en) 2005-08-04
CN1930671A (en) 2007-03-14
TW200535933A (en) 2005-11-01
EP1704590A1 (en) 2006-09-27
US7268058B2 (en) 2007-09-11
CN100550324C (en) 2009-10-14
TWI297212B (en) 2008-05-21

Similar Documents

Publication Publication Date Title
US7268058B2 (en) Tri-gate transistors and methods to fabricate same
US7800152B2 (en) Methods for manufacturing a finfet using a conventional wafer and apparatus manufactured therefrom
US7015147B2 (en) Fabrication of silicon-on-nothing (SON) MOSFET fabrication using selective etching of Si1-xGex layer
US7888197B2 (en) Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US5998852A (en) Geometrical control of device corner threshold
US6596597B2 (en) Method of manufacturing dual gate logic devices
US20030193070A1 (en) Damascene double-gate FET
US20060006462A1 (en) Method and apparatus for a semiconductor device having low and high voltage transistors
US5262346A (en) Nitride polish stop for forming SOI wafers
US5318663A (en) Method for thinning SOI films having improved thickness uniformity
JPH07254653A (en) Soi type integrated circuit and its formation method
US7525156B2 (en) Shallow trench isolation fill by liquid phase deposition of SiO2
US5445107A (en) Semiconductor device and method of formation
US7452781B2 (en) Method for manufacturing a semiconductor substrate, method for manufacturing a semiconductor device, and the semiconductor device
US7541258B2 (en) Method of manufacturing semiconductor substrate and method of manufacturing semiconductor device
US8354319B2 (en) Integrated planar and multiple gate FETs
US6979651B1 (en) Method for forming alignment features and back-side contacts with fewer lithography and etch steps
EP1109216B1 (en) Process of making a semiconductor device having regions of insulating material formed in a semiconductor substrate
US6214693B1 (en) Process for the production of semiconductor device
JP2002043581A (en) Dual/wrap-around gate field effect transistor and its manufacturing method
US10714577B2 (en) Etch stop layer for use in forming contacts that extend to multiple depths
JPH0521767A (en) Manufacturing for semiconductor device
JPH1126407A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: TAHOE RESEARCH, LTD., IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEL CORPORATION;REEL/FRAME:061175/0176

Effective date: 20220718