US20070281479A1 - Process including silo-chloro passivation for etching tungsten silicide overlying polysilicon - Google Patents

Process including silo-chloro passivation for etching tungsten silicide overlying polysilicon Download PDF

Info

Publication number
US20070281479A1
US20070281479A1 US11/513,455 US51345506A US2007281479A1 US 20070281479 A1 US20070281479 A1 US 20070281479A1 US 51345506 A US51345506 A US 51345506A US 2007281479 A1 US2007281479 A1 US 2007281479A1
Authority
US
United States
Prior art keywords
gas
level
etching
layer
tungsten
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/513,455
Inventor
Kyeong-Tae Lee
Jinhan Choi
Bi Jang
Shashank C. Deshmukh
Meihua Shen
Thorsten B. Lill
Jae Bum Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/513,455 priority Critical patent/US20070281479A1/en
Publication of US20070281479A1 publication Critical patent/US20070281479A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate

Definitions

  • the invention relates generally to plasma etching.
  • the invention relates to etching tungsten and other tungsten-containing materials over silicon.
  • Flash memory has assumed an increasingly important position in semiconductor memory technology. It provides relatively fast non-volatile rewritable memory, which is particularly attractive in mobile applications such as cell phones, portable music players, and other devices which rely upon battery power but are often turned off for extended periods. Nonetheless, flash memory chips are being economically fabricated which have a capacity of greater than a gigabit.
  • FIG. 1 One type of floating memory cell 10 is illustrated in the cross-sectional view of FIG. 1 .
  • the cell is one of many formed over a crystalline silicon substrate 12 .
  • a cell stack 14 is formed over an area of the substrate 12 between a doped source region 16 and a similarly doped drain region 18 with a well region 20 of the opposite conductivity type under the stack 14 .
  • a thin gate oxide layer 22 overlies the substrate 12 .
  • the stack 14 is formed over the gate oxide layer 22 by depositing as initially unpatterned planar layers a first doped polysilicon layer 24 , a coupling layer 26 , usually referred to as the ONO (oxide-nitride-oxide) layer, as will be explained shortly, a second doped polysilicon layer 28 , and a contact layer 30 .
  • ONO oxide-nitride-oxide
  • the ONO layer 26 constitutes the core of the storage element and includes a lower oxide layer 32 of silicon oxide approximately of the composition SiO 2 , an intermediate nitride layer 34 of silicon nitride approximately of the composition Si 3 N 4 , and an upper oxide layer 34 similarly of silicon oxide.
  • the ONO layers 32 , 34 , 36 are very thin, typically in the range of 10 to 20 nm.
  • the memory storage mechanism involves injecting electronic charge across one of the oxide layers 32 , 36 into the nitride layer 34 , where it is trapped and affects the voltage applied to the well region 20 , hence affecting the conductivity between the source and drain regions 16 , 18 .
  • the reading mechanism senses the current and hence the conductivity between the source and drain regions 16 , 18 and hence the charge stored in the nitride layer 34 . Whether charge has been injected into the nitride layer 34 determines the memory state of the flash memory cell 10 .
  • the contact layer 30 is usually based on tungsten.
  • tungsten silicide WSi
  • WSi tungsten silicide
  • other tungsten-based contact compositions are contemplated, for example, a multi-layer W/WN contact. Electrical contact to the upper end of the stack 14 is established through the contact layer 30 .
  • a large number of such stacks 14 are simultaneously formed by further depositing a relatively thick nitride hard mask layer over the contact layer 30 and then applying a photoresist layer, which is photographically patterned.
  • the hard mask layer is etched through the patterned photoresist and is then used as a robust hard mask to anisotropically etch at least part of the stack 14 . After completion of etching, the hard mask is removed.
  • FIG. 3 A more realistic structure of one embodiment of a flash memory array midway through formation is illustrated in the cross-sectional view of FIG. 3 .
  • the first polysilicon layer 24 is first patterned into narrow ridge-shaped polysilicon cells 40 extending perpendicularly to the plane of the illustration.
  • the ridges are covered with a thin conformal ONO layer 42 , which may cover the top of the gate oxide layer 22 as well.
  • gaps or holes 44 between the cells 40 are made relatively small, for example, 40 nm.
  • the structure is divided into a dense area 46 in which the cells 40 are tightly packed and an isolated (usually called iso) area 48 in which the first polysilicon layer has been removed and there are no cells 40 .
  • a second polysilicon layer 50 is deposited to fill the gaps 44 and cover the cells 40 sufficiently to provide a gating structure. However, because of the narrow and deep gaps 44 , troughs 52 form in the upper surface of the second polysilicon layer 50 overlying the gaps 44 .
  • a tungsten silicide layer 54 acting as a contact layer is deposited over the second polysilicon layer 50 . Because of the need to reduce the thickness of the contact layer 54 , the depth of the troughs 52 may be significant to the thickness of the planar portions of the contact overlayer 54 .
  • the nitride hard mask layer is deposited and photolithographically patterned into a patterned hard mask 56 .
  • the etching of the tungsten-based contact layer 54 has presented increasing difficulties as the lateral and vertical dimension shrink with increasingly large flash memories.
  • the invention includes plasma etching a tungsten-containing contact overlying a polysilicon layer.
  • Tungsten silicide is the preferred tungsten-containing material but tungsten or tungsten nitride may be substituted.
  • the invention is particularly useful in forming a flash memory circuit requiring a long over etch because of microloading introduced by the difference between densely packed memory cells and open (iso) areas. As a result, a long over etch is performed.
  • the principal etchant for the tungsten is gas containing both chlorine and fluorine, for example, NF 3 and Cl 2 .
  • Low wafer biasing for example, less than one-third that of the source power, reduces depth microloading.
  • oxidizing gas for example, both oxygen and nitrogen
  • Silicon tetrachloride may be added for a further increase in selectivity.
  • FIG. 1 is a schematic cross-sectional view of a flash memory cell.
  • FIG. 2 is a cross-sectional view of the ONO memory storage layer of the flash memory cell of FIG. 1 .
  • FIG. 3 is a cross-sectional view of part of one embodiment of an array of flash memory cells at a point during its fabrication.
  • FIG. 4 is a cross-sectional view of a plasma etch reactor usable with the invention.
  • FIG. 5 is a cross-sectional view of the flash memory structure near the end of the etching of the tungsten layer.
  • FIG. 6 is a cross-sectional view of the flash memory structure at the end of the tungsten over etch.
  • etching the WSi layer 54 through the hard mask 56 we have observed several difficulties in etching the WSi layer 54 through the hard mask 56 .
  • the depths of the troughs 52 have been observed to be up to 70% of the thickness of the WSi layer 54 in more planar areas. Accordingly, once a main etch (ME) has etched through the WSi layer 54 in the planar areas, a long over etch (OE) is required to clear out the WSi residue from the troughs 52 .
  • the over etch may extend for 75 to 100% of the time of the main etch.
  • the long over etch requires good selectivity of the WSi etch to silicon in the second polysilicon layer 50 .
  • the etching profiles need to be maintained as vertical as possible, both in the WSi layer 54 and in the portion of the polysilicon consumed in the overetch.
  • the distinction between the dense and iso area 46 , 48 produces the effect of microloading in which the iso area 48 is etched more quickly than the dense area 46 because of the protected geometry of the gaps developing in the WSi layer 54 in the dense area 46 versus the open planar structure in the iso area 48 .
  • the interface between the dense and iso areas 46 , 48 produce distinctive etch structures, which need to be controlled.
  • a plasma etch reactor 60 illustrated in the cross-sectional view of FIG. 4 includes an inductively powered decoupled plasma source of the sort implemented on the DPS II etch reactor.
  • the reactor 60 includes a vacuum chamber having a metal main chamber 62 generally disposed about a central axis and a dielectric dome 64 sealed to the main chamber 62 .
  • a vacuum pump 66 selectively pumps the vacuum chamber to the low milliTorr range.
  • a pedestal electrode 68 supports a wafer 70 or other substrate centered on the central axis in opposition to the dome 64 .
  • An RF power supply 72 is connected to the pedestal electrode 68 through a capacitive coupling circuit 74 to apply a bias power W B to the wafer 70 and the plasma processing it.
  • One or more inductive coils 76 are spirally wrapped around the outside of the dielectric dome 64 and are selectively powered by another RF power supply 78 to inductively coupled RF source power Ws into the vacuum chamber and excite the processing gas supplied into the vacuum chamber through one or more gas injectors 80 into a plasma.
  • a computerized controller 82 controls the operation of the different electrically controllable elements of the reactor 60 according to a process recipe recorded on a recordable medium 84 , such as a floppy disk or CDROM, inserted into the controller 82 .
  • the source power W S from the inductive coil 76 is primarily responsible for exciting the plasma and determining the density of the plasma at a location distant from the wafer 70 .
  • the bias power W B from the pedestal electrode 68 is uniformly distributed over the wafer 70 . Although it has some effect on generating the plasma, it primarily creates a negative self-bias voltage at the edge of the plasma adjacent the wafer 70 .
  • the negative voltage accelerates positive ions in the plasma of the processing gas towards the wafer 70 with two effects. First, the trajectories of the ions become sharply directed along the normal of the wafer 70 so that they are drawn deeply within narrow holes and contribute to the desired highly anisotropic etching of narrow holes in somewhat thicker layers, that is, holes with high aspect ratios.
  • the ions are accelerated to high energies before they strike the wafer 70 .
  • the energetic ions incident on the wafer 70 tend to sputter etch the wafer surface.
  • the highly directional energetic ions tend to sputter etch the bottoms of the narrow holes more effectively their sidewalls.
  • the main etch then etches mostly or completely through the WSi layer according to the hard mask 56 to form WSi contacts 102 .
  • the memory cells are densely packed in the dense area 46 while no cells are formed in the iso area 48 .
  • the main etch typically removes all the WSi from the iso area 48 .
  • the recipes developed with the invention have been based on a conventional WSi etch chemistry based on nitrogen trifluoride (NF 3 ) and chlorine gas (Cl 2 ) from respective gas sources 106 , 108 illustrated in FIG. 4 metered by respective mass flow controllers 110 , 112 .
  • the inventive recipes may include supplemental gases and may specify other operating conditions.
  • a first problem to be addressed is the microloading in which the etching becomes less effective farther into the developing narrow hole 104 between the WSi contact cells 102 .
  • Microloading has the effect of more quickly etching the WSi in the iso area 48 than at the bottom of the holes 104 in the dense area 46 and then etching the underlying polysilicon layer 50 in the iso area 48 , particularly during the over etch.
  • Some silicon etching is expected during the over etch, but if it is too large, the etch may reach the underlying ONO layer and etch it.
  • the microloading is reduced by using a relatively low bias power, more particularly a low ratio of bias power W B applied to the pedestal electrode 68 to the source power W S applied to the inductive coil 76 .
  • the source power W S is primarily responsible for generating the plasma while the bias power W B is primarily responsible for accelerating ions of the plasma etching gas deep within the deep gaps but also to sputter etch material at the bottom of the contact holes 104 with high selectivity to the sidewalls of the holes 104 , thus producing highly anisotropic etching of the contact holes 104 .
  • low bias power is the most effective parameter for controlling microloading.
  • bottom sidewalls 110 of the hole 104 tend to taper inwardly. If the source power is raised, for example, to 600 W, but the desired 6:1 source/bias power ratio is maintained, a better hole profile is obtained, that is, a bottom etch front is nearly flat, while the microloading remains good.
  • These preferred power conditions may change depending upon the geometry, but source/power ratios between 4:1 and 8:1 and source power of between 400 and 800 W are expected to be advantageously used.
  • argon is supplied from a gas source 116 and its flow is metered by a mass flow controller 118 .
  • Another noble gas such as helium may be substituted for argon for this purpose.
  • etching of WSi over polysilicon is achieved by adding an oxidizing gas such as oxygen.
  • an oxidizing gas such as oxygen.
  • a chlorine-based etch of WSi produces WOCl x and SiCl 4 , both of which are volatile.
  • the WSi overlayer is readily etched.
  • applying a chlorine plasma to silicon produces SiOCl x , which is not volatile.
  • the underlying polysilicon layer is not readily etched.
  • oxygen is supplied from a gas source 120 and its flow is metered by a mass flow controller 122 .
  • the flow of oxygen needs to be limited.
  • the oxygen is effective at preventing etching of the polysilicon particularly in the iso area but excess oxygen results in the hole profile flaring outwardly as the WSi on the sidewalls is etched. Undercutting of the WSi layer at the dense/iso interface become particularly bad with increasing oxygen.
  • Nitrogen also acts as a passivating agent during the tungsten etch and acts in conjunction with the oxygen in increasing the selectivity to polysilicon.
  • Oxygen has a major role in achieving a high selectivity of etching W/WSi over polysilicon.
  • additional O 2 produces etch byproducts of tungsten oxychloride, which is more volatile than W x Cl y .
  • the oxygen gas mainly passivates the polysilicon etch front and greatly reduces the polysilicon etch rate.
  • chlorine-free etch byproducts include Si 3 N 4 , SiON, etc., which are effective in sidewall passivation.
  • the nitrogen prevents microloading from degrading the etching profile.
  • An optimized ratio of O 2 and N 2 in the WSi/W gate etch increases the selectivity of polysilicon while maintaining the sidewall protection by the N 2 component. Accordingly, nitrogen is supplied form a gas source 124 and its flow is metered by a mass flow controller 124 .
  • One embodiment of the invention includes a single step over etch having a recipe summarized in TABLE 1.
  • a two-step over etch that is, a three-step tungsten etch can provide superior results.
  • a high oxygen supply shuts down the polysilicon etch, thus providing a high WSi/Si selectivity.
  • the high amount of oxygen also eliminates the WSi depth loading but is believed responsible for the foot that develops at the bottom of WSi layer at the dense/iso interface due to the oxidation of the WSi.
  • the second over etch step the flow of oxygen is significantly reduced while the bias power is significantly increased although the source power is somewhat decreased. The increased bias power is effective at removing the small WSi foot at the iso/dense interface and produce a more rectangular profile in the polysilicon at the bottom of the holes.
  • a exemplary recipe for the two-step over etch process is summarized in TABLE 2.
  • the undercutting may also be controlled by the addition of use of a silo-chloro passivating gas, for example, silicon tetrachloride (SiCl 4 ), containing both silicon and chlorine supplied from a gas source 128 with its flow metered by a mass flow controller 130 .
  • a silo-chloro passivating gas for example, silicon tetrachloride (SiCl 4 ), containing both silicon and chlorine supplied from a gas source 128 with its flow metered by a mass flow controller 130 .
  • the silo-chloro gas effectively prevents etching of the polysilicon exposed early in the iso area and protects the WSi sidewalls.
  • Silicon tetrachloride with a melting point of ⁇ 70° C. and a boiling point of 57.57° C. is known to be used as a silicon deposition gas useful for chamber seasoning.
  • the ratio NF 3 /SiCl 4 of the flows of nitrogen trifluoride to silicon tetrachloride can be chosen to improve the profiles in both the dense area and the iso area, that is, at the iso/dense interface.
  • Increasing the ratio NF 3 /SiCl 4 to above 1 reduces the rounding initially experienced with adding SiCl 4 .
  • a ratio of 3 shows very good results with the very rectangular profiles and thicknesses of polysilicon remaining in the dense and isolated areas being 20 nm and 21 nm respectively. Hence, advantageous results are expected at ratios between 2 and 5.
  • silo-chloro gas demonstrates that the microloading can be controlled by the silo-chloro/nitrogen trifluoride ratio and it is possible to achieve reverse micro-loading by increasing the flow of the nitrogen trifluoride relative to the silo-chloro gas since the silo-chloro gas is so effective at passivating the polysilicon etching in the iso area.
  • conventional etching processes may be used to remove the upper polysilicon layer 50 down to the underlying oxide in order to achieve the flash memory structure of FIG. 1 .
  • Conventional techniques are used to electrically contact the WSi contact layer 30 and the source and drain regions 16 , 18 .
  • the invention can be applied to other structures than WSi overlying polysilicon.
  • other tungsten-containing contact layers include substantially pure tungsten and tungsten nitride.
  • the common silicon content of WSi and polysilicon presents the difficult combination of materials where etching selectivity is required.
  • the crystallinity of the polysilicon layer is not required for use of the invention.
  • the silicon layer may contain dopants and other impurities up to 5 at %.
  • a tungsten-containing material comprises at least 10 at % tungsten, preferably at least 90 at % tungsten for a tungsten layer and that a tungsten silicide material comprises at least 10 at % of silicon.
  • the invention has been developed in a plasma etch chamber utilizing a inductive plasma source, it may be practiced in other types of plasma etch chambers, for example, ones having a capacitive plasma source, for example, a showerhead electrode powered by an RF or VHF electrical source and disposed in opposition to the wafer and supplying the etching gas through the showerhead.
  • a capacitive plasma source for example, a showerhead electrode powered by an RF or VHF electrical source and disposed in opposition to the wafer and supplying the etching gas through the showerhead.
  • the invention thus satisfies a large number of competing requirements in the etching of a complex structure having layers requiring differential etching but having somewhat similar compositions.

Abstract

A method of plasma etching tungsten silicide over polysilicon particularly useful in fabricating flash memory having both a densely packed area and an open (iso) area requiring a long over etch due to microloading. Wafer biasing is decreased in the over etch. The principal etchant include NF3 and Cl2. Argon is added to prevent undercutting at the dense/iso interface. Oxygen and nitrogen oxidize any exposed silicon to increase etch selectivity and straightens the etch profile. SiCl4 as an example of a silicon and chlorine containing passivating gas may be added for additional selectivity.

Description

    RELATED APPLICATION
  • This application is a division of Ser. No. 11/445,709 filed Jun. 2, 2006.
  • FIELD OF THE INVENTION
  • The invention relates generally to plasma etching. In particular, the invention relates to etching tungsten and other tungsten-containing materials over silicon.
  • BACKGROUND ART
  • Flash memory has assumed an increasingly important position in semiconductor memory technology. It provides relatively fast non-volatile rewritable memory, which is particularly attractive in mobile applications such as cell phones, portable music players, and other devices which rely upon battery power but are often turned off for extended periods. Nonetheless, flash memory chips are being economically fabricated which have a capacity of greater than a gigabit.
  • However, large-capacity flash memories of the desired characteristics have been accomplished by aggressively reducing the area of the memory cells, by reducing the thickness of many of the important layers, and by relying upon cells having a distinctively three-dimensional structure. The shrinkage has introduced severe requirements upon the etching process used to form the memory cell, especially the etching of the tungsten-based contact on the polysilicon control gate.
  • One type of floating memory cell 10 is illustrated in the cross-sectional view of FIG. 1. The cell is one of many formed over a crystalline silicon substrate 12. A cell stack 14 is formed over an area of the substrate 12 between a doped source region 16 and a similarly doped drain region 18 with a well region 20 of the opposite conductivity type under the stack 14. A thin gate oxide layer 22 overlies the substrate 12. The stack 14 is formed over the gate oxide layer 22 by depositing as initially unpatterned planar layers a first doped polysilicon layer 24, a coupling layer 26, usually referred to as the ONO (oxide-nitride-oxide) layer, as will be explained shortly, a second doped polysilicon layer 28, and a contact layer 30.
  • The ONO layer 26, illustrated in more detail in FIG. 2, constitutes the core of the storage element and includes a lower oxide layer 32 of silicon oxide approximately of the composition SiO2, an intermediate nitride layer 34 of silicon nitride approximately of the composition Si3N4, and an upper oxide layer 34 similarly of silicon oxide. The ONO layers 32, 34, 36 are very thin, typically in the range of 10 to 20 nm. The memory storage mechanism involves injecting electronic charge across one of the oxide layers 32, 36 into the nitride layer 34, where it is trapped and affects the voltage applied to the well region 20, hence affecting the conductivity between the source and drain regions 16, 18. The reading mechanism senses the current and hence the conductivity between the source and drain regions 16, 18 and hence the charge stored in the nitride layer 34. Whether charge has been injected into the nitride layer 34 determines the memory state of the flash memory cell 10.
  • The contact layer 30 is usually based on tungsten. Currently, tungsten silicide (WSi) is the most prevalent contact material, but other tungsten-based contact compositions are contemplated, for example, a multi-layer W/WN contact. Electrical contact to the upper end of the stack 14 is established through the contact layer 30.
  • A large number of such stacks 14 are simultaneously formed by further depositing a relatively thick nitride hard mask layer over the contact layer 30 and then applying a photoresist layer, which is photographically patterned. The hard mask layer is etched through the patterned photoresist and is then used as a robust hard mask to anisotropically etch at least part of the stack 14. After completion of etching, the hard mask is removed.
  • A more realistic structure of one embodiment of a flash memory array midway through formation is illustrated in the cross-sectional view of FIG. 3. In this embodiment, the first polysilicon layer 24 is first patterned into narrow ridge-shaped polysilicon cells 40 extending perpendicularly to the plane of the illustration. The ridges are covered with a thin conformal ONO layer 42, which may cover the top of the gate oxide layer 22 as well. In the interest of increased memory density, gaps or holes 44 between the cells 40 are made relatively small, for example, 40 nm. As illustrated, the structure is divided into a dense area 46 in which the cells 40 are tightly packed and an isolated (usually called iso) area 48 in which the first polysilicon layer has been removed and there are no cells 40.
  • A second polysilicon layer 50 is deposited to fill the gaps 44 and cover the cells 40 sufficiently to provide a gating structure. However, because of the narrow and deep gaps 44, troughs 52 form in the upper surface of the second polysilicon layer 50 overlying the gaps 44. A tungsten silicide layer 54 acting as a contact layer is deposited over the second polysilicon layer 50. Because of the need to reduce the thickness of the contact layer 54, the depth of the troughs 52 may be significant to the thickness of the planar portions of the contact overlayer 54. Thereafter, the nitride hard mask layer is deposited and photolithographically patterned into a patterned hard mask 56.
  • The etching of the tungsten-based contact layer 54, particularly when it is composed of WSi, has presented increasing difficulties as the lateral and vertical dimension shrink with increasingly large flash memories.
  • SUMMARY OF THE INVENTION
  • The invention includes plasma etching a tungsten-containing contact overlying a polysilicon layer. Tungsten silicide is the preferred tungsten-containing material but tungsten or tungsten nitride may be substituted. Although not so limited, the invention is particularly useful in forming a flash memory circuit requiring a long over etch because of microloading introduced by the difference between densely packed memory cells and open (iso) areas. As a result, a long over etch is performed.
  • The principal etchant for the tungsten is gas containing both chlorine and fluorine, for example, NF3 and Cl2. Low wafer biasing, for example, less than one-third that of the source power, reduces depth microloading.
  • Addition of an oxidizing gas, for example, both oxygen and nitrogen, increases selectivity to silicon.
  • Addition of argon or helium, particularly in the main etch, reduces the residence time for etch byproducts and prevents undercutting of the tungsten material.
  • Decreasing the NF3/Cl2 ratio in the over etch improves selectivity and reduces undercutting.
  • Silicon tetrachloride may be added for a further increase in selectivity.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-sectional view of a flash memory cell.
  • FIG. 2 is a cross-sectional view of the ONO memory storage layer of the flash memory cell of FIG. 1.
  • FIG. 3 is a cross-sectional view of part of one embodiment of an array of flash memory cells at a point during its fabrication.
  • FIG. 4 is a cross-sectional view of a plasma etch reactor usable with the invention.
  • FIG. 5 is a cross-sectional view of the flash memory structure near the end of the etching of the tungsten layer.
  • FIG. 6 is a cross-sectional view of the flash memory structure at the end of the tungsten over etch.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • With reference to FIG. 3, we have observed several difficulties in etching the WSi layer 54 through the hard mask 56. In advanced designs, the thicknesses of all the layers need to minimized to reduce the overall size of the memory cell so there is little margin for errors and non-uniformity in etching depths. The depths of the troughs 52 have been observed to be up to 70% of the thickness of the WSi layer 54 in more planar areas. Accordingly, once a main etch (ME) has etched through the WSi layer 54 in the planar areas, a long over etch (OE) is required to clear out the WSi residue from the troughs 52. The over etch may extend for 75 to 100% of the time of the main etch. The long over etch requires good selectivity of the WSi etch to silicon in the second polysilicon layer 50. The etching profiles need to be maintained as vertical as possible, both in the WSi layer 54 and in the portion of the polysilicon consumed in the overetch. The distinction between the dense and iso area 46, 48 produces the effect of microloading in which the iso area 48 is etched more quickly than the dense area 46 because of the protected geometry of the gaps developing in the WSi layer 54 in the dense area 46 versus the open planar structure in the iso area 48. Also, the interface between the dense and iso areas 46, 48 produce distinctive etch structures, which need to be controlled.
  • The invention has been developed on the DPS II etch reactor available from Applied Materials, Inc. of Santa Clara, Calif., but the invention is not limited to this reactor and may be applied to other plasma etch reactors differing to lesser or greater extent from that illustrated. A plasma etch reactor 60 illustrated in the cross-sectional view of FIG. 4 includes an inductively powered decoupled plasma source of the sort implemented on the DPS II etch reactor. The reactor 60 includes a vacuum chamber having a metal main chamber 62 generally disposed about a central axis and a dielectric dome 64 sealed to the main chamber 62. A vacuum pump 66 selectively pumps the vacuum chamber to the low milliTorr range. A pedestal electrode 68 supports a wafer 70 or other substrate centered on the central axis in opposition to the dome 64. An RF power supply 72 is connected to the pedestal electrode 68 through a capacitive coupling circuit 74 to apply a bias power WB to the wafer 70 and the plasma processing it. One or more inductive coils 76 are spirally wrapped around the outside of the dielectric dome 64 and are selectively powered by another RF power supply 78 to inductively coupled RF source power Ws into the vacuum chamber and excite the processing gas supplied into the vacuum chamber through one or more gas injectors 80 into a plasma. A computerized controller 82 controls the operation of the different electrically controllable elements of the reactor 60 according to a process recipe recorded on a recordable medium 84, such as a floppy disk or CDROM, inserted into the controller 82.
  • The source power WS from the inductive coil 76 is primarily responsible for exciting the plasma and determining the density of the plasma at a location distant from the wafer 70. The bias power WB from the pedestal electrode 68 is uniformly distributed over the wafer 70. Although it has some effect on generating the plasma, it primarily creates a negative self-bias voltage at the edge of the plasma adjacent the wafer 70. The negative voltage accelerates positive ions in the plasma of the processing gas towards the wafer 70 with two effects. First, the trajectories of the ions become sharply directed along the normal of the wafer 70 so that they are drawn deeply within narrow holes and contribute to the desired highly anisotropic etching of narrow holes in somewhat thicker layers, that is, holes with high aspect ratios. Secondly, the ions are accelerated to high energies before they strike the wafer 70. The energetic ions incident on the wafer 70 tend to sputter etch the wafer surface. In particular, the highly directional energetic ions tend to sputter etch the bottoms of the narrow holes more effectively their sidewalls.
  • Many aspects of the invention are centered on the etching of the tungsten-based contact layer, particularly one having a composition of WSi. The problems of etching WSi over polysilicon become most apparent near the end of the tungsten etch, at which point the flash memory has a structure illustrated in the cross-sectional view of FIG. 5. The final etched structure at the completion of the tungsten etch is illustrated in the cross-sectional view of FIG. 6. After the hard mask layer 56 has been photolithographically defined into the hard mask 56, remnants of hard mask layer at the bottom apertures in the hard mask 56 are removed in a breakthrough step in which CF4 and Ar may used as the etching gas. The main etch then etches mostly or completely through the WSi layer according to the hard mask 56 to form WSi contacts 102. As noted before, the memory cells are densely packed in the dense area 46 while no cells are formed in the iso area 48. The main etch typically removes all the WSi from the iso area 48. Although the invention is so limited, the recipes developed with the invention have been based on a conventional WSi etch chemistry based on nitrogen trifluoride (NF3) and chlorine gas (Cl2) from respective gas sources 106, 108 illustrated in FIG. 4 metered by respective mass flow controllers 110, 112. The inventive recipes may include supplemental gases and may specify other operating conditions.
  • Returning to FIG. 5, a first problem to be addressed is the microloading in which the etching becomes less effective farther into the developing narrow hole 104 between the WSi contact cells 102. Microloading has the effect of more quickly etching the WSi in the iso area 48 than at the bottom of the holes 104 in the dense area 46 and then etching the underlying polysilicon layer 50 in the iso area 48, particularly during the over etch. Some silicon etching is expected during the over etch, but if it is too large, the etch may reach the underlying ONO layer and etch it.
  • The microloading is reduced by using a relatively low bias power, more particularly a low ratio of bias power WB applied to the pedestal electrode 68 to the source power WS applied to the inductive coil 76. The source power WS is primarily responsible for generating the plasma while the bias power WB is primarily responsible for accelerating ions of the plasma etching gas deep within the deep gaps but also to sputter etch material at the bottom of the contact holes 104 with high selectivity to the sidewalls of the holes 104, thus producing highly anisotropic etching of the contact holes 104.
  • We have found that low bias power is the most effective parameter for controlling microloading. In particular, a ratio of about 6:1 in the source power Ws to bias power WB, for example, WS=300 W and WB=50 W for a 300 mm wafer, seems most effective at reducing microloading. However, at lower bias power WB, bottom sidewalls 110 of the hole 104 tend to taper inwardly. If the source power is raised, for example, to 600 W, but the desired 6:1 source/bias power ratio is maintained, a better hole profile is obtained, that is, a bottom etch front is nearly flat, while the microloading remains good. These preferred power conditions may change depending upon the geometry, but source/power ratios between 4:1 and 8:1 and source power of between 400 and 800 W are expected to be advantageously used.
  • Another problem has been observed at a foot 114 of the outermost contact cell 102 adjacent the iso area 48. The foot 114 tends to be undercut. The addition of argon, for example, 100 sccm, to the main etch in the presence of low wafer biasing has been found to reduce the undercutting, presumably because of the reduced residence time for etch byproduct since the residence time τ may be given by
  • τ = PV Q ,
  • where P is the chamber pressure, V is the chamber volume, and Q is the total gas flow. The reduced residence time for byproducts reduces the etch rate microloading during the tungsten main etch. Accordingly, returning to FIG. 4, argon is supplied from a gas source 116 and its flow is metered by a mass flow controller 118. Another noble gas such as helium may be substituted for argon for this purpose.
  • Selective etching of WSi over polysilicon is achieved by adding an oxidizing gas such as oxygen. A chlorine-based etch of WSi produces WOClx and SiCl4, both of which are volatile. As a result, the WSi overlayer is readily etched. On the other hand, applying a chlorine plasma to silicon produces SiOClx, which is not volatile. As a result, the underlying polysilicon layer is not readily etched. Accordingly, oxygen is supplied from a gas source 120 and its flow is metered by a mass flow controller 122. However, the flow of oxygen needs to be limited. The oxygen is effective at preventing etching of the polysilicon particularly in the iso area but excess oxygen results in the hole profile flaring outwardly as the WSi on the sidewalls is etched. Undercutting of the WSi layer at the dense/iso interface become particularly bad with increasing oxygen.
  • Nitrogen also acts as a passivating agent during the tungsten etch and acts in conjunction with the oxygen in increasing the selectivity to polysilicon. Oxygen has a major role in achieving a high selectivity of etching W/WSi over polysilicon. In the presence of chlorine gas during the W/WSi etch, additional O2 produces etch byproducts of tungsten oxychloride, which is more volatile than WxCly. However, once the etch front reacts with the polysilicon interface, the oxygen gas mainly passivates the polysilicon etch front and greatly reduces the polysilicon etch rate. On the other hand, chlorine-free etch byproducts include Si3N4, SiON, etc., which are effective in sidewall passivation. As a result, the nitrogen prevents microloading from degrading the etching profile. An optimized ratio of O2 and N2 in the WSi/W gate etch increases the selectivity of polysilicon while maintaining the sidewall protection by the N2 component. Accordingly, nitrogen is supplied form a gas source 124 and its flow is metered by a mass flow controller 124.
  • A series of tests were run using both nitrogen and oxygen in both the main and overetch but no argon. Increasing the amount of oxygen in the over etch from 9 to 100 sccm produced increasing and unacceptable amount of outwardly flaring in the holes in the dense area but reduced though still significant undercutting at the iso/dense interface.
  • When a substantial amount of oxygen is used, it has been found that increasing the Cl2/NF3 ratio results in better polysilicon selectivity and less undercutting at the edge of the iso area. This effect is particularly effective in the overetch. One embodiment of the invention includes a single step over etch having a recipe summarized in TABLE 1.
  • TABLE 1
    Parameter Main Etch Over Etch
    Time (s) 22.2 14
    Pressure (milliTorr) 4.5 4
    Source Power (W) 600 750
    Bias Power (W) 75 185
    NF3 Flow (sccm) 40 7
    Cl2 Flow (sccm) 50 80
    N2 Flow (sccm) 150 200
    O2 Flow (sccm) 18 15
    Ar Flow (sccm) 100 0
  • During the main etch, 25% more Cl2 than NF3 is supplied. Selectivity to polysilicon is achieved by supplying both oxygen and eight times more of nitrogen. Undercutting is prevented by supplying argon. During the single over etch step, the flow of NF3 is substantially reduced, for example, by at least a factor of two and preferably at least four, while the flow of Cl2 is somewhat increased. The combination of a high Cl2/NF3 ratio, for example, between 5 and 25, and substantial oxygen, for example, greater than the flow of NF3, Also in the over etch step, little if any argon is supplied and the flow of oxygen is somewhat reduced while the flow of nitrogen is increased. Beginning with 90 nm of WSi and 40 nm of polysilicon with mask openings of 100 nm, the above recipe was observed to leave 22 nm of polysilicon in the dense area and 20 nm in the iso area. There was no significant undercutting at the edge of the iso area. The WSi hole showed good profile though with some inward tapering.
  • With the large number of effects requiring control and optimizing, it has been found that a two-step over etch, that is, a three-step tungsten etch can provide superior results. In the first over etch step, a high oxygen supply shuts down the polysilicon etch, thus providing a high WSi/Si selectivity. The high amount of oxygen also eliminates the WSi depth loading but is believed responsible for the foot that develops at the bottom of WSi layer at the dense/iso interface due to the oxidation of the WSi. However, in the second over etch step, the flow of oxygen is significantly reduced while the bias power is significantly increased although the source power is somewhat decreased. The increased bias power is effective at removing the small WSi foot at the iso/dense interface and produce a more rectangular profile in the polysilicon at the bottom of the holes. A exemplary recipe for the two-step over etch process is summarized in TABLE 2.
  • TABLE 2
    Parameter Main Etch Over Etch 1 Over Etch 2
    Time (s) 12 10 15
    Pressure (milliTorr) 4.5 4.5 8
    Source Power (W) 600 600 500
    Bias Power (W) 100 115 240
    NF3 Flow (sccm) 40 20 20
    Cl2 Flow (sccm) 50 25 25
    N2 Flow (sccm) 180 350 500
    O2 Flow (sccm) 0 60 7
    Ar Flow (sccm) 100 0 0

    This recipe was tested on the previously described flash memory structure. The profiles in the dense area were very vertical even into the polysilicon. Some undercutting was observed at the iso/dense interface and some flaring on the sides of wider trenches.
  • The undercutting may also be controlled by the addition of use of a silo-chloro passivating gas, for example, silicon tetrachloride (SiCl4), containing both silicon and chlorine supplied from a gas source 128 with its flow metered by a mass flow controller 130. The silo-chloro gas effectively prevents etching of the polysilicon exposed early in the iso area and protects the WSi sidewalls. Silicon tetrachloride with a melting point of −70° C. and a boiling point of 57.57° C. is known to be used as a silicon deposition gas useful for chamber seasoning. The addition of 10 to 20 sccm of SiCl4 to the over etch in the above recipes has been observed to effectively eliminate the undercutting at the iso/dense interface. However, even 10 sccm produces some outward flaring of the sides and rounding of the bottoms of the holes in the dense area, resulting in WSi feet above the polysilicon. It also produces a somewhat outward slope of the WSi at the iso/dense interface. At 30 sccm, the flaring, rounding, and WSi feet become severe and results in an obvious WSi tail into the iso area.
  • It has further been found that the ratio NF3/SiCl4 of the flows of nitrogen trifluoride to silicon tetrachloride can be chosen to improve the profiles in both the dense area and the iso area, that is, at the iso/dense interface. Increasing the ratio NF3/SiCl4 to above 1 reduces the rounding initially experienced with adding SiCl4. A ratio of 3 shows very good results with the very rectangular profiles and thicknesses of polysilicon remaining in the dense and isolated areas being 20 nm and 21 nm respectively. Hence, advantageous results are expected at ratios between 2 and 5.
  • The use of a silo-chloro gas demonstrates that the microloading can be controlled by the silo-chloro/nitrogen trifluoride ratio and it is possible to achieve reverse micro-loading by increasing the flow of the nitrogen trifluoride relative to the silo-chloro gas since the silo-chloro gas is so effective at passivating the polysilicon etching in the iso area.
  • Following the completion of the tungsten etching process, conventional etching processes may be used to remove the upper polysilicon layer 50 down to the underlying oxide in order to achieve the flash memory structure of FIG. 1. Conventional techniques are used to electrically contact the WSi contact layer 30 and the source and drain regions 16, 18.
  • The invention can be applied to other structures than WSi overlying polysilicon. For example, other tungsten-containing contact layers include substantially pure tungsten and tungsten nitride. However, the common silicon content of WSi and polysilicon presents the difficult combination of materials where etching selectivity is required.
  • It is understood that the crystallinity of the polysilicon layer is not required for use of the invention. Also, the silicon layer may contain dopants and other impurities up to 5 at %. It is further understood that a tungsten-containing material comprises at least 10 at % tungsten, preferably at least 90 at % tungsten for a tungsten layer and that a tungsten silicide material comprises at least 10 at % of silicon.
  • Although the invention has been developed in a plasma etch chamber utilizing a inductive plasma source, it may be practiced in other types of plasma etch chambers, for example, ones having a capacitive plasma source, for example, a showerhead electrode powered by an RF or VHF electrical source and disposed in opposition to the wafer and supplying the etching gas through the showerhead.
  • The invention thus satisfies a large number of competing requirements in the etching of a complex structure having layers requiring differential etching but having somewhat similar compositions.

Claims (20)

1. A method of etching a tungsten silicide layer, comprising the steps of:
placing within a plasma reaction chamber a substrate containing the tungsten silicide layer;
flowing into the plasma reaction chamber a first gas mixture comprising an etching gas comprising a chlorine- and fluorine-containing gas and a passivating gas comprising constituents including silicon and chlorine; and
exciting the first gas mixture into a plasma.
2. (canceled)
3. The method of claim 1, wherein the passivating gas comprises silicon tetrachloride.
4. The method of claim 1, wherein the etching gas comprises nitrogen trifluoride and chlorine gas.
5. The method of claim 1, wherein the first gas mixture further includes a gas chosen from the group consisting of oxygen gas and nitrogen gas.
6. The method of claim 1, wherein the exciting step includes applying RF power to an inductive coil associated with the chamber and further including supplying RF power through a capacitive coupling circuit to a pedestal electrode supporting the substrate.
7. A multi-step method of etching tungsten silicide over silicon, comprising the steps of:
placing a substrate containing a tungsten silicide layer of tungsten overlying a silicon layer onto a pedestal electrode in a plasma reaction chamber;
a first step of flowing into the plasma reaction chamber a first gas mixture comprising an etching gas comprising nitrogen trifluoride and chlorine gas, a first gas comprising oxygen gas and nitrogen gas, and argon;
exciting the first gas mixture into a plasma to etch through the tungsten silicide layer in at least a portion of the substrate while biasing the pedestal electrode with a first level of RF power;
a subsequent second step of flowing into the plasma reaction chamber a second gas mixture comprising the etching gas, the oxidizing gas, and a passivating gas comprising constituents including silicon and chlorine and exciting the second gas mixture into a plasma while biasing the pedestal electrode with a second level of RF power greater than the first level.
8. The method of claim 7, wherein less nitrogen trifluoride is flowed into the chamber in the second step than in the first step.
9. The method of claim 7, wherein the exciting step includes applying RF power to an inductive coil associated with the chamber.
10. The method of claim 7, wherein the passivating gas comprises silicon tetrachloride.
11. A method of etching a tungsten-containing layer overlying a silicon layer, comprising the steps of:
placing onto a pedestal electrode in a plasma reaction chamber a substrate containing the tungsten-containing layer and the silicon layer, and by an etching mask overlying the tungsten-containing layer, wherein the silcon layer consists principally of silicon;
a first step of flowing into the chamber a first gas mixture comprising a first gas comprising chorine and fluorine and a second gas comprising oxygen gas and nitrogen gas;
biasing the pedestal electrode with a first level of RF power;
applying a second level of RF power to excite the first gas mixture into a plasma to thereby etch at least the tungsten-containing layer sufficiently that at least some of the silicon layer is exposed, wherein a ratio of the second level to the first level is between 4 and 8;
a subsequent second step of flowing into the chamber a second gas mixture comprising the etching gas having a smaller fraction of NF3 than in the first step, the oxidizing gas having a larger fraction of nitrogen gas than in the first step, and a passivating gas comprising silicon and chlorine constituents;
biasing the pedestal electrode with a third level of RF power greater than the first level; and
applying a fourth level of RF power to excite the second gas mixture into a plasma.
12. The method of claim 11, wherein the passivating gas comprises silicon tetrachloride.
13. The method of claim 11, wherein the etching gas in the second step has a smaller fraction of NF3 than in the first step and the oxidizing gas in the second step has a larger fraction of nitrogen gas than in the first step,
14. The method of claim 11, wherein the first step further comprises flowing a noble gas selected from the group consisting of helium and argon into the chamber.
15. The method of claim 11, wherein a ratio of the nitrogen gas to the oxygen gas in the first step is at least 4.
16. The method of claim 11, wherein the second level of RF power is applied to an inductive coil associated with the chamber.
17. The method of claim 11, wherein the etching gas comprises NF3 and Cl2.
18. The method of claim 17, wherein a ratio of the fourth level to the third level of RF powers is between 4 and 8.
19. The method of claim 17, further comprising the steps of:
a subsequent third step of flowing a third gas mixture into the chamber comprising the etching gas and the second gas, wherein a ratio of the nitrogen gas to the oxygen gas is greater than in the second step;
biasing the pedestal electrode with a fifth level of RF power less than the third level; and
applying a sixth level of RF power to excite the third gas mixture into a plasma.
20. The method of claim 17, wherein during the second step the plasma of the second gas mixture acts on exposed portions of the silicon layer and etches any remaining portions of the tungsten-containing layer.
US11/513,455 2006-06-02 2006-08-31 Process including silo-chloro passivation for etching tungsten silicide overlying polysilicon Abandoned US20070281479A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/513,455 US20070281479A1 (en) 2006-06-02 2006-08-31 Process including silo-chloro passivation for etching tungsten silicide overlying polysilicon

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/445,709 US7754610B2 (en) 2006-06-02 2006-06-02 Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
US11/513,455 US20070281479A1 (en) 2006-06-02 2006-08-31 Process including silo-chloro passivation for etching tungsten silicide overlying polysilicon

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/445,709 Division US7754610B2 (en) 2006-06-02 2006-06-02 Process for etching tungsten silicide overlying polysilicon particularly in a flash memory

Publications (1)

Publication Number Publication Date
US20070281479A1 true US20070281479A1 (en) 2007-12-06

Family

ID=38790791

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/445,709 Expired - Fee Related US7754610B2 (en) 2006-06-02 2006-06-02 Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
US11/513,455 Abandoned US20070281479A1 (en) 2006-06-02 2006-08-31 Process including silo-chloro passivation for etching tungsten silicide overlying polysilicon

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/445,709 Expired - Fee Related US7754610B2 (en) 2006-06-02 2006-06-02 Process for etching tungsten silicide overlying polysilicon particularly in a flash memory

Country Status (5)

Country Link
US (2) US7754610B2 (en)
JP (1) JP2008021975A (en)
KR (1) KR20070115778A (en)
CN (1) CN101090065A (en)
TW (1) TW200809975A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090068829A1 (en) * 2007-09-06 2009-03-12 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
WO2012170302A2 (en) * 2011-06-06 2012-12-13 Lam Research Corporation Method for providing high etch rate
US9716014B2 (en) * 2015-05-11 2017-07-25 Tokyo Electron Limited Method of processing workpiece
US20180261466A1 (en) * 2017-03-10 2018-09-13 Toshiba Memory Corporation Method of manufacturing semiconductor device and etching mask

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100965047B1 (en) * 2007-03-27 2010-06-21 주식회사 하이닉스반도체 Method for forming gate of flash memory device
KR101656678B1 (en) 2010-06-21 2016-09-12 삼성전자주식회사 Methods of forming patterns and methods of manufacturing semiconductor devices using the same
JP6311547B2 (en) * 2013-11-05 2018-04-18 東京エレクトロン株式会社 Method for forming mask structure, film forming apparatus, and storage medium
US9418869B2 (en) * 2014-07-29 2016-08-16 Lam Research Corporation Method to etch a tungsten containing layer
JP6725176B2 (en) 2016-10-31 2020-07-15 株式会社日立ハイテク Plasma etching method
JP6959999B2 (en) 2019-04-19 2021-11-05 株式会社日立ハイテク Plasma processing method
CN111593325A (en) * 2020-07-01 2020-08-28 西安微电子技术研究所 Method for depositing two layers of tungsten silicon by low-pressure chemical vapor deposition method

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4992136A (en) * 1987-07-29 1991-02-12 Hitachi, Ltd. Dry etching method
US5259923A (en) * 1991-05-29 1993-11-09 Tokyo Electron Limited Dry etching method
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US20020028582A1 (en) * 2000-07-12 2002-03-07 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6423644B1 (en) * 2000-07-12 2002-07-23 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US20030049876A1 (en) * 2001-09-06 2003-03-13 Hitachi, Ltd. Method of manufacturing semiconductor devices
US20030148622A1 (en) * 2002-02-06 2003-08-07 Applied Materials, Inc. High selectivity and residue free process for metal on thin dielectric gate etch application
US20030217805A1 (en) * 2002-05-17 2003-11-27 Semiconductor Energy Laboratory Co. , Ltd. Method of transferring a laminate and method of manufacturig a semiconductor device
US20040038436A1 (en) * 2002-08-09 2004-02-26 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US20060273072A1 (en) * 2005-06-01 2006-12-07 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2987837B2 (en) 1988-11-28 1999-12-06 ソニー株式会社 Etching gas and etching method
JP3191224B2 (en) 1992-03-06 2001-07-23 沖電気工業株式会社 Dry etching method
JP2004273532A (en) * 2003-03-05 2004-09-30 Hitachi High-Technologies Corp Plasma etching method

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4992136A (en) * 1987-07-29 1991-02-12 Hitachi, Ltd. Dry etching method
US5259923A (en) * 1991-05-29 1993-11-09 Tokyo Electron Limited Dry etching method
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6699399B1 (en) * 1997-11-12 2004-03-02 Applied Materials, Inc Self-cleaning etch process
US6406924B1 (en) * 1998-04-17 2002-06-18 Applied Materials, Inc. Endpoint detection in the fabrication of electronic devices
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6423644B1 (en) * 2000-07-12 2002-07-23 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6440870B1 (en) * 2000-07-12 2002-08-27 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US20030003757A1 (en) * 2000-07-12 2003-01-02 Padmapani Nallan Method of etching tungsten or tungsten nitride in semiconductor structures
US6579806B2 (en) * 2000-07-12 2003-06-17 Applied Materials Inc. Method of etching tungsten or tungsten nitride in semiconductor structures
US20020028582A1 (en) * 2000-07-12 2002-03-07 Applied Materials, Inc. Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US20030049876A1 (en) * 2001-09-06 2003-03-13 Hitachi, Ltd. Method of manufacturing semiconductor devices
US6673685B2 (en) * 2001-09-06 2004-01-06 Hitachi, Ltd. Method of manufacturing semiconductor devices
US20030148622A1 (en) * 2002-02-06 2003-08-07 Applied Materials, Inc. High selectivity and residue free process for metal on thin dielectric gate etch application
US20030217805A1 (en) * 2002-05-17 2003-11-27 Semiconductor Energy Laboratory Co. , Ltd. Method of transferring a laminate and method of manufacturig a semiconductor device
US20090321004A1 (en) * 2002-05-17 2009-12-31 Semiconductor Energy Laboratory Co., Ltd. Method of transferring a laminate and method of manufacturing a semiconductor device
US7147740B2 (en) * 2002-05-17 2006-12-12 Semiconductor Energy Laboratory Co., Ltd. Method of transferring a laminate and method of manufacturing a semiconductor device
US20070243352A1 (en) * 2002-05-17 2007-10-18 Semiconductor Energy Laboratory Co., Ltd. Method of transferring a laminate and method of manufacturing a semiconductor device
US20040038436A1 (en) * 2002-08-09 2004-02-26 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit device
US20050064714A1 (en) * 2003-09-19 2005-03-24 Applied Materials, Inc. Method for controlling critical dimensions during an etch process
US20060273072A1 (en) * 2005-06-01 2006-12-07 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
US7413992B2 (en) * 2005-06-01 2008-08-19 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090068829A1 (en) * 2007-09-06 2009-03-12 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
WO2012170302A2 (en) * 2011-06-06 2012-12-13 Lam Research Corporation Method for providing high etch rate
WO2012170302A3 (en) * 2011-06-06 2013-02-07 Lam Research Corporation Method for providing high etch rate
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US9716014B2 (en) * 2015-05-11 2017-07-25 Tokyo Electron Limited Method of processing workpiece
US20180261466A1 (en) * 2017-03-10 2018-09-13 Toshiba Memory Corporation Method of manufacturing semiconductor device and etching mask
US10763122B2 (en) * 2017-03-10 2020-09-01 Toshiba Memory Corporation Method of manufacturing semiconductor device and etching mask

Also Published As

Publication number Publication date
US7754610B2 (en) 2010-07-13
TW200809975A (en) 2008-02-16
CN101090065A (en) 2007-12-19
US20070281477A1 (en) 2007-12-06
KR20070115778A (en) 2007-12-06
JP2008021975A (en) 2008-01-31

Similar Documents

Publication Publication Date Title
US7754610B2 (en) Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
US5907775A (en) Non-volatile memory device with high gate coupling ratio and manufacturing process therefor
US6284666B1 (en) Method of reducing RIE lag for deep trench silicon etching
US6284665B1 (en) Method for controlling the shape of the etch front in the etching of polysilicon
US7781293B2 (en) Semiconductor device and method of fabricating the same including trenches of different aspect ratios
US7696552B2 (en) Semiconductor devices including high-k dielectric materials
US8487399B2 (en) Semiconductor device and method of fabricating the same
KR100320830B1 (en) Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
US7670959B2 (en) Memory device etch methods
KR20030066673A (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US5010378A (en) Tapered trench structure and process
US4916511A (en) Trench structure and process
KR100954107B1 (en) Method for manufacturing semiconductor device
KR100562657B1 (en) Recess gate and method for manufacturing semiconductor device with the same
JP2635607B2 (en) Method for manufacturing semiconductor device
KR100316028B1 (en) Method for forming metal electrode in memory device
US20060292882A1 (en) Method for fabricating semiconductor device
US20040152331A1 (en) Process for etching polysilicon gates with good mask selectivity, critical dimension control, and cleanliness
US6074956A (en) Method for preventing silicide residue formation in a semiconductor device
US20030211686A1 (en) Method to increase the etch rate and depth in high aspect ratio structure
JPH09205143A (en) Formation of contact hole
US20050054161A1 (en) Method of decreasing charging effects in oxide-nitride-oxide (ONO) memory arrays
JP2001148375A (en) Method for forming contact hole of semiconductor element using reactive ion etching
JP2739228B2 (en) Method for manufacturing semiconductor device
JP2006080355A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: EXPRESSLY ABANDONED -- DURING EXAMINATION