US20080011423A1 - Device and method for etching flash memory gate stacks comprising high-k dielectric - Google Patents

Device and method for etching flash memory gate stacks comprising high-k dielectric Download PDF

Info

Publication number
US20080011423A1
US20080011423A1 US11/777,714 US77771407A US2008011423A1 US 20080011423 A1 US20080011423 A1 US 20080011423A1 US 77771407 A US77771407 A US 77771407A US 2008011423 A1 US2008011423 A1 US 2008011423A1
Authority
US
United States
Prior art keywords
chamber
etching
etch
plasma
degrees celsius
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/777,714
Inventor
Meihua Shen
Xikun Wang
Wei Liu
Yan Du
Shashank Deshmukh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/777,714 priority Critical patent/US20080011423A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DU, YAN, DESHMUKH, SHASHANK, SHEN, MEIHUA, LIU, WEI, WANG, XIKUA
Publication of US20080011423A1 publication Critical patent/US20080011423A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • Integrated circuits play a significant role in the field of modern semiconductor technology.
  • the development of integrated circuits has made possible a modern world with advanced electrical technology.
  • Applications of integrated circuits are so widespread and their significance affects our every day lives from cellular phones, digital televisions, to flash memory chips in cameras.
  • These integrated circuits typically are formed on silicon substrates or wafers, which can include active semiconductor devices with structured processes for a wide range of stacked layers made from different materials, allowing for memory capabilities.
  • dielectric materials such as silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ) and silicon oxynitride (SiON) have been widely used.
  • SiO 2 silicon dioxide
  • Si 3 N 4 silicon nitride
  • SiON silicon oxynitride
  • IC device geometry has become smaller, resulting in progressively thinner integrated circuit devices.
  • typical IC devices approach thicknesses of a few nanometers or less, conventional aforementioned dielectric materials can typically undergo electronic breakdown and can no longer provide the memory storage needed.
  • high dielectric constant materials have been used in semiconductor chip manufacturing with their potential application in memory devices, such as flash memory.
  • a conventional flash memory film stack consists of poly 2 (control gate)/ONO (interpoly dielectric)/poly 1 (floating gate) gate oxide.
  • high-k materials include aluminum oxide, (Al 2 O 3 ), hafnium oxide (HfO x ), zirconium oxide (ZrO x ), titanium oxide (TiO x ), and mixtures thereof, and metal silicates such as HfSi x O y , ZrSiO 4 and mixtures thereof.
  • a method for etching a flash memory high-k gate stack on a workpiece includes etching a conductive material layer in a low temperature plasma chamber and etching a high-k dielectric layer in a high temperature plasma chamber.
  • the workpiece is transferred between the low temperature plasma chamber and the high temperature plasma chamber through a vacuum transfer chamber connecting the low temperature plasma chamber and the high temperature plasma chamber.
  • an integrated etch station for etching a high-k flash memory structure which includes an etch chamber configured for plasma etch processing of a conductive material layer connected via a transfer chamber to an etch chamber configured for plasma etch processing of a high-k dielectric layer.
  • FIG. 1 shows a simplified example of an etched flash memory stack on a substrate.
  • FIG. 2 shows a simplified illustration of a two chamber etch system for etching a high-k flash memory stack.
  • FIG. 1 shows a simplified example of an etched flash memory stack 100 on a substrate 160 .
  • a hardmask 110 in an etch process to define the stack 100 .
  • a high-k dielectric 130 is located between a control gate 120 and a floating gate 140 .
  • the control gate 120 and the floating gate 140 may be etched from polysilicon layers, or layers of other conducting material (not shown).
  • the high-k dielectric 130 is defined from a layer (not shown) of high-k dielectric material after the control gate 120 is defined.
  • a gate oxide 150 is between the floating gate 140 and the substrate 160 .
  • the stack 100 may include additional layers, not shown, such as barrier layers, etch stop layers, or the like.
  • a conventional ONO-based flash memory gate stack is etched in a chamber like a DPSII poly etcher, manufactured by Applied Materials, Inc., of Santa Clara, Calif., at temperatures between 40-85 degrees Celsius. The temperature requirement primarily is driven by need to etch polysilicon with tight profile and critical dimension control.
  • high-k material films such as Al 2 O 3 and HfO x , for example, are very difficult to etch at temperatures below 100 degrees Celsius because the etch byproducts are non-volatile. Hence it is not practical to carry out the complete gate etch for high-k based flash memory stacks 100 in a single low temperature chamber.
  • FIG. 2 shows a simplified illustration of a two chamber etch system 200 for etching a high-k flash memory stack.
  • a first chamber 210 an etch is performed with a cathode 210 c at a temperature below about 100 degrees Celsius to define a control gate 120 from a poly 2 layer or other conducting layer. The etch is stopped at the high-k dielectric material.
  • the first chamber 210 may be a DPSII etch chamber available from Applied Materials, Inc.
  • the wafer 202 is transported through a vacuum transport chamber 240 , which typically has a wafer transport means such as a robotic arm (not shown), to a second chamber 220 , where a high-k dielectric etch is performed.
  • This process is typically controlled by a microprocessor (not shown).
  • the high-k dielectric etch is performed using a hot cathode 220 c with a temperature in a range from about 100 degrees Celsius to about 300 degrees Celsius.
  • the high-k dielectric etch is performed using a hot cathode 220 c with a temperature in a range from about 250 degrees Celsius to about 300 degrees Celsius.
  • the high-k etch defines the high-k dielectric 130 shown in FIG. 1 .
  • the high-k material film may be etched with up to 700% over etch.
  • a DPSII-HT available from Applied Materials, Inc., or other comparable etch chamber may be used to perform the high-k dielectric etch.
  • the second etch chamber 220 is a reactive ion etch or RIE chamber, which is used to perform an ion bombardment assisted chemical etch of the high-k material. Such an etch may be performed at less than 100 degrees Celsius, if desired.
  • a high-k material such as Al 2 O 3 may be etched with a reactant mixture having BCl 3 and a hydrocarbon passivation gas such as C 2 H 4 , with a diluent of He, as disclosed in U.S. patent application Ser. No. 11/208,573, by Wang et al., entitled METHOD FOR ETCHING HIGH DIELECTRIC CONSTANT MATERIALS, filed Aug. 22, 2005, herein incorporated by reference in its entirety.
  • a high temperature etch at greater than about 150 degrees Celsius may be used with a BCl 3 based chemistry, to provide high selectivity, with a near vertical Al 2 O 3 interface and virtually no control gate poly attack. Thus, a greater than 1.5 to 1 selectivity between the Al 2 O 3 and poly during the Al 2 O 3 etch is possible.
  • a high-k material such as hafnium oxide may be etched using 250 degrees Celsius, or higher.
  • the wafer 202 is returned back to the first chamber 210 to complete etching of the floating gate 140 .
  • the etch is stopped on the gate oxide 150 .
  • the floating gate 140 may be a poly 1 or other conducting material.
  • Various embodiments may provide one or more advantages in high-k flash memory processing.
  • Using a separate chamber for the high-k dielectric etch allows high temperature etching by forming volatile etch byproducts.
  • CF 4 , C 2 F 6 , CHF 3 chemistries can provide high etch selectivity in conventional processing.
  • these etchant gases combine to form non-volatile compounds, such as AlF 3 in the case of Al 3 O 2 high-k dielectric.
  • another chemistry that forms volatile etch byproducts, such as Cl could be used to etch the high-k material.
  • etching the entire flash memory stack 100 in a single chamber can produce undesirable etch byproducts. For example, etching a high-k film of Al 2 O 3 and a gate electrode film of polysilicon in the same chamber can result in Al and Si based etch byproducts in the chamber.
  • a single chamber clean to achieve consistent chamber performance and a high mean wafer between cleaning or MWBC rate is not easy in a single chamber.
  • Using different chambers for etching the high-k material and the gate material limits the types of byproducts, so improves process consistency and the MWBC rate.
  • a separate first chamber 210 for the polysilicon for example, a standard clean process may be used in the first chamber 210 , and a different clean process may be used for the high-k dielectric byproducts in the second chamber 220 , depending on the particular byproduct.
  • the high temperature for the high-k dielectric plasma etch in the second chamber 220 allows the high-k dielectric material to be etched faster than in a conventional low temperature plasma chamber. Further, at high temperature, the etch byproduct is more volatile, without causing much change in the etch rate of polysilicon. Thus, the selectivity to polysilicon is high, allowing use of an over etch of the high-k material of up to about 700%, or even greater than about 700%.
  • etching of flash memory Although shown with one chamber 210 for gate etching and one chamber 220 for high-k dielectric etch, in some embodiments additional gate etch chambers and/or high-k dielectric etch chambers may be used. Further, although the above description is made with reference to etching of flash memory, embodiments and implementations of the present invention are applicable to processing of any multilayer stack including high-k dielectric material, and where both low and high temperature plasma etch processes are desirable, or where a low temperature plasma etch combined with a reactive ion etch is beneficial.

Abstract

In one implementation, a method for etching a flash memory high-k gate stack on a workpiece is provided which includes etching a conductive material layer in a low temperature plasma chamber and etching a high-k dielectric layer in a high temperature plasma chamber. The workpiece is transferred between the low temperature plasma chamber and the high temperature plasma chamber through a vacuum transfer chamber connecting the low temperature plasma chamber and the high temperature plasma chamber. In one embodiment, an integrated etch station for etching a high-k flash memory structure is provided, which includes an etch chamber configured for plasma etch processing of a conductive material layer connected via a transfer chamber to an etch chamber configured for plasma etch processing of a high-k dielectric layer.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • The present application is a continuation of pending U.S. application Ser. No. 11/386,054, filed Mar. 21, 2006; by Shen et al. entitled DEVICE AND METHOD FOR ETCHING FLASH MEMORY GATE STACKS COMPRISING HIGH-K DIELECTRIC, herein incorporated by reference in its entirety.
  • BACKGROUND
  • Integrated circuits (IC) play a significant role in the field of modern semiconductor technology. The development of integrated circuits has made possible a modern world with advanced electrical technology. Applications of integrated circuits are so widespread and their significance affects our every day lives from cellular phones, digital televisions, to flash memory chips in cameras. These integrated circuits typically are formed on silicon substrates or wafers, which can include active semiconductor devices with structured processes for a wide range of stacked layers made from different materials, allowing for memory capabilities.
  • Recently, in modern semiconductor technology, integrated circuits have advanced towards smaller devices with more memory. In the manufacture of semiconductor integrated circuits (IC), typically, dielectric materials such as silicon dioxide (SiO2), silicon nitride (Si3N4) and silicon oxynitride (SiON) have been widely used. However, as technology has progressed, IC device geometry has become smaller, resulting in progressively thinner integrated circuit devices. When typical IC devices approach thicknesses of a few nanometers or less, conventional aforementioned dielectric materials can typically undergo electronic breakdown and can no longer provide the memory storage needed.
  • To address the aforementioned problems, high dielectric constant materials (high k dielectric materials) have been used in semiconductor chip manufacturing with their potential application in memory devices, such as flash memory. A conventional flash memory film stack consists of poly 2 (control gate)/ONO (interpoly dielectric)/poly 1 (floating gate) gate oxide. One of the key changes in the gate film stack at 65 nanometer node and beyond, for flash memory applications, is the replacement of the ONO inter-poly dielectric film with a high-k material. Examples of high-k materials include aluminum oxide, (Al2O3), hafnium oxide (HfOx), zirconium oxide (ZrOx), titanium oxide (TiOx), and mixtures thereof, and metal silicates such as HfSixOy, ZrSiO4 and mixtures thereof.
  • Because of the different composition and reduced size of the high-k dielectric flash memory stack, processing can not be efficiently carried out with conventional etch chamber processing. Therefore, what is needed is an etch chamber and processing methods designed for efficient processing of high-k dielectric flash memory stacks.
  • SUMMARY
  • In one implementation, a method for etching a flash memory high-k gate stack on a workpiece is provided which includes etching a conductive material layer in a low temperature plasma chamber and etching a high-k dielectric layer in a high temperature plasma chamber. The workpiece is transferred between the low temperature plasma chamber and the high temperature plasma chamber through a vacuum transfer chamber connecting the low temperature plasma chamber and the high temperature plasma chamber.
  • In one embodiment, an integrated etch station for etching a high-k flash memory structure is provided, which includes an etch chamber configured for plasma etch processing of a conductive material layer connected via a transfer chamber to an etch chamber configured for plasma etch processing of a high-k dielectric layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a simplified example of an etched flash memory stack on a substrate.
  • FIG. 2 shows a simplified illustration of a two chamber etch system for etching a high-k flash memory stack.
  • DESCRIPTION
  • FIG. 1 shows a simplified example of an etched flash memory stack 100 on a substrate 160. A hardmask 110 in an etch process to define the stack 100. A high-k dielectric 130 is located between a control gate 120 and a floating gate 140. The control gate 120 and the floating gate 140 may be etched from polysilicon layers, or layers of other conducting material (not shown). The high-k dielectric 130 is defined from a layer (not shown) of high-k dielectric material after the control gate 120 is defined. A gate oxide 150 is between the floating gate 140 and the substrate 160. The stack 100 may include additional layers, not shown, such as barrier layers, etch stop layers, or the like.
  • A conventional ONO-based flash memory gate stack is etched in a chamber like a DPSII poly etcher, manufactured by Applied Materials, Inc., of Santa Clara, Calif., at temperatures between 40-85 degrees Celsius. The temperature requirement primarily is driven by need to etch polysilicon with tight profile and critical dimension control.
  • Unlike ONO (SiO2/SiN/SiO2 sandwich) films, high-k material films such as Al2O3 and HfOx, for example, are very difficult to etch at temperatures below 100 degrees Celsius because the etch byproducts are non-volatile. Hence it is not practical to carry out the complete gate etch for high-k based flash memory stacks 100 in a single low temperature chamber.
  • FIG. 2 shows a simplified illustration of a two chamber etch system 200 for etching a high-k flash memory stack. Referring to FIGS. 1 and 2, in a first chamber 210 an etch is performed with a cathode 210 c at a temperature below about 100 degrees Celsius to define a control gate 120 from a poly 2 layer or other conducting layer. The etch is stopped at the high-k dielectric material. The first chamber 210 may be a DPSII etch chamber available from Applied Materials, Inc.
  • The wafer 202 is transported through a vacuum transport chamber 240, which typically has a wafer transport means such as a robotic arm (not shown), to a second chamber 220, where a high-k dielectric etch is performed. This process is typically controlled by a microprocessor (not shown). In the second chamber 220 the high-k dielectric etch is performed using a hot cathode 220 c with a temperature in a range from about 100 degrees Celsius to about 300 degrees Celsius. In some implementations, the high-k dielectric etch is performed using a hot cathode 220 c with a temperature in a range from about 250 degrees Celsius to about 300 degrees Celsius. The high-k etch defines the high-k dielectric 130 shown in FIG. 1. In the second etch chamber 220, the high-k material film may be etched with up to 700% over etch. A DPSII-HT, available from Applied Materials, Inc., or other comparable etch chamber may be used to perform the high-k dielectric etch.
  • In another embodiment, the second etch chamber 220 is a reactive ion etch or RIE chamber, which is used to perform an ion bombardment assisted chemical etch of the high-k material. Such an etch may be performed at less than 100 degrees Celsius, if desired.
  • In one example implementation, a high-k material such as Al2O3 may be etched with a reactant mixture having BCl3 and a hydrocarbon passivation gas such as C2H4, with a diluent of He, as disclosed in U.S. patent application Ser. No. 11/208,573, by Wang et al., entitled METHOD FOR ETCHING HIGH DIELECTRIC CONSTANT MATERIALS, filed Aug. 22, 2005, herein incorporated by reference in its entirety. In one implementation, a high temperature etch at greater than about 150 degrees Celsius may be used with a BCl3 based chemistry, to provide high selectivity, with a near vertical Al2O3 interface and virtually no control gate poly attack. Thus, a greater than 1.5 to 1 selectivity between the Al2O3 and poly during the Al2O3 etch is possible. In another example, a high-k material such as hafnium oxide may be etched using 250 degrees Celsius, or higher.
  • After etching the high-k dielectric 130, the wafer 202 is returned back to the first chamber 210 to complete etching of the floating gate 140. The etch is stopped on the gate oxide 150. The floating gate 140 may be a poly 1 or other conducting material.
  • Various embodiments may provide one or more advantages in high-k flash memory processing. Using a separate chamber for the high-k dielectric etch allows high temperature etching by forming volatile etch byproducts. For example, CF4, C2F6, CHF3 chemistries can provide high etch selectivity in conventional processing. When used to etch high-k materials, these etchant gases combine to form non-volatile compounds, such as AlF3 in the case of Al3O2 high-k dielectric. Thus, another chemistry that forms volatile etch byproducts, such as Cl, could be used to etch the high-k material.
  • Further, by using separate chambers for the control and/or floating gate 120 and 140 etch, and the high-k dielectric 130, it is easier to maintain consistent chamber conditions and wall effects from wafer to wafer, enabling volume production. Moreover, using separate chambers for the etching of flash memory stacks allows different plasma generation sources for the two chambers, one optimized for etching gate materials and the other for etching high-k dielectric materials. In contrast, etching the entire flash memory stack 100 in a single chamber can produce undesirable etch byproducts. For example, etching a high-k film of Al2O3 and a gate electrode film of polysilicon in the same chamber can result in Al and Si based etch byproducts in the chamber. Keeping a single chamber clean to achieve consistent chamber performance and a high mean wafer between cleaning or MWBC rate is not easy in a single chamber. Using different chambers for etching the high-k material and the gate material limits the types of byproducts, so improves process consistency and the MWBC rate. By using a separate first chamber 210 for the polysilicon for example, a standard clean process may be used in the first chamber 210, and a different clean process may be used for the high-k dielectric byproducts in the second chamber 220, depending on the particular byproduct.
  • The high temperature for the high-k dielectric plasma etch in the second chamber 220, about 100 to about 300 degrees Celsius, allows the high-k dielectric material to be etched faster than in a conventional low temperature plasma chamber. Further, at high temperature, the etch byproduct is more volatile, without causing much change in the etch rate of polysilicon. Thus, the selectivity to polysilicon is high, allowing use of an over etch of the high-k material of up to about 700%, or even greater than about 700%.
  • Although shown with one chamber 210 for gate etching and one chamber 220 for high-k dielectric etch, in some embodiments additional gate etch chambers and/or high-k dielectric etch chambers may be used. Further, although the above description is made with reference to etching of flash memory, embodiments and implementations of the present invention are applicable to processing of any multilayer stack including high-k dielectric material, and where both low and high temperature plasma etch processes are desirable, or where a low temperature plasma etch combined with a reactive ion etch is beneficial.
  • While the invention herein disclosed has been described by the specific embodiments and implementations, numerous modifications and variations could be made thereto by those skilled in the art without departing from the scope of the invention set forth in the claims.

Claims (20)

1. A method for etching a flash memory high-k gate stack on a workpiece, the method comprising:
a) etching a conductive material layer in a low temperature plasma chamber;
b) etching a high-k dielectric layer in a high temperature plasma chamber; and
c) transferring the workpiece between the low temperature plasma chamber and the high temperature plasma chamber through a vacuum transfer chamber connecting the low temperature plasma chamber and the high temperature plasma chamber.
2. The method of claim 1, wherein etching the high-k material layer comprises plasma etching with a cathode temperature in a range above about 150 degrees Celsius.
3. The method of claim 2, wherein etching the high-k material layer comprises plasma etching with a cathode temperature in a range above about 250 degrees Celsius.
4. The method of claim 1, wherein etching the conductive material layer comprises etching the lower polysilicon layer with a cathode temperature below about 100 degrees Celsius.
5. The method of claim 4, wherein etching the conductive material layer comprises etching the lower polysilicon layer with a cathode temperature below about 80 degrees Celsius.
6. The method of claim 1, wherein etching the conductive material layer comprises etching a silicon comprising layer in the low temperature plasma chamber.
7. The method of claim 6, wherein etching the conductive material layer comprises etching a polysilicon layer in the low temperature plasma chamber.
8. The method of claim 7, wherein etching the polysilicon layer comprises etching with a cathode temperature below about 100 degrees Celsius.
9. The method of claim 8, wherein etching the polysilicon layer comprises etching with a cathode temperature below about 80 degrees Celsius.
10. The method of claim 1, wherein etching the conductive material layer comprises etching a metal comprising layer in the low temperature plasma chamber.
11. The method of claim 10, wherein etching the conductive material layer comprises etching at least one layer comprising: (a) tungsten; (b) tungsten nitride; or (c) tungsten silicide.
12. A method for etching a wafer to form high-k dielectric flash memory devices, the method comprising:
a) etching with a plasma in a low temperature chamber to define a control gate;
b) etching with a plasma in the low temperature chamber to define a floating gate;
c) etching a high-k interdielectric layer with plasma in a low temperature chamber; and
d) transferring the wafer through a vacuum chamber between the high temperature chamber and the low temperature chamber between plasma etch processes.
13. The method of claim 12, wherein etching in the low temperature chamber comprises etching with a cathode temperature less than about 100 degrees Celsius.
14. The method of claim 12, wherein etching in the high temperature chamber comprises etching with a cathode temperature greater than about 100 degrees Celsius.
15. An integrated etch station for etching a high-k flash memory structure comprising:
a) a conductive material etch chamber configured for plasma etch processing of a conductive material layer;
b) a high-k etch chamber configured for plasma etch processing of a high-k dielectric layer; and
c) a transfer chamber connecting the low temperature chamber and the high temperature chamber for transporting wafers between the low temperature chamber and the high temperature chamber.
16. The integrated etch station of claim 15, wherein the high-k etch chamber is configured to etch in a temperature range greater than about 100 degrees Celsius, and wherein the conductive material etch chamber is configured to etch in a temperature range below about 100 degrees Celsius.
17. The integrated etch station of claim 15, wherein the high-k etch chamber is configured to etch in a temperature range greater than about 250 degrees Celsius, and wherein the conductive material etch chamber is configured to etch in a temperature range below about 85 degrees Celsius.
18. The integrated etch station of claim 15, wherein the high-k etch chamber is a reactive ion etch chamber.
19. The integrated etch station of claim 15, wherein the transfer chamber is a vacuum chamber.
20. The integrated etch station of claim 15, wherein the conductive material etch chamber is configured for etching at least one of: (a) polysilicon; (b) tungsten; (c) tungsten nitride; or (d) tungsten silicide.
US11/777,714 2006-03-21 2007-07-13 Device and method for etching flash memory gate stacks comprising high-k dielectric Abandoned US20080011423A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/777,714 US20080011423A1 (en) 2006-03-21 2007-07-13 Device and method for etching flash memory gate stacks comprising high-k dielectric

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/386,054 US7780862B2 (en) 2006-03-21 2006-03-21 Device and method for etching flash memory gate stacks comprising high-k dielectric
US11/777,714 US20080011423A1 (en) 2006-03-21 2007-07-13 Device and method for etching flash memory gate stacks comprising high-k dielectric

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/386,054 Continuation US7780862B2 (en) 2006-03-21 2006-03-21 Device and method for etching flash memory gate stacks comprising high-k dielectric

Publications (1)

Publication Number Publication Date
US20080011423A1 true US20080011423A1 (en) 2008-01-17

Family

ID=38523111

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/386,054 Expired - Fee Related US7780862B2 (en) 2006-03-21 2006-03-21 Device and method for etching flash memory gate stacks comprising high-k dielectric
US11/777,714 Abandoned US20080011423A1 (en) 2006-03-21 2007-07-13 Device and method for etching flash memory gate stacks comprising high-k dielectric

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/386,054 Expired - Fee Related US7780862B2 (en) 2006-03-21 2006-03-21 Device and method for etching flash memory gate stacks comprising high-k dielectric

Country Status (3)

Country Link
US (2) US7780862B2 (en)
TW (1) TWI374518B (en)
WO (1) WO2007109356A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11726193B2 (en) 2011-03-31 2023-08-15 Maxim Integrated Products, Inc. Transmit/receive system for imaging devices

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
JP2009021584A (en) 2007-06-27 2009-01-29 Applied Materials Inc High temperature etching method of high k material gate structure
US7723186B2 (en) * 2007-12-18 2010-05-25 Sandisk Corporation Method of forming memory with floating gates including self-aligned metal nanodots using a coupling layer
US8193055B1 (en) 2007-12-18 2012-06-05 Sandisk Technologies Inc. Method of forming memory with floating gates including self-aligned metal nanodots using a polymer solution
US9418864B2 (en) * 2008-01-30 2016-08-16 Infineon Technologies Ag Method of forming a non volatile memory device using wet etching
US7782600B2 (en) * 2008-01-31 2010-08-24 Ncr Corporation Access self-service terminal
US8383479B2 (en) 2009-07-21 2013-02-26 Sandisk Technologies Inc. Integrated nanostructure-based non-volatile memory fabrication
US9401663B2 (en) 2012-12-21 2016-07-26 Infineon Technologies Austria Ag Power converter circuit with AC output
US9478989B2 (en) 2012-01-17 2016-10-25 Infineon Technologies Austria Ag Power converter circuit with AC output
US9461474B2 (en) 2012-01-17 2016-10-04 Infineon Technologies Austria Ag Power converter circuit with AC output
US9484746B2 (en) 2012-01-17 2016-11-01 Infineon Technologies Austria Ag Power converter circuit with AC output
US9425622B2 (en) 2013-01-08 2016-08-23 Infineon Technologies Austria Ag Power converter circuit with AC output and at least one transformer
JP6173684B2 (en) * 2012-12-25 2017-08-02 株式会社日立ハイテクノロジーズ Manufacturing method of semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265749B1 (en) * 1997-10-14 2001-07-24 Advanced Micro Devices, Inc. Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US20050282341A1 (en) * 2004-06-16 2005-12-22 International Business Machines Corporation High-temperature stable gate structure with metallic electrode

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4375385A (en) * 1982-03-25 1983-03-01 Rca Corporation Plasma etching of aluminum
US4372807A (en) * 1982-03-25 1983-02-08 Rca Corporation Plasma etching of aluminum
JPH0666298B2 (en) * 1983-02-03 1994-08-24 日電アネルバ株式会社 Dry etching equipment
JPS6037129A (en) * 1983-08-10 1985-02-26 Hitachi Ltd Equipment for manufacturing semiconductor
JPS60169140A (en) * 1984-02-13 1985-09-02 Hitachi Ltd Dry etching method
US4680086A (en) * 1986-03-20 1987-07-14 Motorola, Inc. Dry etching of multi-layer structures
US4897154A (en) * 1986-07-03 1990-01-30 International Business Machines Corporation Post dry-etch cleaning method for restoring wafer properties
US4855016A (en) * 1987-07-16 1989-08-08 Texas Instruments Incorporated Method for etching aluminum film doped with copper
US4842676A (en) * 1987-07-16 1989-06-27 Texas Instruments Incorporated Process for etch of tungsten
US4940509A (en) * 1988-03-25 1990-07-10 Texas Instruments, Incorporated Isotropic etchant for capped silicide processes
US5236537A (en) * 1989-04-07 1993-08-17 Seiko Epson Corporation Plasma etching apparatus
JPH02291131A (en) 1989-04-28 1990-11-30 Sony Corp Dry etching of barrier metal/aluminum laminated film
FR2670693B1 (en) * 1990-12-20 1993-04-16 Dutartre Didier PROCESS FOR CLEANING THE SURFACE OF A SUBSTRATE BY PLASMA.
JPH04253328A (en) * 1991-01-29 1992-09-09 Hitachi Ltd Surface treatment device
US5468679A (en) * 1991-02-25 1995-11-21 Symetrix Corporation Process for fabricating materials for ferroelectric, high dielectric constant, and integrated circuit applications
US5223453A (en) * 1991-03-19 1993-06-29 The United States Of America As Represented By The United States Department Of Energy Controlled metal-semiconductor sintering/alloying by one-directional reverse illumination
JPH07109825B2 (en) * 1992-01-13 1995-11-22 富士通株式会社 Dry cleaning method for semiconductor substrate surface or thin film surface
US5427638A (en) * 1992-06-04 1995-06-27 Alliedsignal Inc. Low temperature reaction bonding
JP2560178B2 (en) 1992-06-29 1996-12-04 九州電子金属株式会社 Method for manufacturing semiconductor wafer
US5258333A (en) * 1992-08-18 1993-11-02 Intel Corporation Composite dielectric for a semiconductor device and method of fabrication
US5466636A (en) * 1992-09-17 1995-11-14 International Business Machines Corporation Method of forming borderless contacts using a removable mandrel
JP3115715B2 (en) 1992-11-12 2000-12-11 三菱電機株式会社 Method for etching multi-component oxide film having high dielectric constant, method for etching high-melting-point metal-containing film, and method for manufacturing thin-film capacitor element
US5369544A (en) * 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
KR960002534A (en) * 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
US5932048A (en) * 1995-04-06 1999-08-03 Komatsu Electronic Metals Co., Ltd. Method of fabricating direct-bonded semiconductor wafers
JP3105770B2 (en) * 1995-09-29 2000-11-06 日本電気株式会社 Method for manufacturing semiconductor device
JPH10511507A (en) * 1995-10-20 1998-11-04 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ Fabrication of a semiconductor device having selectively deposited semiconductor regions
US6090717A (en) * 1996-03-26 2000-07-18 Lam Research Corporation High density plasma etching of metallization layer using chlorine and nitrogen
US5968848A (en) * 1996-12-27 1999-10-19 Tokyo Ohka Kogyo Co., Ltd. Process for treating a lithographic substrate and a rinse solution for the treatment
JP2839027B2 (en) * 1997-01-23 1998-12-16 住友電気工業株式会社 Heat treatment method for II-VI compound semiconductor
US6204087B1 (en) * 1997-02-07 2001-03-20 University Of Hawai'i Fabrication of three-dimensional architecture for solid state radiation detectors
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5983907A (en) * 1997-08-05 1999-11-16 Seh America, Inc. Method of drying semiconductor wafers using hot deionized water and infrared drying
US6379576B2 (en) * 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
WO1999040615A1 (en) * 1998-02-04 1999-08-12 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device
KR100528685B1 (en) * 1998-03-12 2005-11-15 가부시끼가이샤 히다치 세이사꾸쇼 Method for processing surface of sample
US6077776A (en) * 1998-03-18 2000-06-20 Taiwan Semiconductor Manufacturing Company Polysilicon residue free process by thermal treatment
US20010055868A1 (en) * 1998-05-22 2001-12-27 Madan Sudhir K. Apparatus and method for metal layer streched conducting plugs
US6303505B1 (en) * 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
KR100305527B1 (en) * 1998-07-09 2001-11-01 니시무로 타이죠 Method and apparatus for manufactu ring semiconductor device
US6010966A (en) * 1998-08-07 2000-01-04 Applied Materials, Inc. Hydrocarbon gases for anisotropic etching of metal-containing layers
US6255226B1 (en) * 1998-12-01 2001-07-03 Philips Semiconductor, Inc. Optimized metal etch process to enable the use of aluminum plugs
KR100271948B1 (en) * 1998-12-01 2000-11-15 윤종용 Method for forming self-align silicide in semiconductor device
DE19856082C1 (en) * 1998-12-04 2000-07-27 Siemens Ag Process for structuring a metal-containing layer
US6180505B1 (en) * 1999-01-07 2001-01-30 International Business Machines Corporation Process for forming a copper-containing film
US6309927B1 (en) * 1999-03-05 2001-10-30 Advanced Micro Devices, Inc. Method of forming high K tantalum pentoxide Ta2O5 instead of ONO stacked films to increase coupling ratio and improve reliability for flash memory devices
JP2000294530A (en) * 1999-04-06 2000-10-20 Nec Corp Method for cleaning semiconductor substrate and its cleaner
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6413863B1 (en) * 2000-01-24 2002-07-02 Taiwan Semiconductor Manufacturing Company Method to resolve the passivation surface roughness during formation of the AlCu pad for the copper process
JP2002252211A (en) * 2001-02-23 2002-09-06 Nec Corp Method for manufacturing semiconductor device
US6511872B1 (en) * 2001-07-10 2003-01-28 Agere Systems Inc. Device having a high dielectric constant material and a method of manufacture thereof
US6566148B2 (en) * 2001-08-13 2003-05-20 Sharp Laboratories Of America, Inc. Method of making a ferroelectric memory transistor
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6717193B2 (en) * 2001-10-09 2004-04-06 Koninklijke Philips Electronics N.V. Metal-insulator-metal (MIM) capacitor structure and methods of fabricating same
US6713342B2 (en) * 2001-12-31 2004-03-30 Texas Instruments Incorporated FeRAM sidewall diffusion barrier etch
US6821907B2 (en) * 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US20050176191A1 (en) * 2003-02-04 2005-08-11 Applied Materials, Inc. Method for fabricating a notched gate structure of a field effect transistor
JP4358556B2 (en) 2003-05-30 2009-11-04 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
EP1629529A2 (en) * 2003-05-30 2006-03-01 Tokyo Electron Limited Method and system for etching a high-k dielectric material
US7037849B2 (en) * 2003-06-27 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Process for patterning high-k dielectric material
US20050081781A1 (en) * 2003-10-17 2005-04-21 Taiwan Semiconductor Manufacturing Co. Fully dry, Si recess free process for removing high k dielectric layer
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
US7012027B2 (en) * 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
JP2005268292A (en) * 2004-03-16 2005-09-29 Toshiba Corp Process for fabricating semiconductor device
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US20070190795A1 (en) * 2006-02-13 2007-08-16 Haoren Zhuang Method for fabricating a semiconductor device with a high-K dielectric
KR100833444B1 (en) * 2006-03-28 2008-05-29 주식회사 하이닉스반도체 Method of manufacturing a flash memory device
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265749B1 (en) * 1997-10-14 2001-07-24 Advanced Micro Devices, Inc. Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US20030194877A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Integrated etch, rinse and dry, and anneal method and system
US20050282341A1 (en) * 2004-06-16 2005-12-22 International Business Machines Corporation High-temperature stable gate structure with metallic electrode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11726193B2 (en) 2011-03-31 2023-08-15 Maxim Integrated Products, Inc. Transmit/receive system for imaging devices

Also Published As

Publication number Publication date
TW200802733A (en) 2008-01-01
WO2007109356A3 (en) 2007-12-13
US20070224813A1 (en) 2007-09-27
US7780862B2 (en) 2010-08-24
WO2007109356A2 (en) 2007-09-27
TWI374518B (en) 2012-10-11

Similar Documents

Publication Publication Date Title
US7780862B2 (en) Device and method for etching flash memory gate stacks comprising high-k dielectric
US7964512B2 (en) Method for etching high dielectric constant materials
US8501626B2 (en) Methods for high temperature etching a high-K material gate structure
TWI604562B (en) Method of selective nitridation
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
US9595451B1 (en) Highly selective etching methods for etching dielectric materials
US7579282B2 (en) Method for removing metal foot during high-k dielectric/metal gate etching
US9478643B2 (en) Memory structure with self-aligned floating and control gates and associated methods
JP2009016823A (en) Method of fabricating nonvolatile memory device having charge trapping layer
US9741566B2 (en) Methods for manufacturing a spacer with desired profile in an advanced patterning process
US7910488B2 (en) Alternative method for advanced CMOS logic gate etch applications
TWI647822B (en) Three-dimensional non-volatile memory and manufacturing method thereof
JP6104928B2 (en) Interlayer polycrystalline silicon dielectric cap and method of forming the same
US8124538B2 (en) Selective etch of high-k dielectric material
JP2005268292A (en) Process for fabricating semiconductor device
US11127599B2 (en) Methods for etching a hardmask layer
US20080064195A1 (en) Method for Manufacturing Gate of Non Volatile Memory Device
US6905971B1 (en) Treatment of dielectric material to enhance etch rate
US11393688B2 (en) Semiconductor contact formation
KR100650799B1 (en) Method of manufacturing in flash memory device
KR20080074406A (en) Method of manufacturing a non-volatile memory device
TWI572075B (en) Memory device and method for fabricating the same
KR20080046349A (en) Method of manufacturing a non-volatile semiconductor device
KR20070022608A (en) A method for etching high dielectric constant materials

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHEN, MEIHUA;WANG, XIKUA;LIU, WEI;AND OTHERS;REEL/FRAME:019557/0037;SIGNING DATES FROM 20060616 TO 20070323

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION