US20080064136A1 - Supercritical fluid-assisted deposition of materials on semiconductor substrates - Google Patents

Supercritical fluid-assisted deposition of materials on semiconductor substrates Download PDF

Info

Publication number
US20080064136A1
US20080064136A1 US11/939,233 US93923307A US2008064136A1 US 20080064136 A1 US20080064136 A1 US 20080064136A1 US 93923307 A US93923307 A US 93923307A US 2008064136 A1 US2008064136 A1 US 2008064136A1
Authority
US
United States
Prior art keywords
deposition
group
scf
deposition composition
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/939,233
Inventor
Chongying Xu
Thomas Baum
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/939,233 priority Critical patent/US20080064136A1/en
Publication of US20080064136A1 publication Critical patent/US20080064136A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • C23C18/122Inorganic polymers, e.g. silanes, polysilazanes, polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/125Process of deposition of the inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Definitions

  • the present invention relates generally to using supercritical fluids to effect the deposition of materials on substrates, e.g., semiconductor substrates, in the manufacture of semiconductor devices and device precursor structures.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • electroplating for metallization and interconnect formation
  • CVD processes require volatile precursors for the formation of precursor vapors that are transported to the chemical vapor deposition chamber.
  • many chemical species are neither thermally stable enough, nor volatile enough, for sustained vaporization, delivery and deposition.
  • CVD processes for film deposition are largely limited by the availability of volatile and stable precursors as source reagents.
  • PVD utilizing a charged gas and a sputter target to effect deposition of material on a substrate
  • a charged gas and a sputter target to effect deposition of material on a substrate
  • process control issues relating to diffusion of the sputtered material. Due to the ballistic nature of sputtered materials, it is extremely difficult to achieve conformal coverage on complex topography of next generation patterned substrates.
  • CVD processes therefore can only compete with electroplating techniques (aqueous based processes) when the cost of ownership (COO) for the process is small.
  • COO cost of ownership
  • copper-porous low k multi-layers may require special, non-aqueous processing to avoid aqueous contamination of the low k pore structures and decreased device yields, and to enhance device reliability.
  • the dielectric constant of the low k material is critical and aqueous contamination can negatively increase dielectric constants, which is largely unacceptable.
  • electroplating requires a conformal, conductive and uniform seed layer to enable the technique.
  • the seed layer is deposited by PVD in conventional practice. With decreasing feature size and increasing aspect ratio, the use of PVD to obtain the required seed layers becomes a major technical challenge. Although ionized PVD conceivably could be useful for such purpose, alternative techniques are required, which are compatible with low k dielectrics.
  • the present invention relates to supercritical fluid (SCF)-assisted deposition of materials onto substrates.
  • the invention relates to a deposition composition for depositing material on a substrate, such deposition composition comprising a supercritical fluid and a precursor for the material to be deposited on the substrate.
  • Another aspect of the invention relates to a method of forming a material on a substrate, comprising depositing the material on the substrate from a deposition composition comprising a precursor of such material, and a supercritical fluid.
  • Supercritical fluids are formed under conditions at which the density of the liquid phase equals the density of the gaseous phase of the substance.
  • carbon dioxide CO 2
  • CO 2 which is a gas at standard temperature and pressure, undergoes a transition from liquid to SCF above a critical point, corresponding to T c ⁇ 31.1° C. and P c ⁇ 73.8 atm.
  • the density of the SCF can be varied from liquid-like to gaseous-like, yielding different solvation abilities, by varying the pressure and temperature.
  • Supercritical fluids have a solubility and diffusibility approaching that of the liquid and gaseous phase, respectively. Additionally, the surface tension of SCFs is negligible.
  • supercritical CO 2 is a preferred SCF in the broad practice of the present invention, although the invention may be practiced with any suitable SCF species, with the choice of a particular SCF depending on the specific application involved.
  • the present invention relates to supercritical fluid-assisted deposition of thin-film (e.g., with a thickness of ⁇ 1 ⁇ m) material on a substrate, e.g., a semiconductor wafer substrate.
  • thin-film e.g., with a thickness of ⁇ 1 ⁇ m
  • the SCF-assisted deposition compositions of the present invention provide a distinct advantage in penetrating small geometry structures such as vias and trenches with high aspect ratios on a semiconductor wafer, as well as achieving improved homogeneity and extent of conformality of the deposited material, e.g., in films, layers and localized material deposits, particularly in instances in which the wettability of the substrate is low, as is the case with many semiconductor substrates.
  • the deposition compositions of the invention may be variously formulated for specific deposition applications, including suitable SCF(s) and source reagent (precursor) compound(s), complex(es) and material(s). Such compositions may further optionally comprise co-solvent(s), co-reactant(s), surfactant(s), diluent(s), and/or other deposition-facilitating or composition-stabilizing component(s), as necessary or desired for such applications.
  • the deposition composition comprises at least one SCF and at least one precursor component.
  • the composition thus comprises a supercritical fluid solution in which at least one precursor component is dissolved.
  • the SCF precursor solution in use can be delivered to a heated substrate for contacting therewith, to deposit on the substrate a material deriving from the precursor component(s).
  • the precursor component may comprise a source reagent compound or organometallic species or metal coordination complex for forming a metal or dielectric film on a semiconductor wafer substrate.
  • the precursor component(s) can be continuously delivered in a stream of the SCF-based deposition composition to the heated substrate, to deposit the desired material deriving from the precursor component(s) on the substrate surface.
  • by-products of the deposition operation can be continuously carried out of the deposition chamber via continuous flow of the SCF-based composition through the deposition chamber containing the heated pedestal and substrate.
  • the deposition using the SCF-based deposition composition may be carried out in a batch mode, wherein the deposition composition is contacted with the substrate, and process condition(s) (e.g., temperature and/or pressure) of the composition are altered to effect the deposition of the desired material deriving from the composition.
  • process condition(s) e.g., temperature and/or pressure
  • SCF species useful in the broad practice of the invention include, but are not limited to, carbon dioxide, oxygen, argon, krypton, xenon, ammonia, methane, methanol, dimethyl ketone, hydrogen, forming gas, and sulfur hexafluoride.
  • Co-solvent or co-reactant species useful in the deposition compositions of the invention may be of any suitable type.
  • Illustrative species include, but are not limited to, methanol, ethanol, and higher alcohols, N-alkylpyrrolidones or N-arylpyrrolidones, such as N-methyl-, N-octyl-, or N-phenyl-pyrrolidones, dimethylsulfoxide, sulfolane, catechol, ethyl lactate, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, ⁇ -butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate.
  • Surfactants useful in the deposition compositions of the present invention may likewise be of any suitable type, including anionic, neutral, cationic, and zwitterionic types.
  • Illustrative surfactant species include, without limitation, acetylenic alcohols and diols, long alkyl chain secondary and tertiary amines, and their respective fluorinated analogs.
  • the components of the SCF-based deposition compositions of the present invention may be present at any suitable concentrations and relative proportions, as appropriate to the use of the composition in depositing material on a substrate surface.
  • the precursor component(s) may be present at concentrations of from about 0.1% by weight to about 98% by weight, with suitable concentrations being dependent on the maximum solubility of the precursor in the supercritical fluid that is employed in the composition.
  • preferred concentration ranges of specific precursors may have any suitable minima (e.g., 0.2%, 0.5%, 1%, 5%, or 8%), and maxima (e.g., 10%, 12%, 15%, 18%, 20%, 25%, 30%, 40%, 50%, 60%, 75%, 80% or 90%), appropriate to the specific supercritical fluid involved.
  • the concentration of the precursor can be below about 40% when CO 2 is used as the supercritical fluid in the SCF-based deposition composition. The foregoing weight percentages are based on the weight of the SCF in the composition.
  • deposition compositions of the invention may selectively comprise, consist of, or consist essentially of, any of the SCF, precursor component(s) and optional additional components of the compositions disclosed herein.
  • Deposition using the SCF-based deposition compositions of the invention may be carried out in any suitable manner, as regards contacting of the deposition composition with the substrate. Chemical vapor deposition techniques may be employed, or other modes of application of the deposition composition to the substrate can be effected.
  • a polymeric or oligomeric precursor species is dissolved in a supercritical fluid to form the SCF-based deposition composition.
  • This deposition composition in solution form then can be sprayed into a fine mist or otherwise aerosolized.
  • the mist or aerosol is transported onto the substrate surface, polymeric films are formed.
  • This technique is suitable for forming low k films from polymeric, oligomeric, pre-polymeric, or monomeric precursor components, or combinations of same.
  • one or more precursor component(s) can be dissolved in a supercritical fluid, with the solution then being subjected to rapid expansion.
  • the precursor component(s) are vaporized into fine vapor particles or nano-sized aerosols (depending on the rapid expansion conditions), and such fine vapor particles or aerosol can be used in CVD-type deposition processes.
  • Preferred supercritical fluids for such purpose include carbon dioxide, methane, ethane, methanol, dimethyl ketone and sulfur hexafluoride.
  • the precursor components for the materials to be deposited on the substrate may be of any suitable type.
  • Illustrative precursor components include, without limitation, organometallic source reagent compounds and complexes, and Lewis base adducts thereof, as well as the semiconductor manufacturing precursor components described in U.S. Pat. No. 5,840,897 issued Nov. 24, 1998, U.S. Pat. No. 5,453,494 issued Jan. 18, 1994, U.S. Pat. No. 6,110,529 issued Aug. 29, 2000, U.S. Pat. No. 5,820,664 issued Mar. 31, 1995, and U.S. Pat. No. 5,225,561 issued Sep. 12, 1990, the disclosures of all of which are hereby incorporated herein by reference, in their respective entireties.
  • the invention contemplates application of SCF-assisted deposition to any of a wide variety of suitable techniques for depositing materials on substrates.
  • SCF-assisted deposition is employed to enhance physical vapor deposition (PVD) processes.
  • PVD physical vapor deposition
  • the PVD process can be modified in accordance with the present invention to utilize SCF-assisted deposition techniques.
  • a supercritical fluid e.g., carbon dioxide and/or argon, or other SCF, is employed.
  • metal complexes of such type include, without limitation, Mo(CO) 6 , W(CO) 6 , Cr(CO) 6 , W(PF 3 ) 6 , CO 2 (CO) 8 , and CO 2 (PF 3 ) 8 .
  • low k films are formed using alkyl silanes, siloxane precursors, and even organic-based non-silicon-containing low k precursors, such as the low k dielectric thermosetting resin sold by The Dow Chemical Company under the trademark SiLK.
  • Silxoane precursors may be of any suitable type, as for example alkyl siloxanes and cyclosiloxanes, such as tetramethylcyclotetrasiloxane (TMCTS) and octamethyltetracyclosiloxane (OMCTS).
  • TCTS tetramethylcyclotetrasiloxane
  • OCTS octamethyltetracyclosiloxane
  • Other low k film precursor materials can be utilized in the SCF-based deposition process of the present invention to form superior films on substrates, without issues of adverse polymerization effects.
  • the deposition compositions of the invention therefore include compositions wherein the precursor is a silicon source reagent, e.g., a siloxane in combination with an alkylsilane, e.g., trimethylsilane or tetramethylsilane.
  • the silicon source reagent comprises a siloxane, which is used in combination with a porogen, to form a porous low k film on the substrate.
  • the invention resolves a major deficiency of siloxane precursors that has limited the utility of such materials for deposition applications. Specifically, the presence of even trace amounts of impurities in such siloxane precursors poses a risk of cationic or anionic polymerization, particularly at high temperatures, such as would otherwise result in premature degradation in delivery lines to the deposition chamber. For example, TMCTS polymerizes in delivery lines at elevated temperatures, usually about 120° C., with potentially catastrophic consequences to the deposition process and associated equipment.
  • SCF-based precursor delivery and deposition in accordance with the present invention.
  • Supercritical fluid solutions of low k precursors are readily delivered into the growth chamber at low temperature, e.g., as low as 31.1° C., due to the low viscosity of such solutions. Further, at the point that the pressure of the supercritical fluid solution is reduced, the solution undergoes rapid expansion in volume, to vaporize the precursor into a precursor vapor, with no ancillary heating requirement being required.
  • the SCF-based deposition techniques of the invention in application to low k film precursors, reduce thermally-induced polymerization of precursors such as siloxanes. More generally, thermally unstable low k film precursors of variant types are advantageously delivered to the deposition substrate by SCF-based compositions.
  • SCF species that are particularly useful for such purpose include carbon dioxide, methane, methanol, dimethyl ketone and sulfur hexafluoride.
  • SCF-based deposition compositions of the invention may be employed for precursor delivery to the substrate, to form barrier layers, e.g., of TiN, TaN, NbN, WN or their corresponding silicides, or interconnect and metallization structures, e.g., of copper, aluminum or other metals, metal alloys and species, on semiconductor substrates.
  • barrier layers e.g., of TiN, TaN, NbN, WN or their corresponding silicides, or interconnect and metallization structures, e.g., of copper, aluminum or other metals, metal alloys and species, on semiconductor substrates.
  • interconnect and metallization structures e.g., of copper, aluminum or other metals, metal alloys and species
  • barrier layer precursor materials may be of any suitable type for forming the aforementioned nitrides and silicides.
  • Illustrative precursor components include, without limitation, titanium (IV) tetrakis-dialkylamides such as tetrakis diethylamido titanium (TDEAT), tetrakis dimethylamino titanium (TDMAT), and pyrozolate titanium compounds and other titanium amido and imido compounds.
  • Illustrative tantalum nitride (TaN) barrier precursor compounds include, without limitation, Ta (IV) pentakis(dialkylamido) compounds, such as pentakis ethylmethylamido tantalum (PEMAT), pentakis dimethylamido tantalum (PDMAT) and pentakis diethylamido tantalum (PDEAT), and their W, Nb corresponding compounds.
  • Ta (IV) pentakis(dialkylamido) compounds such as pentakis ethylmethylamido tantalum (PEMAT), pentakis dimethylamido tantalum (PDMAT) and pentakis diethylamido tantalum (PDEAT), and their W, Nb corresponding compounds.
  • PEMAT pentakis ethylmethylamido tantalum
  • PDMAT pentakis dimethylamido tantalum
  • PDEAT pentakis diethylamido tantalum
  • Useful interconnect material precursors include, without limitation, metal beta-diketonate precursors, such as (hfac)Cu (I) Lewis base adducts, as well as metal formates and metal acetates and their Lewis base adducts.
  • the SCF-based deposition composition can usefully contain optional co-reactants such as ammonia (NH 3 ), hydrogen or other reducing co-reactants.
  • optional co-reactants such as ammonia (NH 3 ), hydrogen or other reducing co-reactants.
  • the SCF-based deposition composition can be delivered onto the substrate surface in a continuous manner, to effect continuous film growth on the substrate surface, with by-products of the deposition being carried away in the exhaust stream of supercritical fluid that is discharged from the deposition chamber.
  • the SCF-based deposition composition may be transported to a vaporizer, whereby the deposition composition undergoes rapid expansion and vaporizes the precursor component(s) into precursor vapor.
  • Such vaporization may be carried out at very low temperature, e.g., room temperature, with the resulting precursor vapor being directed onto the heated substrate surface to grow the desired film thereon.
  • the supercritical fluids useful for the aforementioned barrier layer and metallization deposition include, without limitation, CO 2 , NH 3 , CH 4 , SF 6 , N 2 O, CO, and the like.
  • SCF-based deposition compositions are usefully employed for forming copper metallization on integrated circuitry substrates.
  • the supercritical fluid facilitates a low surface tension, high-pressure technique for deposition of copper thin films in deep trench and high aspect ratio via structures.
  • deposition of metal thin films using SCF-assisted techniques in accordance with the instant invention relaxes the requirements for volatile metal precursors that would otherwise be necessary.
  • the SCF-assisted deposition techniques of the present invention obviate the need for fluorine-functionalized precursors, which are currently being increasingly used in the semiconductor manufacturing industry to satisfy precursor volatility requirements.
  • the precursor component(s) need only be soluble in the SCF medium in order to be transported to the deposition chamber and thermally decomposed onto the heated substrate.
  • the invention permits the use of low-cost, non-volatile copper (I) and copper (II) precursors for deposition of thin film copper without the need for fluorine-containing precursors.
  • This flexibility in turn eliminates fluorine contamination on barrier surfaces and reduces electrical contact resistances of inter-metallic layers, such as M1 to M2 copper layers, and improves the adhesion of the copper film to nitride barriers.
  • copper may be deposited on a substrate from SCF solution, utilizing a wide variety of non-volatile or low volatility copper precursors.
  • Illustrative copper precursors include, without limitation, copper (II) ⁇ -diketonates, copper (II) carboxylates, copper (I) cyclopentadienes, copper (I) phenyls, copper (I) amides, and Lewis base adducts of the aforementioned copper (I) and copper (II) species.
  • a preferred supercritical fluid for such copper deposition applications is carbon dioxide.
  • supercritical fluids exhibit liquid-like densities and gas-like viscosities, having solvating properties similar to those of organic solvents (e.g., pentane, hexane, and toluene), supercritical fluids can dissolve many copper compounds that are soluble in organic solvents.
  • supercritical fluid solutions containing copper precursor(s) are easily delivered to the film growth chamber for contacting with the substrate to deposit copper films thereon. Since surface tension is greatly reduced by the SCF in the deposition composition, the copper precursor is effectively delivered into trenches and via openings with very high aspect ratios, to achieve superior conformal coating therein.
  • Copper precursor components usefully employed in the broad practice of the present invention for copper deposition include, without limitation, the following:
  • Cu (II) ( ⁇ -diketonato) 2 species such as Cu (II) (acac) 2 , Cu (II) (thd) 2 and Cu (tod) 2 as well as other non-fluorinated ⁇ -diketonate copper compounds and complexes;
  • Cu (carboxylate) 2 species such as Cu (formate) 2 and Cu (acetate) 2 and other long-chain (e.g., C 8 -C 40 and more preferably from C 8 -C 30 ) carboxylates.
  • Cu (formate) 2 is a preferred copper source reagent because the formate ligand is able to act as a reducing agent, leading to ultra-pure copper films upon thermal decomposition. Even higher solubility of copper formate in the SCF medium is obtainable by polyamine complexation of Cu (formate) 2 .
  • Copper (II) carboxylates are a preferred copper precursor species, since such compounds are relatively easy to synthesize, utilizing low-cost starting materials.
  • Such compounds have not heretofore been contemplated for use in copper deposition applications, due to their poor volatility and poor transport characteristics, but are readily used for such purpose by formulation in SCF media in accordance with the present invention.
  • the cost of ownership (COO) of copper deposition process systems is decreased by the use of such low cost copper precursors.
  • Copper (I) phenyl tetramers such as copper (I) pentafluorophenyl or copper (I) t-butyl phenyl tetramer.
  • the present invention contemplates SCF-based deposition compositions containing at least one copper precursor, wherein the copper precursor contains a ligand that serves as a reducing agent for production of ultrahigh-purity copper films on the substrate.
  • the SCF-based deposition composition can comprise an SCF that is itself a reducing agent, or as a still further alternative, the SCF-based deposition composition can additionally optionally contain other reducing agent(s) for ensuring high purity copper deposition on the substrate.
  • Suitable reducing agents for such purpose may be readily determined without undue experimentation, within the skill of the art.
  • Illustrative reducing species include, without limitation, hydrogen and isopropyl alcohol.
  • compositions of the invention various enhancing agents and other beneficial components may be incorporated in the composition.
  • isopropyl alcohol may be added in a concentration of from about 0.1% to about 99.9% by weight, based on the weight of the SCF component(s).
  • IPA as an enhancing agent is highly advantageous when the SCF component is carbon dioxide, since isopropyl alcohol may increase copper precursor solubility in the supercritical carbon dioxide, while simultaneously functioning as a reducing agent to reduce Cu (II) to Cu (O).
  • the isopropyl alcohol may be oxidized to acetone during the deposition process, and is readily recovered from the SCF effluent discharged from the deposition chamber, for recovery and reuse thereof, or alternatively for other disposition.
  • the SCF can be of any suitable type, including, without limitation, CO 2 , CH 4 , C 2 H 6 , CH 3 OH, C 2 H 5 OH, (CH 3 ) 2 CHOH, CH 3 COCH 3 and SF 6 .
  • the SCF-based copper precursor compositions of the invention afford a highly effective approach to deposition of copper films on substrates.
  • Such compositions may be employed in semiconductor manufacturing operations as one of sequential deposition steps carried out in a cluster tool for wafer processing.
  • compositions and methods of the invention may be practiced in a widely variant manner, consistent with the broad disclosure herein. Accordingly, while the invention has been described herein with reference to specific features, aspects, and embodiments, it will be recognized that the invention is not thus limited, but is susceptible of implementation in other variations, modifications and embodiments. Accordingly, the invention is intended to be broadly construed to encompass all such other variations, modifications and embodiments, as being within the scope of the invention hereinafter claimed.

Abstract

Supercritical fluid-assisted deposition of materials on substrates, such as semiconductor substrates for integrated circuit device manufacture. The deposition is effected using a supercritical fluid-based composition containing the precursor(s) of the material to be deposited on the substrate surface. Such approach permits use of precursors that otherwise would be wholly unsuitable for deposition applications, as lacking requisite volatility and transport characteristics for vapor phase deposition processes.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This is a continuation of U.S. patent application Ser. No. 11/078,211 for “Supercritical Fluid-Assisted Deposition of Materials on Semiconductor Substrates” filed on Mar. 11, 2005 in the name of Chongying Xu et al., which is a divisional of U.S. patent application Ser. No. 10/303,479 filed Nov. 25, 2002, now U.S. Pat. No. 7,030,168, which claims priority to U.S. Provisional Patent Application No. 60/345,738 filed Dec. 31, 2001, all of which are incorporated in their entirety herein.
  • FIELD OF THE INVENTION
  • The present invention relates generally to using supercritical fluids to effect the deposition of materials on substrates, e.g., semiconductor substrates, in the manufacture of semiconductor devices and device precursor structures.
  • DESCRIPTION OF THE RELATED ART
  • In the field of semiconductor manufacturing, deposition of materials on semiconductor substrates is carried out by a variety of techniques, including chemical vapor deposition (CVD), physical vapor deposition (PVD) and electroplating (for metallization and interconnect formation).
  • Conventional CVD processes require volatile precursors for the formation of precursor vapors that are transported to the chemical vapor deposition chamber. However, many chemical species are neither thermally stable enough, nor volatile enough, for sustained vaporization, delivery and deposition. As a consequence, CVD processes for film deposition are largely limited by the availability of volatile and stable precursors as source reagents.
  • PVD, utilizing a charged gas and a sputter target to effect deposition of material on a substrate, is well-developed and widely used in the art, but is limited by the significant particle levels that are generated in the process, as well as by constraints on controllability and conformality of the deposition process when tight geometries and small features are involved, and by process control issues relating to diffusion of the sputtered material. Due to the ballistic nature of sputtered materials, it is extremely difficult to achieve conformal coverage on complex topography of next generation patterned substrates.
  • Currently, many integrated circuit (IC) processes require low cost deposition of conformal thin-films for interconnect and dielectric structures. The ability to deposit thin films, e.g., having a thickness below about 1 μm, depends on the gas-phase transport of volatile organometallic precursors that decompose at elevated temperatures onto patterned substrates. Although many of such processes are extremely well-developed for advanced microelectronic device manufacture, the application of these processes for high aspect ratio via filling is burdened by difficulties.
  • For deposition of conducting materials such as copper, CVD processes therefore can only compete with electroplating techniques (aqueous based processes) when the cost of ownership (COO) for the process is small. At dimensions of <0.1 micron, copper-porous low k multi-layers may require special, non-aqueous processing to avoid aqueous contamination of the low k pore structures and decreased device yields, and to enhance device reliability. Further, the dielectric constant of the low k material is critical and aqueous contamination can negatively increase dielectric constants, which is largely unacceptable. Further, electroplating requires a conformal, conductive and uniform seed layer to enable the technique. The seed layer is deposited by PVD in conventional practice. With decreasing feature size and increasing aspect ratio, the use of PVD to obtain the required seed layers becomes a major technical challenge. Although ionized PVD conceivably could be useful for such purpose, alternative techniques are required, which are compatible with low k dielectrics.
  • The foregoing constraints and deficiencies of conventional semiconductor manufacturing deposition techniques reflect the need of the art for improved process technologies that are free of such limitations.
  • SUMMARY OF THE INVENTION
  • The present invention relates to supercritical fluid (SCF)-assisted deposition of materials onto substrates.
  • In one aspect, the invention relates to a deposition composition for depositing material on a substrate, such deposition composition comprising a supercritical fluid and a precursor for the material to be deposited on the substrate.
  • Another aspect of the invention relates to a method of forming a material on a substrate, comprising depositing the material on the substrate from a deposition composition comprising a precursor of such material, and a supercritical fluid.
  • Other aspects, features and embodiments of the present invention will be more fully apparent from the ensuing disclosure and appended claims.
  • DETAILED DESCRIPTION OF THE INVENTION, AND PREFERRED EMBODIMENTS THEREOF
  • Supercritical fluids are formed under conditions at which the density of the liquid phase equals the density of the gaseous phase of the substance. For example, carbon dioxide (CO2), which is a gas at standard temperature and pressure, undergoes a transition from liquid to SCF above a critical point, corresponding to Tc≧31.1° C. and Pc≧73.8 atm. Once formed, the density of the SCF can be varied from liquid-like to gaseous-like, yielding different solvation abilities, by varying the pressure and temperature. Supercritical fluids have a solubility and diffusibility approaching that of the liquid and gaseous phase, respectively. Additionally, the surface tension of SCFs is negligible.
  • Because of its readily manufactured character, ability to be recycled, lack of toxicity and negligible environmental effects, supercritical CO2 is a preferred SCF in the broad practice of the present invention, although the invention may be practiced with any suitable SCF species, with the choice of a particular SCF depending on the specific application involved.
  • The present invention relates to supercritical fluid-assisted deposition of thin-film (e.g., with a thickness of <1 μm) material on a substrate, e.g., a semiconductor wafer substrate.
  • Due to the progressively smaller dimensions of semiconductor patterns, the SCF-assisted deposition compositions of the present invention provide a distinct advantage in penetrating small geometry structures such as vias and trenches with high aspect ratios on a semiconductor wafer, as well as achieving improved homogeneity and extent of conformality of the deposited material, e.g., in films, layers and localized material deposits, particularly in instances in which the wettability of the substrate is low, as is the case with many semiconductor substrates.
  • The deposition compositions of the invention may be variously formulated for specific deposition applications, including suitable SCF(s) and source reagent (precursor) compound(s), complex(es) and material(s). Such compositions may further optionally comprise co-solvent(s), co-reactant(s), surfactant(s), diluent(s), and/or other deposition-facilitating or composition-stabilizing component(s), as necessary or desired for such applications.
  • In its simplest formulation, the deposition composition comprises at least one SCF and at least one precursor component. The composition thus comprises a supercritical fluid solution in which at least one precursor component is dissolved.
  • The SCF precursor solution in use can be delivered to a heated substrate for contacting therewith, to deposit on the substrate a material deriving from the precursor component(s). For example, the precursor component may comprise a source reagent compound or organometallic species or metal coordination complex for forming a metal or dielectric film on a semiconductor wafer substrate.
  • By the use of SCF-based deposition compositions, the precursor component(s) can be continuously delivered in a stream of the SCF-based deposition composition to the heated substrate, to deposit the desired material deriving from the precursor component(s) on the substrate surface. Concurrently, by-products of the deposition operation can be continuously carried out of the deposition chamber via continuous flow of the SCF-based composition through the deposition chamber containing the heated pedestal and substrate.
  • Alternatively, the deposition using the SCF-based deposition composition may be carried out in a batch mode, wherein the deposition composition is contacted with the substrate, and process condition(s) (e.g., temperature and/or pressure) of the composition are altered to effect the deposition of the desired material deriving from the composition.
  • Examples of SCF species useful in the broad practice of the invention include, but are not limited to, carbon dioxide, oxygen, argon, krypton, xenon, ammonia, methane, methanol, dimethyl ketone, hydrogen, forming gas, and sulfur hexafluoride.
  • Co-solvent or co-reactant species useful in the deposition compositions of the invention may be of any suitable type. Illustrative species include, but are not limited to, methanol, ethanol, and higher alcohols, N-alkylpyrrolidones or N-arylpyrrolidones, such as N-methyl-, N-octyl-, or N-phenyl-pyrrolidones, dimethylsulfoxide, sulfolane, catechol, ethyl lactate, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, γ-butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate.
  • Surfactants useful in the deposition compositions of the present invention may likewise be of any suitable type, including anionic, neutral, cationic, and zwitterionic types. Illustrative surfactant species include, without limitation, acetylenic alcohols and diols, long alkyl chain secondary and tertiary amines, and their respective fluorinated analogs.
  • The components of the SCF-based deposition compositions of the present invention may be present at any suitable concentrations and relative proportions, as appropriate to the use of the composition in depositing material on a substrate surface. For example, the precursor component(s) may be present at concentrations of from about 0.1% by weight to about 98% by weight, with suitable concentrations being dependent on the maximum solubility of the precursor in the supercritical fluid that is employed in the composition. For example, preferred concentration ranges of specific precursors may have any suitable minima (e.g., 0.2%, 0.5%, 1%, 5%, or 8%), and maxima (e.g., 10%, 12%, 15%, 18%, 20%, 25%, 30%, 40%, 50%, 60%, 75%, 80% or 90%), appropriate to the specific supercritical fluid involved. As a further specific example, the concentration of the precursor can be below about 40% when CO2 is used as the supercritical fluid in the SCF-based deposition composition. The foregoing weight percentages are based on the weight of the SCF in the composition.
  • Further, the deposition compositions of the invention may selectively comprise, consist of, or consist essentially of, any of the SCF, precursor component(s) and optional additional components of the compositions disclosed herein.
  • Deposition using the SCF-based deposition compositions of the invention may be carried out in any suitable manner, as regards contacting of the deposition composition with the substrate. Chemical vapor deposition techniques may be employed, or other modes of application of the deposition composition to the substrate can be effected.
  • For example, in one approach, a polymeric or oligomeric precursor species is dissolved in a supercritical fluid to form the SCF-based deposition composition. This deposition composition in solution form then can be sprayed into a fine mist or otherwise aerosolized. When the mist or aerosol is transported onto the substrate surface, polymeric films are formed. This technique is suitable for forming low k films from polymeric, oligomeric, pre-polymeric, or monomeric precursor components, or combinations of same. In some applications, it is desirable to transport the fluid media as a fine mist or aerosol to the substrate surface in a carrier fluid, or alternatively the composition may be delivered to the substrate in neat fluid, mist or aerosol form.
  • As another variant technique within the broad scope of the present invention, one or more precursor component(s) can be dissolved in a supercritical fluid, with the solution then being subjected to rapid expansion. As a result of such rapid expansion, the precursor component(s) are vaporized into fine vapor particles or nano-sized aerosols (depending on the rapid expansion conditions), and such fine vapor particles or aerosol can be used in CVD-type deposition processes.
  • The aforementioned techniques are useful for deposition of metals for interconnect structures, as well as low k and high k dielectrics, and other materials and thin film compositions. Preferred supercritical fluids for such purpose include carbon dioxide, methane, ethane, methanol, dimethyl ketone and sulfur hexafluoride.
  • The precursor components for the materials to be deposited on the substrate may be of any suitable type. Illustrative precursor components include, without limitation, organometallic source reagent compounds and complexes, and Lewis base adducts thereof, as well as the semiconductor manufacturing precursor components described in U.S. Pat. No. 5,840,897 issued Nov. 24, 1998, U.S. Pat. No. 5,453,494 issued Jan. 18, 1994, U.S. Pat. No. 6,110,529 issued Aug. 29, 2000, U.S. Pat. No. 5,820,664 issued Mar. 31, 1995, and U.S. Pat. No. 5,225,561 issued Sep. 12, 1990, the disclosures of all of which are hereby incorporated herein by reference, in their respective entireties.
  • The invention contemplates application of SCF-assisted deposition to any of a wide variety of suitable techniques for depositing materials on substrates.
  • In one embodiment, SCF-assisted deposition is employed to enhance physical vapor deposition (PVD) processes. In contrast to conventional PVD techniques, which are conducted in an evacuated chamber, utilizing a charged gas and a sputter target for deposition of material on a substrate, e.g., semiconductor wafer, the PVD process can be modified in accordance with the present invention to utilize SCF-assisted deposition techniques. Instead of a low-pressure system, a supercritical fluid, e.g., carbon dioxide and/or argon, or other SCF, is employed.
  • In carrying out such modified PVD process, it may be desirable to provide an added Lewis base (e.g., PF3) or to conduct the deposition in the presence of media such as CO, to form corresponding metal complexes in the PVD process. Illustrative examples of metal complexes of such type include, without limitation, Mo(CO)6, W(CO)6, Cr(CO)6, W(PF3)6, CO2(CO)8, and CO2(PF3)8.
  • By use of an SCF ambient environment in such modified PVD operation, a reduced level of particle generation, improved control of deposition into very tight geometries, improved control of diffusion of the sputtered material, and application of gas phase reactions in the PVD process (for forming barriers, or capping layers) are achievable, relative to conventional PVD techniques.
  • In another embodiment of the invention, low k films are formed using alkyl silanes, siloxane precursors, and even organic-based non-silicon-containing low k precursors, such as the low k dielectric thermosetting resin sold by The Dow Chemical Company under the trademark SiLK. Silxoane precursors may be of any suitable type, as for example alkyl siloxanes and cyclosiloxanes, such as tetramethylcyclotetrasiloxane (TMCTS) and octamethyltetracyclosiloxane (OMCTS). Other low k film precursor materials can be utilized in the SCF-based deposition process of the present invention to form superior films on substrates, without issues of adverse polymerization effects.
  • The deposition compositions of the invention therefore include compositions wherein the precursor is a silicon source reagent, e.g., a siloxane in combination with an alkylsilane, e.g., trimethylsilane or tetramethylsilane. In another specific composition, the silicon source reagent comprises a siloxane, which is used in combination with a porogen, to form a porous low k film on the substrate.
  • The invention resolves a major deficiency of siloxane precursors that has limited the utility of such materials for deposition applications. Specifically, the presence of even trace amounts of impurities in such siloxane precursors poses a risk of cationic or anionic polymerization, particularly at high temperatures, such as would otherwise result in premature degradation in delivery lines to the deposition chamber. For example, TMCTS polymerizes in delivery lines at elevated temperatures, usually about 120° C., with potentially catastrophic consequences to the deposition process and associated equipment.
  • Such premature degradation problems are overcome by SCF-based precursor delivery and deposition in accordance with the present invention. Supercritical fluid solutions of low k precursors are readily delivered into the growth chamber at low temperature, e.g., as low as 31.1° C., due to the low viscosity of such solutions. Further, at the point that the pressure of the supercritical fluid solution is reduced, the solution undergoes rapid expansion in volume, to vaporize the precursor into a precursor vapor, with no ancillary heating requirement being required.
  • Thus, the SCF-based deposition techniques of the invention, in application to low k film precursors, reduce thermally-induced polymerization of precursors such as siloxanes. More generally, thermally unstable low k film precursors of variant types are advantageously delivered to the deposition substrate by SCF-based compositions. SCF species that are particularly useful for such purpose include carbon dioxide, methane, methanol, dimethyl ketone and sulfur hexafluoride.
  • In another aspect, SCF-based deposition compositions of the invention may be employed for precursor delivery to the substrate, to form barrier layers, e.g., of TiN, TaN, NbN, WN or their corresponding silicides, or interconnect and metallization structures, e.g., of copper, aluminum or other metals, metal alloys and species, on semiconductor substrates. The precursors for such purpose are dissolved in appropriate amounts in SCF media, and contacted with the heated substrate to effect deposition of the desired material.
  • For barrier layer formation, barrier layer precursor materials may be of any suitable type for forming the aforementioned nitrides and silicides. Illustrative precursor components include, without limitation, titanium (IV) tetrakis-dialkylamides such as tetrakis diethylamido titanium (TDEAT), tetrakis dimethylamino titanium (TDMAT), and pyrozolate titanium compounds and other titanium amido and imido compounds. Illustrative tantalum nitride (TaN) barrier precursor compounds include, without limitation, Ta (IV) pentakis(dialkylamido) compounds, such as pentakis ethylmethylamido tantalum (PEMAT), pentakis dimethylamido tantalum (PDMAT) and pentakis diethylamido tantalum (PDEAT), and their W, Nb corresponding compounds.
  • Useful interconnect material precursors include, without limitation, metal beta-diketonate precursors, such as (hfac)Cu (I) Lewis base adducts, as well as metal formates and metal acetates and their Lewis base adducts.
  • For barrier layer or interconnect deposition applications, the SCF-based deposition composition can usefully contain optional co-reactants such as ammonia (NH3), hydrogen or other reducing co-reactants.
  • Barrier layer and metallization deposition applications can be carried out in any suitable manner, as regards the deposition process. For example, the SCF-based deposition composition can be delivered onto the substrate surface in a continuous manner, to effect continuous film growth on the substrate surface, with by-products of the deposition being carried away in the exhaust stream of supercritical fluid that is discharged from the deposition chamber. Alternatively, the SCF-based deposition composition may be transported to a vaporizer, whereby the deposition composition undergoes rapid expansion and vaporizes the precursor component(s) into precursor vapor. Such vaporization may be carried out at very low temperature, e.g., room temperature, with the resulting precursor vapor being directed onto the heated substrate surface to grow the desired film thereon.
  • Since precursor components are in many cases quite reactive, the selection of supercritical fluid is important to ensure chemical compatibility of the deposition composition. The supercritical fluids useful for the aforementioned barrier layer and metallization deposition include, without limitation, CO2, NH3, CH4, SF6, N2O, CO, and the like.
  • In a particular aspect of the present invention, SCF-based deposition compositions are usefully employed for forming copper metallization on integrated circuitry substrates. In such application, the supercritical fluid facilitates a low surface tension, high-pressure technique for deposition of copper thin films in deep trench and high aspect ratio via structures.
  • Other applications of the invention include deposition of dielectric oxides.
  • As an important aspect of the present invention, deposition of metal thin films using SCF-assisted techniques in accordance with the instant invention, relaxes the requirements for volatile metal precursors that would otherwise be necessary. By relaxing the requirement of volatile metal precursors, the SCF-assisted deposition techniques of the present invention obviate the need for fluorine-functionalized precursors, which are currently being increasingly used in the semiconductor manufacturing industry to satisfy precursor volatility requirements. For SCF-assisted deposition, the precursor component(s) need only be soluble in the SCF medium in order to be transported to the deposition chamber and thermally decomposed onto the heated substrate.
  • In specific application to copper metallization, the invention permits the use of low-cost, non-volatile copper (I) and copper (II) precursors for deposition of thin film copper without the need for fluorine-containing precursors. This flexibility in turn eliminates fluorine contamination on barrier surfaces and reduces electrical contact resistances of inter-metallic layers, such as M1 to M2 copper layers, and improves the adhesion of the copper film to nitride barriers.
  • In accordance with the invention, copper may be deposited on a substrate from SCF solution, utilizing a wide variety of non-volatile or low volatility copper precursors. Illustrative copper precursors include, without limitation, copper (II) β-diketonates, copper (II) carboxylates, copper (I) cyclopentadienes, copper (I) phenyls, copper (I) amides, and Lewis base adducts of the aforementioned copper (I) and copper (II) species. A preferred supercritical fluid for such copper deposition applications is carbon dioxide.
  • Since supercritical fluids exhibit liquid-like densities and gas-like viscosities, having solvating properties similar to those of organic solvents (e.g., pentane, hexane, and toluene), supercritical fluids can dissolve many copper compounds that are soluble in organic solvents. Supercritical fluid solutions containing copper precursor(s) are easily delivered to the film growth chamber for contacting with the substrate to deposit copper films thereon. Since surface tension is greatly reduced by the SCF in the deposition composition, the copper precursor is effectively delivered into trenches and via openings with very high aspect ratios, to achieve superior conformal coating therein.
  • Copper precursor components usefully employed in the broad practice of the present invention for copper deposition include, without limitation, the following:
  • Cu (II) (β-diketonato)2 species, such as Cu (II) (acac)2, Cu (II) (thd)2 and Cu (tod)2 as well as other non-fluorinated β-diketonate copper compounds and complexes;
  • Cu (carboxylate)2 species, such as Cu (formate)2 and Cu (acetate)2 and other long-chain (e.g., C8-C40 and more preferably from C8-C30) carboxylates. Cu (formate)2 is a preferred copper source reagent because the formate ligand is able to act as a reducing agent, leading to ultra-pure copper films upon thermal decomposition. Even higher solubility of copper formate in the SCF medium is obtainable by polyamine complexation of Cu (formate)2. Copper (II) carboxylates are a preferred copper precursor species, since such compounds are relatively easy to synthesize, utilizing low-cost starting materials. Such compounds have not heretofore been contemplated for use in copper deposition applications, due to their poor volatility and poor transport characteristics, but are readily used for such purpose by formulation in SCF media in accordance with the present invention. As a result, the cost of ownership (COO) of copper deposition process systems is decreased by the use of such low cost copper precursors.
  • (Cyclopentadienyl) CuL complexes (wherein L is a suitable ligand species), for example CpCu (I) PMe3. Such precursors are fluorine-free and are soluble in pentane and other organic solvents, and may be advantageously utilized in the practice of the present invention.
  • Copper (I) phenyl tetramers, such as copper (I) pentafluorophenyl or copper (I) t-butyl phenyl tetramer.
  • Copper (1) amides, such as bis(trimethylsilylamide) tetramer.
  • As alluded to above in respect of copper carboxylate precursors such as copper formate, the present invention contemplates SCF-based deposition compositions containing at least one copper precursor, wherein the copper precursor contains a ligand that serves as a reducing agent for production of ultrahigh-purity copper films on the substrate.
  • As a further alternative, the SCF-based deposition composition can comprise an SCF that is itself a reducing agent, or as a still further alternative, the SCF-based deposition composition can additionally optionally contain other reducing agent(s) for ensuring high purity copper deposition on the substrate. Suitable reducing agents for such purpose may be readily determined without undue experimentation, within the skill of the art. Illustrative reducing species include, without limitation, hydrogen and isopropyl alcohol.
  • In the SCF-based deposition compositions of the invention, various enhancing agents and other beneficial components may be incorporated in the composition.
  • For example, in SCF-based copper (II) precursor compositions, isopropyl alcohol may be added in a concentration of from about 0.1% to about 99.9% by weight, based on the weight of the SCF component(s). The use of IPA as an enhancing agent is highly advantageous when the SCF component is carbon dioxide, since isopropyl alcohol may increase copper precursor solubility in the supercritical carbon dioxide, while simultaneously functioning as a reducing agent to reduce Cu (II) to Cu (O). The isopropyl alcohol may be oxidized to acetone during the deposition process, and is readily recovered from the SCF effluent discharged from the deposition chamber, for recovery and reuse thereof, or alternatively for other disposition.
  • For copper deposition, the SCF can be of any suitable type, including, without limitation, CO2, CH4, C2H6, CH3OH, C2H5OH, (CH3)2CHOH, CH3COCH3 and SF6.
  • The SCF-based copper precursor compositions of the invention afford a highly effective approach to deposition of copper films on substrates. Such compositions may be employed in semiconductor manufacturing operations as one of sequential deposition steps carried out in a cluster tool for wafer processing.
  • It will be appreciated that the compositions and methods of the invention may be practiced in a widely variant manner, consistent with the broad disclosure herein. Accordingly, while the invention has been described herein with reference to specific features, aspects, and embodiments, it will be recognized that the invention is not thus limited, but is susceptible of implementation in other variations, modifications and embodiments. Accordingly, the invention is intended to be broadly construed to encompass all such other variations, modifications and embodiments, as being within the scope of the invention hereinafter claimed.

Claims (17)

1.-165. (canceled)
166. A deposition composition for depositing material on a substrate, said deposition composition comprising a supercritical fluid (SCF) and a siloxane in combination with one of an alkyl silane or a porogen.
167. The deposition composition of claim 166, wherein the SCF comprises a fluid selected from the group consisting of carbon dioxide, oxygen, argon, krypton, xenon, ammonia, methane, ethane, methanol, ethanol, isopropanol, dimethyl ketone, sulfur hexafluoride, carbon monoxide, dinitrogen oxide, forming gas, hydrogen, and mixtures thereof.
168. The deposition composition of claim 166, wherein the supercritical fluid comprises carbon dioxide.
169. The deposition composition of claim 166, wherein the composition further comprises an additional component selected from the group consisting of co-solvents, surfactants, co-reactants, diluents, deposition-enhancing agents, and combinations thereof.
170. The deposition composition of claim 166, wherein the alkylsilane comprises a species selected from the group consisting of trimethylsilane and tetramethylsilane.
171. The deposition composition of claim 166, wherein the siloxane comprises a sub-species selected from the group consisting of alkylsiloxanes and cyclosiloxanes.
172. The deposition composition of claim 171, wherein the siloxane comprises a species selected from the group consisting of tetramethylcyclotetrasiloxane (TMCTS) and octamethyltetracyclosiloxane (OMCTS).
173. The deposition composition of claim 166, further comprising at least one co-solvent, wherein said co-solvent comprises a solvent selected from the group consisting of: methanol, ethanol, isopropyl alcohol, N-methylpyrrolidone, N-octylpyrrolidone, N-phenylpyrrolidone, dimethylsulfoxide, sulfolane, catechol, ethyl lactate, acetone, butyl carbitol, monoethanolamine, butyrol lactone, diglycol amine, γ-butyrolactone, butylene carbonate, ethylene carbonate, and propylene carbonate.
174. The deposition composition of claim 166, further comprising at least one surfactant, wherein said at least one surfactant comprises a species selected from the group consisting of an anionic surfactant, a neutral surfactant, a cationic surfactant, and a zwitterionic surfactant.
175. The deposition composition of claim 174, wherein said at least one surfactant comprises a surfactant selected from the group consisting of acetylenic alcohols, acetylenic diols, long alkyl chain secondary and tertiary amines, and fluorinated derivatives of the foregoing.
176. A deposition composition for depositing material on a substrate, said deposition composition comprising a supercritical fluid (SCF) and a metal precursor selected from the group consisting of Mo(CO)6, W(CO)6, Cr(CO)6, W(PF3)6, CO2(CO)8, and CO2(PF3)8.
177. A method of forming a material on a substrate, comprising depositing the material on the substrate from a deposition composition comprising a supercritical fluid (SCF) and a siloxane in combination with one of an alkyl silane or a porogen.
178. The method of claim 177, wherein the SCF is selected from the group consisting of carbon dioxide, oxygen, argon, krypton, xenon, ammonia, methane, ethane, methanol, ethanol, isopropanol, dimethyl ketone, sulfur hexafluoride, carbon monoxide, dinitrogen oxide, forming gas, hydrogen, and mixtures thereof.
179. The method of claim 177, wherein the composition further comprises an additional component selected from the group consisting of co-solvents, surfactants, co-reactants, diluents, deposition-enhancing agents, and combinations thereof.
180. The method of claim 177, wherein the alkylsilane comprises a species selected from the group consisting of trimethylsilane and tetramethylsilane.
181. The method of claim 177, wherein the siloxane comprises a sub-species selected from the group consisting of alkylsiloxanes and cyclosiloxanes.
US11/939,233 2001-12-31 2007-11-13 Supercritical fluid-assisted deposition of materials on semiconductor substrates Abandoned US20080064136A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/939,233 US20080064136A1 (en) 2001-12-31 2007-11-13 Supercritical fluid-assisted deposition of materials on semiconductor substrates

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US34573801P 2001-12-31 2001-12-31
US10/303,479 US7030168B2 (en) 2001-12-31 2002-11-25 Supercritical fluid-assisted deposition of materials on semiconductor substrates
US11/078,211 US7294528B2 (en) 2001-12-31 2005-03-11 Supercritical fluid-assisted deposition of materials on semiconductor substrates
US11/939,233 US20080064136A1 (en) 2001-12-31 2007-11-13 Supercritical fluid-assisted deposition of materials on semiconductor substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/078,211 Continuation US7294528B2 (en) 2001-12-31 2005-03-11 Supercritical fluid-assisted deposition of materials on semiconductor substrates

Publications (1)

Publication Number Publication Date
US20080064136A1 true US20080064136A1 (en) 2008-03-13

Family

ID=26973475

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/303,479 Expired - Fee Related US7030168B2 (en) 2001-12-31 2002-11-25 Supercritical fluid-assisted deposition of materials on semiconductor substrates
US11/078,211 Expired - Fee Related US7294528B2 (en) 2001-12-31 2005-03-11 Supercritical fluid-assisted deposition of materials on semiconductor substrates
US11/939,233 Abandoned US20080064136A1 (en) 2001-12-31 2007-11-13 Supercritical fluid-assisted deposition of materials on semiconductor substrates

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/303,479 Expired - Fee Related US7030168B2 (en) 2001-12-31 2002-11-25 Supercritical fluid-assisted deposition of materials on semiconductor substrates
US11/078,211 Expired - Fee Related US7294528B2 (en) 2001-12-31 2005-03-11 Supercritical fluid-assisted deposition of materials on semiconductor substrates

Country Status (3)

Country Link
US (3) US7030168B2 (en)
AU (1) AU2002361683A1 (en)
WO (1) WO2003058680A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110171789A1 (en) * 2004-10-07 2011-07-14 Pinon Technologies, Inc. Light-emitting nanoparticles and method of making same

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7423166B2 (en) 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US7119418B2 (en) * 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7217398B2 (en) * 2002-12-23 2007-05-15 Novellus Systems Deposition reactor with precursor recycle
US20050029492A1 (en) * 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
MX2007007081A (en) * 2004-12-13 2007-12-07 Cool Clean Technologies Inc Cryogenic fluid composition.
US20060189071A1 (en) * 2005-02-22 2006-08-24 Grant Robert W Integrated circuit capacitor and method of manufacturing same
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20090301996A1 (en) * 2005-11-08 2009-12-10 Advanced Technology Materials, Inc. Formulations for removing cooper-containing post-etch residue from microelectronic devices
US7482289B2 (en) * 2006-08-25 2009-01-27 Battelle Memorial Institute Methods and apparatus for depositing tantalum metal films to surfaces and substrates
US8053375B1 (en) 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
US8617301B2 (en) * 2007-01-30 2013-12-31 Lam Research Corporation Compositions and methods for forming and depositing metal films on semiconductor substrates using supercritical solvents
JP2010517319A (en) * 2007-01-30 2010-05-20 ラム リサーチ コーポレーション Composition and method for forming a metal film on a semiconductor substrate using a supercritical solvent
JP5575655B2 (en) * 2007-11-02 2014-08-20 プロメティック・バイオサイエンシーズ・インコーポレイテッド Medium chain fatty acids and glycerides as nephroprotective agents
KR101527516B1 (en) * 2008-12-16 2015-06-09 삼성전자주식회사 Method of growing Si and method of manufacturing solar cell using the same
US9416338B2 (en) 2010-10-13 2016-08-16 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
KR102118964B1 (en) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
EP2964725B1 (en) 2013-03-04 2021-06-23 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
JP6723152B2 (en) 2013-06-06 2020-07-15 インテグリス・インコーポレーテッド Compositions and methods for selectively etching titanium nitride
WO2015017659A1 (en) 2013-07-31 2015-02-05 Advanced Technology Materials, Inc. AQUEOUS FORMULATIONS FOR REMOVING METAL HARD MASK AND POST-ETCH RESIDUE WITH Cu/W COMPATIBILITY
WO2015031620A1 (en) 2013-08-30 2015-03-05 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
SG11201605003WA (en) 2013-12-20 2016-07-28 Entegris Inc Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
CN107546121B (en) * 2016-06-29 2020-05-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
JP6844621B2 (en) * 2016-07-11 2021-03-17 コニカミノルタ株式会社 Coating liquid, its manufacturing method, ink for manufacturing electronic devices, electronic devices, organic electroluminescence elements, and photoelectric conversion elements

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5152984A (en) * 1990-11-20 1992-10-06 Dow Corning Corporation Hair fixatives
US5459099A (en) * 1990-09-28 1995-10-17 The United States Of America As Represented By The Secretary Of The Navy Method of fabricating sub-half-micron trenches and holes
US5977241A (en) * 1997-02-26 1999-11-02 Integument Technologies, Inc. Polymer and inorganic-organic hybrid composites and methods for making same
US6194650B1 (en) * 1997-08-27 2001-02-27 Kabushiki Kaisha Toyota Chuo Kenkyusho Coated object and process for producing the same
US6207522B1 (en) * 1998-11-23 2001-03-27 Microcoating Technologies Formation of thin film capacitors
US6245150B1 (en) * 1997-12-01 2001-06-12 3M Innovative Properties Company Vapor coating apparatus
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6403663B1 (en) * 1999-09-20 2002-06-11 North Carolina State University Method of making foamed materials using surfactants and carbon dioxide
US6576345B1 (en) * 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6652920B2 (en) * 1998-11-06 2003-11-25 North Carolina State University Method for meniscuscoating a substrate with a polymeric precursor
US6693159B1 (en) * 1999-02-05 2004-02-17 Cambridge University Technical Services Limited Manufacturing porous cross-linked polymer monoliths
US6951765B1 (en) * 2001-12-12 2005-10-04 Novellus Systems, Inc. Method and apparatus for introduction of solid precursors and reactants into a supercritical fluid reactor
US7019037B2 (en) * 2001-10-26 2006-03-28 Battelle Memorial Institute Monolayer coated aerogels and method of making

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5106650A (en) 1988-07-14 1992-04-21 Union Carbide Chemicals & Plastics Technology Corporation Electrostatic liquid spray application of coating with supercritical fluids as diluents and spraying from an orifice
US4970093A (en) * 1990-04-12 1990-11-13 University Of Colorado Foundation Chemical deposition methods using supercritical fluid solutions
US5453494A (en) 1990-07-06 1995-09-26 Advanced Technology Materials, Inc. Metal complex source reagents for MOCVD
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5225561A (en) 1990-07-06 1993-07-06 Advanced Technology Materials, Inc. Source reagent compounds for MOCVD of refractory films containing group IIA elements
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5820664A (en) 1990-07-06 1998-10-13 Advanced Technology Materials, Inc. Precursor compositions for chemical vapor deposition, and ligand exchange resistant metal-organic precursor solutions comprising same
US5952040A (en) * 1996-10-11 1999-09-14 Nanomaterials Research Corporation Passive electronic components from nano-precision engineered materials
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
FR2791580B1 (en) 1999-04-02 2001-05-04 Centre Nat Rech Scient PROCESS FOR COATING PARTICLES
US6818301B2 (en) 2001-06-01 2004-11-16 Psiloquest Inc. Thermal management with filled polymeric polishing pads and applications therefor
US7030168B2 (en) 2001-12-31 2006-04-18 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) * 2001-12-31 2006-10-10 Advanced Technology Materials, Inc. Supercritical fluid-assisted deposition of materials on semiconductor substrates
US6653236B2 (en) * 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5459099A (en) * 1990-09-28 1995-10-17 The United States Of America As Represented By The Secretary Of The Navy Method of fabricating sub-half-micron trenches and holes
US5152984A (en) * 1990-11-20 1992-10-06 Dow Corning Corporation Hair fixatives
US5977241A (en) * 1997-02-26 1999-11-02 Integument Technologies, Inc. Polymer and inorganic-organic hybrid composites and methods for making same
US6194650B1 (en) * 1997-08-27 2001-02-27 Kabushiki Kaisha Toyota Chuo Kenkyusho Coated object and process for producing the same
US6245150B1 (en) * 1997-12-01 2001-06-12 3M Innovative Properties Company Vapor coating apparatus
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6652920B2 (en) * 1998-11-06 2003-11-25 North Carolina State University Method for meniscuscoating a substrate with a polymeric precursor
US6207522B1 (en) * 1998-11-23 2001-03-27 Microcoating Technologies Formation of thin film capacitors
US6693159B1 (en) * 1999-02-05 2004-02-17 Cambridge University Technical Services Limited Manufacturing porous cross-linked polymer monoliths
US6403663B1 (en) * 1999-09-20 2002-06-11 North Carolina State University Method of making foamed materials using surfactants and carbon dioxide
US6576345B1 (en) * 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US7019037B2 (en) * 2001-10-26 2006-03-28 Battelle Memorial Institute Monolayer coated aerogels and method of making
US6951765B1 (en) * 2001-12-12 2005-10-04 Novellus Systems, Inc. Method and apparatus for introduction of solid precursors and reactants into a supercritical fluid reactor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110171789A1 (en) * 2004-10-07 2011-07-14 Pinon Technologies, Inc. Light-emitting nanoparticles and method of making same
US8163633B2 (en) * 2004-10-07 2012-04-24 Merck Patent Gmbh Light-emitting nanoparticles and method of making same

Also Published As

Publication number Publication date
WO2003058680A2 (en) 2003-07-17
WO2003058680A3 (en) 2004-06-24
US7030168B2 (en) 2006-04-18
US7294528B2 (en) 2007-11-13
AU2002361683A1 (en) 2003-07-24
AU2002361683A8 (en) 2003-07-24
US20050181613A1 (en) 2005-08-18
US20030124785A1 (en) 2003-07-03

Similar Documents

Publication Publication Date Title
US7294528B2 (en) Supercritical fluid-assisted deposition of materials on semiconductor substrates
US7119418B2 (en) Supercritical fluid-assisted deposition of materials on semiconductor substrates
EP0630988B1 (en) Process for improved quality of CVD copper films
KR100708496B1 (en) Methods for preparing ruthenium metal films
US5098516A (en) Processes for the chemical vapor deposition of copper and etching of copper
US6844261B2 (en) Method of forming ruthenium and ruthenium oxide films on a semiconductor structure
US7750173B2 (en) Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
JP2002523907A (en) Preparation method of metal ruthenium film
US6517616B2 (en) Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide
KR20010079703A (en) Methods for preparing ruthenium oxide films
KR101684660B1 (en) Precursor composition for forming zirconium-containing thin film and method for preparing zirconium-containing thin film using the same
TWI722456B (en) Bis(diazadiene)cobalt compounds, method of making and method of use thereof
US20210189146A1 (en) Area selective deposition of metal containing films
KR100358045B1 (en) Method of forming a copper wiring in a semiconductor device
JP7426538B2 (en) Heteroalkylcyclopentadienyl indium-containing precursors and methods of use thereof for the deposition of indium-containing layers
US6720031B2 (en) Method of controlling the initial growth of CVD copper films by surface treatment of barrier metals films
WO2023192111A1 (en) Metal carbonyl complexes with phosphorus-based ligands for cvd and ald applications
TW202214667A (en) Thermally stable ruthenium precursor compositions and method of forming ruthenium-containing films
TW201126007A (en) Cobalt film forming material and cobalt film forming method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION