US20080066860A1 - Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION - Google Patents

Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION Download PDF

Info

Publication number
US20080066860A1
US20080066860A1 US11/871,504 US87150407A US2008066860A1 US 20080066860 A1 US20080066860 A1 US 20080066860A1 US 87150407 A US87150407 A US 87150407A US 2008066860 A1 US2008066860 A1 US 2008066860A1
Authority
US
United States
Prior art keywords
tan
liner
xef2
copper
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/871,504
Inventor
John Cotte
Nils Hoivik
Christopher Jahnes
Robert Wisnieff
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/871,504 priority Critical patent/US20080066860A1/en
Publication of US20080066860A1 publication Critical patent/US20080066860A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01002Helium [He]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01054Xenon [Xe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/30105Capacitance

Definitions

  • This invention generally relates to integrated device fabrication; and more specifically, the invention relates to selective removal processes for integrated device fabrication. Even more specifically, the invention relates to processes that may be used effectively to remove selectively Ta—TaN layers or liners during the fabrication of an integrated device and that are compatible with low k dielectric materials.
  • BEOL Back-End-Of-Line
  • Advanced semiconductor devices typically require integrated interconnects with more inputs and outputs, greater current capacity, less signal delay and improved electrical noise characteristics.
  • BEOL interconnects have advanced by shrinking the cross-section of the wiring, increasing the levels of wiring, using better conductivity metals, and also reducing the intralevel capacitance by using low dielectric constant (low k) materials.
  • low k materials in the BEOL structure have been extremely challenging to implement because they are mechanically weak and chemically sensitive to many of the processes used to integrate BEOL structures.
  • CMP direct chemical mechanical polishing
  • Mechanical damage, water penetration and slurry incorporation can all cause permanent damage to the low k dielectric.
  • some dielectric materials are used in BEOL devices as integration or reliability enhancement layers and are detrimental to maintaining a low k BEOL structure. It is therefore necessary to discover new processes and integration techniques that are compatible with low k materials to facilitate integration of low k materials into BEOL structures.
  • An object of this invention is to improve processes for fabricating integrated devices.
  • Another object of this invention is to provide improved processes and integration techniques that are compatible with low k dielectric materials to facilitate integration of low k materials into BEOL structures.
  • a further object of the present invention is to use XeF2 selective gas phase etching as alternatives to Ta—TaN chemical mechanical polishing in the fabrication of integrated circuit devices.
  • a method and system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer.
  • the method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer.
  • the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap conductive plating base removal process.”
  • CMP Chemical Mechanical Polishing
  • XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP to minimize mechanical stressing of the low k material and chemical alteration of the low k dielectric material, and to improve planarity after CMP.
  • the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor.
  • the metal cap allows the elimination of a high k dielectric cap normally required to prevent diffusion of copper into the interconnect dielectric.
  • FIGS. 1A-1C show a current fabrication process for a BEOL interconnect structure.
  • FIGS. 2A-2C illustrate a first embodiment of this invention, in which XeF2 is used to remove a TaN—Ta liner.
  • FIG. 3 is a table showing various measurements of several materials subjected to XeF2 exposure.
  • FIGS. 4A and 4B show a second embodiment of the invention, in which XeF2 is used to remove a TaN—Ta plating base/liner.
  • FIGS. 5A-5H illustrate a third embodiment of the invention, in which XeF2 is used to remove a TaN—Ta liner formed in the process of making copper coils on a semiconductor device.
  • FIG. 6 shows a device that may be used to expose a semiconductor structure to XeF2.
  • the present invention generally, relates to methods and systems for processing semiconductor devices. More specifically, the invention relates to processes for removing or etching metals or metal layers, such as Ta—TaN, and that are compatible with low-k dielectric materials. This, in turn, allows or facilitates the use of low k dielectric materials for various applications in semiconductor devices, in which applications it has heretofore been difficult to use such dielectric materials.
  • FIGS. 1A-1C The challenges and difficulties of using these low-k dielectric materials in semiconductor devices are illustrated in FIGS. 1A-1C .
  • copper wire traces 12 are formed in a dielectric 14 using copper damascene CMP.
  • the CMP process is highly selective in all phases of the CMP. It first needs to polish the copper with high selectivity to the TaN—Ta liner 16 .
  • the liner 16 is then polished, and this polish must be selective to both copper and the dielectric. This process has been shown to work well for conventional dielectric materials such as SiO2; however, when low k films are used, severe dielectric loss, mechanical degradation, and in some cases chemical modification of the low k material commonly occur.
  • FIGS. 2A to 2 C Shown in FIGS. 2A to 2 C is a novel integration process that uses a copper CMP followed by the XeF2 TaN—Ta removal.
  • the XeF2 liner removal process minimizes mechanical degradation of the structure, minimizes chemical modification of the structure, and minimizes the loss of the low-k dielectric 14 .
  • the XeF2 process results in preserving all the low-k dielectric, FIG. 2C , while the all CMP process of FIG. 1C has some dielectric loss.
  • the XeF2 etch is used to remove a plating base required for selective metal cap 42 formation over the copper conductor 12 .
  • This process has been tested to show that only the plating base is etched and the metal cap 42 and surrounding dielectric 14 are preserved.
  • Long XeF2 etch tests with cobalt based cap layers showed only a 7% sheet resistance increase which could be due to some impurity incorporation on the surface or a 7% thickness loss.
  • the XeF2 etch is used to remove the plating base/liner for a non-planar structure fabricated by through mask plating or by the combination of damascene and through mask plating.
  • a high performance thick copper inductor is a typical example of a device that could be fabricated in this manner.
  • FIGS. 5A-5H depict the combined process flow that results in a non-planar structure, which renders plating base/liner removal by CMP impossible.
  • the selective removal of the TaN/Ta plating base/liner can be done either directly after resist strip or following selective passivation of the exposed copper.
  • FIG. 5A shows a starting structure BEOL interconnect on a semiconductor device. More specifically, FIG. 5A shows a substrate 52 with semiconductor devices, dielectric material 54 on that substrate, and copper regions 56 embedded in the dielectric. TaN—Ta liners 60 separate these copper regions from the dielectric materials.
  • a nitride cap 62 is formed over copper regions 56 and substrate 52 , and additional dielectric material 64 is deposited on cap 62 . Then, a first pattern 70 is etched partially into dielectric material 64 .
  • a second pattern 72 is etched through portions of dielectric material 64 , exposing selected areas of the dielectric 64 and of the copper regions 56 .
  • liner 74 and copper seed layer 76 are deposited over the patterned dielectric 64 .
  • Liner 74 is a TaN/Ta material.
  • portions, or the high points, of the copper seed 76 are removed, producing the structure shown in FIG. 5E , where specified portions of the TaN/Ta 76 are exposed.
  • a polymer mold 80 which covers the exposed TaN/Ta portions, is formed or placed on the structure. This mold 80 includes cavities or recesses 82 , and, with reference to FIGS. 5F and 5G , an electroplating process is used to form copper extensions 84 .
  • the polymer mold 80 is then removed, resulting in the structure of FIG. 5H .
  • the top portions of this structure has a non-planar shape, and this makes it difficult to remove the exposed portions of the Ta/TaN liner 74 by means of a chemical mechanical polish.
  • a XeF2 etch effectively removes those upper portions of the exposed Ta/TaN liner 74 without chemically altering or mechanically weakening dielectric layer, as shown in FIG. 5I .
  • the material may be SiO2, SiN, or low k Si—C—O—H based materials.
  • the dielectric material has a dielectric constant below 4, and, for example, this material may have a dielectric constant between 1.2 and 4.
  • a dual chamber, dual pressure device 90 may be used.
  • Device 90 includes first and second chambers 92 and 94 .
  • Chamber 92 is at a low pressure such as, for instance, 0.5 to 2 or 3 Torr; and chamber 94 is at a lower pressure such as, for example, 0-20 a few m Torr.
  • Chamber 94 may then be backfilled with nitrogen gas to help clean the XeF2 off the semiconductor structure 98 and to help ensure that the XeF2 is evacuated from chamber 94 .
  • Other gases may be diluted to the XeF2 or used in the backfill process to improve etch selectively or to help clean the semiconductor structure. These gases can be introduced into either chamber through valves 102 , 104 , 112 , 114 , 116 and 118 . For instance, gases may be used to help displace water from the semiconductor structure. This backfill process may last, for example, from one to 10-20 seconds, and may be repeated. Additionally, substrate temperature control from 0° C. to 400° C. may be used to control chemical reactions on substrate 98 .

Abstract

Disclosed are a method and a system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer. The method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer. In the preferred embodiments, the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap plating base removal process.” In this first use, XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP. In the second use, the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention generally relates to integrated device fabrication; and more specifically, the invention relates to selective removal processes for integrated device fabrication. Even more specifically, the invention relates to processes that may be used effectively to remove selectively Ta—TaN layers or liners during the fabrication of an integrated device and that are compatible with low k dielectric materials.
  • 2. Background Art
  • Generally, in the fabrication of integrated devices, various layers of materials, including copper and dielectric materials, are formed and patterned or etched to produce the desired end product. For example, Back-End-Of-Line (BEOL) interconnects are commonly fabricated using a combination of sequential layering and patterning of metal and dielectric films to produce an integrated multilevel wiring architecture for various semiconductor devices.
  • Advanced semiconductor devices typically require integrated interconnects with more inputs and outputs, greater current capacity, less signal delay and improved electrical noise characteristics. To this extent, BEOL interconnects have advanced by shrinking the cross-section of the wiring, increasing the levels of wiring, using better conductivity metals, and also reducing the intralevel capacitance by using low dielectric constant (low k) materials.
  • Of particular relevance is the implementation of low k materials in the BEOL structure. These materials have been extremely challenging to implement because they are mechanically weak and chemically sensitive to many of the processes used to integrate BEOL structures. Of particular concern is direct chemical mechanical polishing (CMP) of low k dielectrics, as is commonly required for copper damascene in silicon dioxide. Mechanical damage, water penetration and slurry incorporation can all cause permanent damage to the low k dielectric. Furthermore, some dielectric materials are used in BEOL devices as integration or reliability enhancement layers and are detrimental to maintaining a low k BEOL structure. It is therefore necessary to discover new processes and integration techniques that are compatible with low k materials to facilitate integration of low k materials into BEOL structures.
  • SUMMARY OF THE INVENTION
  • An object of this invention is to improve processes for fabricating integrated devices.
  • Another object of this invention is to provide improved processes and integration techniques that are compatible with low k dielectric materials to facilitate integration of low k materials into BEOL structures.
  • A further object of the present invention is to use XeF2 selective gas phase etching as alternatives to Ta—TaN chemical mechanical polishing in the fabrication of integrated circuit devices.
  • These and other objectives are attained with a method and system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer. The method comprises the step of using XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer. In the preferred embodiments, the present invention uses XeF2 selective gas phase etching as alternatives to Ta—TaN Chemical Mechanical Polishing (CMP) as a basic “liner removal process” and as a “selective cap conductive plating base removal process.”
  • In this first use, XeF2 is used to remove the metal liner, TaN—Ta, after copper CMP to minimize mechanical stressing of the low k material and chemical alteration of the low k dielectric material, and to improve planarity after CMP. In the second use, the XeF2 etch is used to selectively remove a plating base (TaN—Ta) that was used to form a metal cap layer over the copper conductor. In this use, the metal cap allows the elimination of a high k dielectric cap normally required to prevent diffusion of copper into the interconnect dielectric.
  • Further benefits and advantages of the invention will become apparent from a consideration of the following detailed description, given with reference to the accompanying drawings, which specify and show preferred embodiments of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1C show a current fabrication process for a BEOL interconnect structure.
  • FIGS. 2A-2C illustrate a first embodiment of this invention, in which XeF2 is used to remove a TaN—Ta liner.
  • FIG. 3 is a table showing various measurements of several materials subjected to XeF2 exposure.
  • FIGS. 4A and 4B show a second embodiment of the invention, in which XeF2 is used to remove a TaN—Ta plating base/liner.
  • FIGS. 5A-5H illustrate a third embodiment of the invention, in which XeF2 is used to remove a TaN—Ta liner formed in the process of making copper coils on a semiconductor device.
  • FIG. 6 shows a device that may be used to expose a semiconductor structure to XeF2.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention, generally, relates to methods and systems for processing semiconductor devices. More specifically, the invention relates to processes for removing or etching metals or metal layers, such as Ta—TaN, and that are compatible with low-k dielectric materials. This, in turn, allows or facilitates the use of low k dielectric materials for various applications in semiconductor devices, in which applications it has heretofore been difficult to use such dielectric materials.
  • The challenges and difficulties of using these low-k dielectric materials in semiconductor devices are illustrated in FIGS. 1A-1C. With reference to these Figures, copper wire traces 12 are formed in a dielectric 14 using copper damascene CMP. To maintain planarity, it is ideal if the CMP process is highly selective in all phases of the CMP. It first needs to polish the copper with high selectivity to the TaN—Ta liner 16.
  • Following the copper CMP, the liner 16 is then polished, and this polish must be selective to both copper and the dielectric. This process has been shown to work well for conventional dielectric materials such as SiO2; however, when low k films are used, severe dielectric loss, mechanical degradation, and in some cases chemical modification of the low k material commonly occur.
  • Shown in FIGS. 2A to 2C is a novel integration process that uses a copper CMP followed by the XeF2 TaN—Ta removal. The XeF2 liner removal process minimizes mechanical degradation of the structure, minimizes chemical modification of the structure, and minimizes the loss of the low-k dielectric 14. As can be seen, the XeF2 process results in preserving all the low-k dielectric, FIG. 2C, while the all CMP process of FIG. 1C has some dielectric loss.
  • To evaluate this application of XeF2, we have tested the compatibility of a range of materials to excessively long XeF2 exposures (thousands of seconds as compared to tens of seconds required). Materials such as SiO2, SiN, low k Si—C—O—H based materials, TaN—Ta and copper were tested and relevant measurements were performed to examine changes in these films. This data is shown in table 1 of FIG. 3.
  • In a second embodiment, illustrated in FIGS. 4A and 4B, the XeF2 etch is used to remove a plating base required for selective metal cap 42 formation over the copper conductor 12. This process has been tested to show that only the plating base is etched and the metal cap 42 and surrounding dielectric 14 are preserved. Long XeF2 etch tests with cobalt based cap layers showed only a 7% sheet resistance increase which could be due to some impurity incorporation on the surface or a 7% thickness loss.
  • Furthermore, we have also tested the compatibility of XeF2 with copper and found that no etching occurs.
  • In a third embodiment, the XeF2 etch is used to remove the plating base/liner for a non-planar structure fabricated by through mask plating or by the combination of damascene and through mask plating. A high performance thick copper inductor is a typical example of a device that could be fabricated in this manner.
  • FIGS. 5A-5H depict the combined process flow that results in a non-planar structure, which renders plating base/liner removal by CMP impossible. In accordance with the third embodiment of the invention, the selective removal of the TaN/Ta plating base/liner can be done either directly after resist strip or following selective passivation of the exposed copper.
  • FIG. 5A shows a starting structure BEOL interconnect on a semiconductor device. More specifically, FIG. 5A shows a substrate 52 with semiconductor devices, dielectric material 54 on that substrate, and copper regions 56 embedded in the dielectric. TaN—Ta liners 60 separate these copper regions from the dielectric materials.
  • As indicated in FIG. 5B, a nitride cap 62 is formed over copper regions 56 and substrate 52, and additional dielectric material 64 is deposited on cap 62. Then, a first pattern 70 is etched partially into dielectric material 64.
  • Then, with reference to FIG. 5C, a second pattern 72 is etched through portions of dielectric material 64, exposing selected areas of the dielectric 64 and of the copper regions 56. As shown in FIG. 5D, liner 74 and copper seed layer 76 are deposited over the patterned dielectric 64. Liner 74 is a TaN/Ta material. Next, portions, or the high points, of the copper seed 76 are removed, producing the structure shown in FIG. 5E, where specified portions of the TaN/Ta 76 are exposed. Then, as shown in FIG. 5F, a polymer mold 80, which covers the exposed TaN/Ta portions, is formed or placed on the structure. This mold 80 includes cavities or recesses 82, and, with reference to FIGS. 5F and 5G, an electroplating process is used to form copper extensions 84.
  • The polymer mold 80 is then removed, resulting in the structure of FIG. 5H. As can be seen, the top portions of this structure has a non-planar shape, and this makes it difficult to remove the exposed portions of the Ta/TaN liner 74 by means of a chemical mechanical polish. However, in accordance with the present invention, a XeF2 etch effectively removes those upper portions of the exposed Ta/TaN liner 74 without chemically altering or mechanically weakening dielectric layer, as shown in FIG. 5I.
  • Any suitable dielectric material may be used in the practice of this invention. For instance, as mentioned above, the material may be SiO2, SiN, or low k Si—C—O—H based materials. Also, preferably, the dielectric material has a dielectric constant below 4, and, for example, this material may have a dielectric constant between 1.2 and 4.
  • Any suitable procedure may be employed to use the XeF2 to remove the Ta—TaN material from the semiconductor structure. For example, with reference to FIG. 6, a dual chamber, dual pressure device 90 may be used. Device 90 includes first and second chambers 92 and 94. Chamber 92 is at a low pressure such as, for instance, 0.5 to 2 or 3 Torr; and chamber 94 is at a lower pressure such as, for example, 0-20 a few m Torr.
  • A solid source form of XeF2, represented at 96, is exposed to the first chamber 92 through a valve 106; and the semiconductor structure, represented at 98, that is to be subjected to the XeF2 is placed in the second chamber 94. Valves 102 and 104 between the two chambers 92 and 94 are opened, and the XeF2 gas passes into the second chamber 94, thereby exposing semiconductor structure 98 to the XeF2. The semiconductor structure is exposed to the low pressure XeF2 for a defined period of time, such as one to one hundred seconds, and the XeF2 is then evacuated from chamber 94 through valve 110 into pump 100.
  • Chamber 94 may then be backfilled with nitrogen gas to help clean the XeF2 off the semiconductor structure 98 and to help ensure that the XeF2 is evacuated from chamber 94. Other gases may be diluted to the XeF2 or used in the backfill process to improve etch selectively or to help clean the semiconductor structure. These gases can be introduced into either chamber through valves 102, 104, 112, 114, 116 and 118. For instance, gases may be used to help displace water from the semiconductor structure. This backfill process may last, for example, from one to 10-20 seconds, and may be repeated. Additionally, substrate temperature control from 0° C. to 400° C. may be used to control chemical reactions on substrate 98.
  • While it is apparent that the invention herein disclosed is well calculated to fulfill the objects stated above, it will be appreciated that numerous modifications and embodiments may be devised by those skilled in the art, and it is intended that the appended claims cover all such modifications and embodiments as fall within the true spirit and scope of the present invention.

Claims (8)

1-11. (canceled)
12. A system for processing a semiconductor structure of the type including a substrate, a dielectric layer, and a TaN—Ta liner on the dielectric layer, the system comprising:
a source of XeF2 to expose the TaN—Ta liner to XeF2 to remove at least a portion of the TaN—Ta liner completely to the dielectric layer.
13. A system according to claim 12, wherein said XeF2 removes said at least a portion of the TaN—Ta liner without appreciably mechanically stressing or chemically altering said dielectric material
14. A system according to claim 12, wherein the semi-conductor structure further includes a copper layer extending above the TaN—Ta liner, and wherein:
the system further includes means for removing the copper to a given level, lower than the top of the TaN—Ta liner; and
said XeF2 removes enough the TaN—Ta liner to form a substantially planar top surface on the semiconductor structure.
15. A system according to claim 12, wherein the dielectric material forms a series of recesses, copper material is deposited in said recesses to form a series of copper wire traces, and a metal cap is formed on each of the copper wire traces, said metal caps defining a top surface lower than the top surface of the TaN—Ta liner, and wherein
the XeF2 removes enough of the TaN—Ta liner to form a substantially coplanar top surface on the semiconductor structure.
16. A system according to claim 15, wherein the XeF2 removes the TaN—Ta while substantially preserving the metal caps.
17. A system according to claim 12, wherein the semiconductor structure includes a series of copper inductor coils extending to a level higher than the TaN—Ta liner, and the semiconductor structure forms a non-planar top surface, and wherein
the XeF2 removes the TaN—Ta liner substantially completely from on top of the dielectric material, between the copper inductor coils.
18-31. (canceled)
US11/871,504 2005-02-24 2007-10-12 Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION Abandoned US20080066860A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/871,504 US20080066860A1 (en) 2005-02-24 2007-10-12 Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/064,561 US7422983B2 (en) 2005-02-24 2005-02-24 Ta-TaN selective removal process for integrated device fabrication
US11/871,504 US20080066860A1 (en) 2005-02-24 2007-10-12 Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/064,561 Division US7422983B2 (en) 2005-02-24 2005-02-24 Ta-TaN selective removal process for integrated device fabrication

Publications (1)

Publication Number Publication Date
US20080066860A1 true US20080066860A1 (en) 2008-03-20

Family

ID=36913316

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/064,561 Active 2025-10-17 US7422983B2 (en) 2005-02-24 2005-02-24 Ta-TaN selective removal process for integrated device fabrication
US11/871,504 Abandoned US20080066860A1 (en) 2005-02-24 2007-10-12 Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION
US11/871,533 Abandoned US20080067683A1 (en) 2005-02-24 2007-10-12 Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION
US12/204,412 Active 2026-12-02 US8426316B2 (en) 2005-02-24 2008-09-04 Ta-TaN selective removal process for integrated device fabrication
US13/772,511 Expired - Fee Related US8865597B2 (en) 2005-02-24 2013-02-21 Ta—TaN selective removal process for integrated device fabrication

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/064,561 Active 2025-10-17 US7422983B2 (en) 2005-02-24 2005-02-24 Ta-TaN selective removal process for integrated device fabrication

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/871,533 Abandoned US20080067683A1 (en) 2005-02-24 2007-10-12 Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION
US12/204,412 Active 2026-12-02 US8426316B2 (en) 2005-02-24 2008-09-04 Ta-TaN selective removal process for integrated device fabrication
US13/772,511 Expired - Fee Related US8865597B2 (en) 2005-02-24 2013-02-21 Ta—TaN selective removal process for integrated device fabrication

Country Status (1)

Country Link
US (5) US7422983B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8865597B2 (en) 2005-02-24 2014-10-21 International Business Machines Corporation Ta—TaN selective removal process for integrated device fabrication
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7745282B2 (en) * 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
KR101492467B1 (en) * 2008-08-20 2015-02-11 에이씨엠 리서치 (상하이) 인코포레이티드 Barrier layer removal method and apparatus
KR100977055B1 (en) * 2009-02-20 2010-08-19 주식회사 코아로직 Device and method for adjusting auto white balance(awb) and image processing apparatus comprising the same device
US8703003B2 (en) * 2009-04-20 2014-04-22 Spts Technologies Limited Selective etching of semiconductor substrate(s) that preserves underlying dielectric layers
CN101882595B (en) * 2009-05-08 2014-07-09 盛美半导体设备(上海)有限公司 Method and device for removing barrier layer
US8298948B2 (en) * 2009-11-06 2012-10-30 International Business Machines Corporation Capping of copper interconnect lines in integrated circuit devices
US8721798B2 (en) * 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8404582B2 (en) 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
CN102615584A (en) * 2011-01-31 2012-08-01 中芯国际集成电路制造(上海)有限公司 Chemical mechanical grinding method
US8637400B2 (en) * 2011-06-21 2014-01-28 International Business Machines Corporation Interconnect structures and methods for back end of the line integration
US8519516B1 (en) * 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
CN105144363B (en) * 2012-11-27 2018-05-18 盛美半导体设备(上海)有限公司 The forming method of interconnection structure
US9876081B2 (en) 2013-07-16 2018-01-23 The United States Of America, As Represented By The Secretary Of The Navy Lift-off of epitaxial layers from silicon carbide or compound semiconductor substrates
KR102085086B1 (en) 2013-10-29 2020-03-05 삼성전자주식회사 Semiconductor device and method of forming the same
CN106463455B (en) * 2014-07-08 2019-02-15 盛美半导体设备(上海)有限公司 A method of forming metal interconnection structure
CN104671196A (en) * 2015-01-31 2015-06-03 上海华虹宏力半导体制造有限公司 Etching method of tantalum nitride
WO2016127425A1 (en) * 2015-02-15 2016-08-18 Acm Research (Shanghai) Inc. Method for removing barrier layer for minimizing sidewall recess
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US9685406B1 (en) 2016-04-18 2017-06-20 International Business Machines Corporation Selective and non-selective barrier layer wet removal
US9984923B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Barrier layers in trenches and vias
US10211153B2 (en) 2016-08-30 2019-02-19 International Business Machines Corporation Low aspect ratio interconnect
US9881833B1 (en) 2016-10-26 2018-01-30 International Business Machines Corporation Barrier planarization for interconnect metallization
US10658233B2 (en) 2018-10-17 2020-05-19 International Business Machines Corporation Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom

Citations (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5950675A (en) * 1996-02-15 1999-09-14 Fujikin Incorporated Backflow prevention apparatus for feeding a mixture of gases
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6207005B1 (en) * 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6235112B1 (en) * 1998-01-26 2001-05-22 Asm Japan K.K. Apparatus and method for forming thin film
US20010004538A1 (en) * 1999-12-21 2001-06-21 Applied Materials, Inc. High through-put copper CMP with reduced erosion and dishing
US6290864B1 (en) * 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
US20010034125A1 (en) * 2000-01-25 2001-10-25 Kabushiki Kaisha Toshiba Method of forming copper oxide film, method of etching copper film, method of fabricating semiconductor device, semiconductor manufacturing apparatus, and semiconductor device
US20010045187A1 (en) * 1999-12-20 2001-11-29 Micron Technology, Inc. Chemical vapor deposition methods and apparatus
US20020016065A1 (en) * 1998-09-01 2002-02-07 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films
US6358360B2 (en) * 1998-11-30 2002-03-19 Canon Kabushiki Kaisha Precision polishing apparatus for polishing a semiconductor substrate
US20020033229A1 (en) * 2000-09-19 2002-03-21 Lebouitz Kyle S. Apparatus for etching semiconductor samples and a source for providing a gas by sublimination thereto
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US20020179010A1 (en) * 2001-05-30 2002-12-05 Hirokazu Otoshi Apparatus and method for forming deposited film
US20020190024A1 (en) * 1998-10-30 2002-12-19 Kabushiki Kaisha Toshiba Etching method and cleaning method of chemical vapor growth apparatus
US20020197761A1 (en) * 2001-05-22 2002-12-26 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US20030134134A1 (en) * 2002-01-11 2003-07-17 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20030145789A1 (en) * 2000-02-10 2003-08-07 Hartmut Bauch Gas supply device for precursors with a low vapor pressure
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US20030200925A1 (en) * 1998-09-03 2003-10-30 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US20040003894A1 (en) * 2000-12-18 2004-01-08 Applied Materials, Inc. Method and apparatus for electro-chemical processing
US20040069747A1 (en) * 2001-09-17 2004-04-15 Reflectivity, Inc., A California Corporation Apparatus and method for detecting an endpoint in a vapor phase etch
US6740985B1 (en) * 1999-12-16 2004-05-25 Newport Fab, Llc Structure for bonding pad and method for its fabrication
US20040118436A1 (en) * 2002-12-21 2004-06-24 Joyce James M. Method and apparatus for thermal gas purging
US20040127049A1 (en) * 2002-12-28 2004-07-01 Boardman James A. Differential planarization
US20040166676A1 (en) * 2002-09-12 2004-08-26 Tetsunori Kaji Method and apparatus for forming damascene structure, and damascene structure
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US20050022738A1 (en) * 1999-06-16 2005-02-03 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US20050056216A1 (en) * 2003-09-15 2005-03-17 Intel Corporation Precursor delivery system
US6949202B1 (en) * 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US20050217575A1 (en) * 2004-03-31 2005-10-06 Dan Gealy Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US6979370B2 (en) * 1996-10-02 2005-12-27 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
US7001640B2 (en) * 2000-05-31 2006-02-21 Canon Kabushiki Kaisha Apparatus and method for forming deposited film
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US20060134920A1 (en) * 2004-12-17 2006-06-22 Ted Liang Passivating metal etch structures
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060178004A1 (en) * 2005-02-08 2006-08-10 International Business Machines Corporation Method of obtaining release-standing micro structures and devices by selective etch removal of protective and sacrificial layer using the same
US20060189134A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20060185598A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor tray for use in a film precursor evaporation system and method of using
US20060213441A1 (en) * 2003-06-27 2006-09-28 Applied Microstructures, Inc. Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20060258175A1 (en) * 2002-08-28 2006-11-16 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20060254516A1 (en) * 2001-11-14 2006-11-16 Minoru Karasawa Heating element CVD system and heating element CVD metod using the same
US20060261389A1 (en) * 2002-08-28 2006-11-23 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20070020394A1 (en) * 2002-06-26 2007-01-25 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US20070089674A1 (en) * 2002-09-11 2007-04-26 Planar Systems, Inc. Precursor material delivery system with thermal enhancements for atomic layer deposition
US20070295273A1 (en) * 2002-08-28 2007-12-27 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20080026148A1 (en) * 2004-01-05 2008-01-31 Koji Tominaga Film Forming System And Method For Forming Film
US20080227303A1 (en) * 2002-08-28 2008-09-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US20100147218A1 (en) * 2003-04-29 2010-06-17 Micron Technology, Inc. Systems and methods for forming metal oxide layers

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223608A (en) * 1997-02-04 1998-08-21 Sony Corp Manufacture of semiconductor device
US5968847A (en) * 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components

Patent Citations (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4190488A (en) * 1978-08-21 1980-02-26 International Business Machines Corporation Etching method using noble gas halides
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5950675A (en) * 1996-02-15 1999-09-14 Fujikin Incorporated Backflow prevention apparatus for feeding a mixture of gases
US6979370B2 (en) * 1996-10-02 2005-12-27 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
US6207005B1 (en) * 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
US20020098713A1 (en) * 1997-07-29 2002-07-25 Francois J. Henley Clustertool system software using plasma immersion ion implantation
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6235112B1 (en) * 1998-01-26 2001-05-22 Asm Japan K.K. Apparatus and method for forming thin film
US20020016065A1 (en) * 1998-09-01 2002-02-07 Micron Technology, Inc. Metal complexes with chelating C-, N-donor ligands for forming metal-containing films
US20030200925A1 (en) * 1998-09-03 2003-10-30 Micron Technology, Inc. Methods for forming phosphorus- and/or boron-containing silica layers on substrates
US20020190024A1 (en) * 1998-10-30 2002-12-19 Kabushiki Kaisha Toshiba Etching method and cleaning method of chemical vapor growth apparatus
US6358360B2 (en) * 1998-11-30 2002-03-19 Canon Kabushiki Kaisha Precision polishing apparatus for polishing a semiconductor substrate
US20050022738A1 (en) * 1999-06-16 2005-02-03 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6207570B1 (en) * 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6482748B1 (en) * 1999-09-03 2002-11-19 Taiwan Semiconductor Manufacturing Company Poly gate silicide inspection by back end etching
US6290864B1 (en) * 1999-10-26 2001-09-18 Reflectivity, Inc. Fluoride gas etching of silicon with improved selectivity
US6949202B1 (en) * 1999-10-26 2005-09-27 Reflectivity, Inc Apparatus and method for flow of process gas in an ultra-clean environment
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6740985B1 (en) * 1999-12-16 2004-05-25 Newport Fab, Llc Structure for bonding pad and method for its fabrication
US20010045187A1 (en) * 1999-12-20 2001-11-29 Micron Technology, Inc. Chemical vapor deposition methods and apparatus
US20010004538A1 (en) * 1999-12-21 2001-06-21 Applied Materials, Inc. High through-put copper CMP with reduced erosion and dishing
US20010034125A1 (en) * 2000-01-25 2001-10-25 Kabushiki Kaisha Toshiba Method of forming copper oxide film, method of etching copper film, method of fabricating semiconductor device, semiconductor manufacturing apparatus, and semiconductor device
US20030145789A1 (en) * 2000-02-10 2003-08-07 Hartmut Bauch Gas supply device for precursors with a low vapor pressure
US7001640B2 (en) * 2000-05-31 2006-02-21 Canon Kabushiki Kaisha Apparatus and method for forming deposited film
US6465888B2 (en) * 2000-06-05 2002-10-15 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US20020033229A1 (en) * 2000-09-19 2002-03-21 Lebouitz Kyle S. Apparatus for etching semiconductor samples and a source for providing a gas by sublimination thereto
US20050230046A1 (en) * 2000-09-19 2005-10-20 Lebouitz Kyle S Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6887337B2 (en) * 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US20040003894A1 (en) * 2000-12-18 2004-01-08 Applied Materials, Inc. Method and apparatus for electro-chemical processing
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20050045276A1 (en) * 2001-05-22 2005-03-03 Patel Satyadev R. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20020197761A1 (en) * 2001-05-22 2002-12-26 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US20020179010A1 (en) * 2001-05-30 2002-12-05 Hirokazu Otoshi Apparatus and method for forming deposited film
US20040069747A1 (en) * 2001-09-17 2004-04-15 Reflectivity, Inc., A California Corporation Apparatus and method for detecting an endpoint in a vapor phase etch
US20060254516A1 (en) * 2001-11-14 2006-11-16 Minoru Karasawa Heating element CVD system and heating element CVD metod using the same
US20030134134A1 (en) * 2002-01-11 2003-07-17 Saint-Gobain Ceramics & Plastics, Inc. Method for forming ceramic layer having garnet crystal structure phase and article made thereby
US20070020394A1 (en) * 2002-06-26 2007-01-25 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US20070295273A1 (en) * 2002-08-28 2007-12-27 Micron Technology, Inc. Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
US20060258175A1 (en) * 2002-08-28 2006-11-16 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20090042406A1 (en) * 2002-08-28 2009-02-12 Micron Technology, Inc. Systems and methods for forming metal oxides using metal compounds containing aminosilane ligands
US20060261389A1 (en) * 2002-08-28 2006-11-23 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US20070144438A1 (en) * 2002-08-28 2007-06-28 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using disilazanes
US20050028733A1 (en) * 2002-08-28 2005-02-10 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using disilazanes
US20080227303A1 (en) * 2002-08-28 2008-09-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US20070089674A1 (en) * 2002-09-11 2007-04-26 Planar Systems, Inc. Precursor material delivery system with thermal enhancements for atomic layer deposition
US20040166676A1 (en) * 2002-09-12 2004-08-26 Tetsunori Kaji Method and apparatus for forming damascene structure, and damascene structure
US20040118436A1 (en) * 2002-12-21 2004-06-24 Joyce James M. Method and apparatus for thermal gas purging
US20040127049A1 (en) * 2002-12-28 2004-07-01 Boardman James A. Differential planarization
US20120067283A1 (en) * 2003-04-29 2012-03-22 Micron Technology, Inc. Systems and Methods for Forming Metal Oxide Layers
US20100147218A1 (en) * 2003-04-29 2010-06-17 Micron Technology, Inc. Systems and methods for forming metal oxide layers
US20060213441A1 (en) * 2003-06-27 2006-09-28 Applied Microstructures, Inc. Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20050056216A1 (en) * 2003-09-15 2005-03-17 Intel Corporation Precursor delivery system
US20080026148A1 (en) * 2004-01-05 2008-01-31 Koji Tominaga Film Forming System And Method For Forming Film
US20050217575A1 (en) * 2004-03-31 2005-10-06 Dan Gealy Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20060065622A1 (en) * 2004-09-27 2006-03-30 Floyd Philip D Method and system for xenon fluoride etching with enhanced efficiency
US20060185598A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor tray for use in a film precursor evaporation system and method of using
US20060134920A1 (en) * 2004-12-17 2006-06-22 Ted Liang Passivating metal etch structures
US20080153305A1 (en) * 2004-12-17 2008-06-26 Ted Liang Passivating metal etch structures
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7192868B2 (en) * 2005-02-08 2007-03-20 International Business Machines Corporation Method of obtaining release-standing micro structures and devices by selective etch removal of protective and sacrificial layer using the same
US20060178004A1 (en) * 2005-02-08 2006-08-10 International Business Machines Corporation Method of obtaining release-standing micro structures and devices by selective etch removal of protective and sacrificial layer using the same
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20080067683A1 (en) * 2005-02-24 2008-03-20 International Business Machines Corporation Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION
US20090001587A1 (en) * 2005-02-24 2009-01-01 International Business Machines Corporation Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION
US20060189134A1 (en) * 2005-02-24 2006-08-24 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US8426316B2 (en) * 2005-02-24 2013-04-23 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20130224959A1 (en) * 2005-02-24 2013-08-29 International Business Machines Corporation Ta-TaN SELECTIVE REMOVAL PROCESS FOR INTEGRATED DEVICE FABRICATION

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8865597B2 (en) 2005-02-24 2014-10-21 International Business Machines Corporation Ta—TaN selective removal process for integrated device fabrication
US10431464B2 (en) 2016-10-17 2019-10-01 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
US10741397B2 (en) 2016-10-17 2020-08-11 International Business Machines Corporation Liner planarization-free process flow for fabricating metallic interconnect structures
US10672653B2 (en) 2017-12-18 2020-06-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
US11315830B2 (en) 2017-12-18 2022-04-26 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers
US11404311B2 (en) 2017-12-18 2022-08-02 International Business Machines Corporation Metallic interconnect structures with wrap around capping layers

Also Published As

Publication number Publication date
US20130224959A1 (en) 2013-08-29
US7422983B2 (en) 2008-09-09
US8426316B2 (en) 2013-04-23
US20080067683A1 (en) 2008-03-20
US8865597B2 (en) 2014-10-21
US20090001587A1 (en) 2009-01-01
US20060189134A1 (en) 2006-08-24

Similar Documents

Publication Publication Date Title
US8426316B2 (en) Ta-TaN selective removal process for integrated device fabrication
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US6228758B1 (en) Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US7129162B2 (en) Dual cap layer in damascene interconnection processes
US9966304B2 (en) Method for forming interconnect structure
US9293363B2 (en) Methods and structures for back end of line integration
JP2006352124A (en) Method of manufacturing semiconductor device and structure thereof
US10224236B2 (en) Forming air gap
US10347528B1 (en) Interconnect formation process using wire trench etch prior to via etch, and related interconnect
US20090081865A1 (en) Method for fabricating semiconductor device
US8980745B1 (en) Interconnect structures and methods of forming same
KR20000026588A (en) Semiconductor device having contact holes and method for manufacturing the same
US20050266679A1 (en) Barrier structure for semiconductor devices
US8258041B2 (en) Method of fabricating metal-bearing integrated circuit structures having low defect density
KR101077711B1 (en) Method for fabricating a semiconductor device
JP2009004633A (en) Multilayer interconnection structure and method of manufacturing the same
JP2005005697A (en) Manufacturing method of semiconductor device
US20090200674A1 (en) Structure and method of forming transitional contacts between wide and thin beol wirings
US10032855B1 (en) Advanced metal insulator metal capacitor
US7662711B2 (en) Method of forming dual damascene pattern
US6468897B1 (en) Method of forming damascene structure
US6524973B2 (en) Method for forming low dielectric constant layer
US20060148258A1 (en) Method of planarizing an inter-metal insulation film
US7943508B2 (en) Fabricating method of a semiconductor device
JP2003086679A (en) Integrated circuit device and its manufacturing method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910