US20080111994A1 - Autofocus methods and devices for lithography - Google Patents

Autofocus methods and devices for lithography Download PDF

Info

Publication number
US20080111994A1
US20080111994A1 US11/972,899 US97289908A US2008111994A1 US 20080111994 A1 US20080111994 A1 US 20080111994A1 US 97289908 A US97289908 A US 97289908A US 2008111994 A1 US2008111994 A1 US 2008111994A1
Authority
US
United States
Prior art keywords
light
reticle
interferometer
wafer
lithography
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/972,899
Inventor
Michael Sogard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to US11/972,899 priority Critical patent/US20080111994A1/en
Assigned to NIKON CORPORATION reassignment NIKON CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SOGARD, MICHAEL
Publication of US20080111994A1 publication Critical patent/US20080111994A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7026Focusing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric

Definitions

  • This invention relates to autofocus devices and methods for use in lithography.
  • circuit devices are mass-produced by using reduction projection exposure devices, using the i line from mercury lamps that has a wavelength of 365 nm as an illumination light.
  • reduction projection exposure devices using the i line from mercury lamps that has a wavelength of 365 nm as an illumination light.
  • a scanning exposure apparatus based on the step-and-scan method uses an ultraviolet pulse laser beam with a wavelength of 248 nm from a KrF excimer laser source or an ultraviolet pulse laser beam with a wavelength of 193 nm from an ArF excimer laser source as an illumination light.
  • a scanning exposure apparatus then linearly scans a mask or a reticle (to be generically referred to as a “reticle” hereinafter) on which a circuit pattern is drawn and a wafer serving as a photosensitive substrate, relatively to the projection field of a reduction projection optical system. This allows the transfer of the entire pattern within a shot area on the wafer by repeating the inter-shot stepping operation and the scanning exposure operation.
  • AF/AL automatic focusing and leveling
  • Glancing angle low angle of incidence
  • the wafer height is determined by optical and electrical processing of the reflected light beam. This beam passes under the last element of the projection lens.
  • the source and receiver optics are typically mounted to a stable part of the system, close to the projection optics mounting position. Signals from the AF/AL unit go to the wafer stage controller which adjusts the wafer height and leveling appropriately, so the wafer lies in the focal plane of the projection optics.
  • a reflection type reticle In an EUV exposure apparatus, a reflection type reticle is required, due to the high absorption of EUV light by all materials.
  • This reflection type reticle is obliquely irradiated with illumination light and light reflected by the reticle surface is projected on a wafer through a reflective projection optical system. As a consequence, a pattern, which is irradiated with the illumination light in an illumination area on the reticle, is transferred onto the wafer.
  • the projection optical system becomes non-telecentric on the reticle side.
  • the displacement of the reticle along the optical axis appears on the wafer as a magnification change in the longitudinal direction of a ring-shaped exposure area (an area on the wafer which corresponds to the above ring-shaped illumination area on the reticle), and as a positional change in the transversal direction.
  • Non-telecentric projection optical systems are very sensitive to reticle displacement.
  • the reticle is displaced by 1 ⁇ m in the vertical direction (Z direction), while illuminated by radiation incident at an oblique angle of 100 mrad, an image shift of 25 nm (assuming a 4 ⁇ reduction optical system), occurs on the wafer.
  • the allowable overlay error in the semiconductor process of a device rule of 100 nm L/S is said to be 30 nm or less. Therefore, an overlay error as large as 25 nm caused by a displacement of a reticle in the Z direction alone poses a serious problem. This is because overlay errors of about 10 nm can be caused by other factors, e.g., alignment accuracy of a reticle and wafer, wafer stage alignment accuracy including stepping accuracy, or the distortion of the projection optical system.
  • glancing angle AF devices are large and may not have the accuracy required for future applications.
  • the glancing angle of such AF devices may cause interference between the AF beams and proximity illumination blinds or other structures. Also, their accuracy may be inadequate for the ⁇ 50 nm height tolerance of the EUV reticle.
  • the present invention provides improved AF methods and devices for lithography.
  • Some embodiments of the invention provide an AF system that includes one or more interferometers for measuring a distance to a target such as a wafer surface or a reticle surface.
  • the invention includes methods and devices for calibrating the interferometer(s) according to known distances to a target.
  • a spatial filter reduces the amount of undesired signal coming from the wafer or reticle surface.
  • higher orders of diffracted light from the wafer or reticle multilayer surfaces are eliminated with a pinhole filter oriented to reject light that is not vertically directed.
  • inventions include a spatial filtering system that passes a selected diffraction order, e.g., the first order of diffracted light, from the target. These spatial filters reduce variations in the signal and make further signal processing easier. Employing polarized light and polarization filters also serves to reduce background signal. By including a beam expander, the interferometer beam can sample a larger or smaller area on the wafer.
  • the autofocusing device includes the following elements: a light source; an interferometer system configured to determine a distance to a target based on first light received from the target; and a spatial filter system for passing selected components of the first light to an interferometer of the interferometer system.
  • the interferometer system may include a plurality of interferometers and may be configured to determine the distance to the target based on a comparison of the first light with second light reflected from a reference mirror.
  • the autofocusing device may include a polarizing filter for passing a selected polarization angle of the first light.
  • the spatial filter system may include a plurality of spatial filters.
  • the spatial filter system may include a first spatial filter for passing a specularly reflected component of the first light and a second spatial filter for passing a selected diffraction order of the first light.
  • the spatial filter system includes a third spatial filter for passing both the specularly reflected component of the first light and the selected diffraction order of the first light.
  • the autofocusing device may also include a calibration device for determining an absolute distance to a target and/or for calibrating the autofocusing device for expected optical properties of the target.
  • the target may be, for example, a surface of a wafer or a surface of a reticle.
  • the expected optical properties may include an expected reflectivity of a target surface and/or an expected pattern on a target surface.
  • the autofocusing device may include a device for moving the target in accordance with a determined distance.
  • the autofocusing device may be configured to cause at least a portion of the first light to illuminate the target more than once e.g., by incorporating a beam splitter and a quarter wave plate.
  • a lithography system that includes the following components: an illumination source; an optical system; a reticle stage arranged to retain a reticle; a working stage arranged to retain a workpiece; an enclosure that surrounds at least a portion of the working stage, the enclosure having a sealing is surface; and autofocusing device.
  • the autofocusing device includes these elements: a light source; an interferometer system configured to determine a distance to a target based on first light received from the target; and a spatial filter system for passing selected components of the first light to an interferometer of the interferometer system.
  • the optical system may be a telecentric optical system or a non-telecentric optical system.
  • the lithography system may include one or more devices for disposing a fluid between the optical system and the workpiece.
  • Some embodiments of the invention provide an object, such as a wafer, an integrated circuit, etc., manufactured with a lithography system as described herein.
  • One such embodiment provides a wafer on which an image has been formed by the lithography system.
  • Some implementations of the invention provide a method for making an object using a lithography process, the lithography process that utilizes a lithography system as described herein. Some aspects of the invention provide a method for patterning a wafer using a lithography process, wherein the lithography process utilizes a lithography system as described herein.
  • FIG. 1 illustrates the general structure of a non-telecentric projection exposure apparatus having a glancing angle AF device.
  • FIG. 2 illustrates one embodiment of an AF device according to the present invention.
  • FIG. 3 illustrates an alternative embodiment of an AF device according to the present invention.
  • FIG. 4 illustrates another embodiment of an AF device according to the present invention.
  • FIG. 5 illustrates still another embodiment of an AF device according to the present invention.
  • FIG. 6A illustrates an AF device having a calibration system according to the present invention.
  • FIG. 6B illustrates the calibration procedure of the AF device shown in FIG. 6A .
  • FIG. 6C illustrates the interferometer signal properties obtained during the calibration process.
  • FIG. 7 illustrates a telecentric projection exposure apparatus that can incorporate AF devices and methods of the present invention.
  • FIG. 8 illustrates an immersion lithography system that can incorporate AF devices and methods of the present invention.
  • FIG. 9 is a flow chart that outlines some methods according to the present invention.
  • FIG. 10 is a flow chart that outlines alternative methods according to the present invention.
  • FIG. 11 illustrates the basic components of an interferometer used with the present invention.
  • FIG. 12 illustrates some typical interferometer signals expected according to the present invention.
  • FIG. 13 a shows a phasor diagram relating contributions to the interferometer signal by reflections from the reticle absorber and multilayer according to the present invention.
  • FIG. 13 b shows a similar phasor relation to that of FIG. 12 a.
  • FIG. 13 c is a phasor relation describing the maximum phase errors between the total interferometer signal and the contribution from the absorber.
  • FIG. 14 shows the maximum error in reticle height associated with the total interferometer signal as a function of the relative magnitude of the signals from the absorber and multilayer according to the present invention.
  • FIG. 15 shows a phasor diagram which relates the phases of the multilayer signal to that of the total interferometer signal.
  • FIG. 16 relates the error in reticle multilayer height to uncertainties in the reflectivity or reflection phase at the absorber and multilayer surfaces according to the present invention.
  • FIG. 17 illustrates an embodiment of the present invention, including a calibration system.
  • FIG. 18 illustrates an embodiment of the present invention, including another embodiment of a calibration system.
  • FIG. 19 illustrates an AF device having another calibration system according to the present invention.
  • the invention includes various AF devices and methods for reducing the amount of undesired signal coming from a wafer or reticle surface.
  • a significant fraction of light reaching the wafer or reticle surface will be diffracted by the patterned surface.
  • First-order diffracted light and higher orders of diffracted light from such a surface will not be oriented vertically, but instead will emerge at angles to normal incidence.
  • first-order diffracted light and higher orders of diffracted light are eliminated with a pinhole spatial filter.
  • the filter prevents light that is not vertically directed from re-entering an interferometer used to determine a distance to a target.
  • the filter also prevents much of the light diffusely scattered from the reticle from reaching the interferometer.
  • Some AF devices of the invention incorporate a polarizer to attenuate scattered and diffracted light.
  • Some AF devices according to the invention incorporate a beam expander for sampling a larger area on the target. Sampling a larger area reduces variations in the diffracted light signal and therefore makes signal processing easier.
  • inventions include filters for passing a selected order of diffracted light.
  • the first-order diffracted light from a target may be selectively passed to an interferometer of the AF device.
  • Yet other embodiments of the invention provide methods and devices for calibrating an AF device.
  • Known thicknesses of material are used to provide an absolute distance to a target, from which an interferometer (or interferometer system) may calculate relative differences in distance to another target.
  • known pattern types and/or reflectivities of the reticle or wafer may be used to calibrate the AF device.
  • inventions provide means for measuring the variation in AF signal properties as a function of reticle or wafer pattern properties.
  • FIG. 1 shows the general structure of a EUV projection exposure apparatus having a glancing angle AF device.
  • Exposure apparatus 10 is a projection exposure apparatus designed to perform exposure operations by the step-and-scan method using EUV light as the exposure illumination light EL.
  • a projection optical system PO is used for projecting the reflected light beam from a reticle R as a mask onto a wafer W. The projecting direction, therefore, of the illumination light EL projected from the projection optical system PO onto the wafer W, will hereinafter be referred to as the optical axis direction of the projection optical system PO.
  • the coordinate axes are shown in FIG. 1 .
  • the Y-axis direction is the lateral direction within the drawing surface of FIG. 1 in a plane perpendicular to the Z-axis direction and the X-axis direction is a direction perpendicular to the drawing surface.
  • the exposure apparatus 10 projects through the projection optical system PO, an image of a part of the circuit pattern drawn on the reflection type reticle R serving as a mask onto a wafer W serving as a substrate, while relatively scanning the reticle R and the wafer W in a linear direction (Y-axis direction in this case) in respect to the projection optical system PO.
  • the entire circuit pattern of the reticle R is thus transferred respectively onto a plurality of shot areas on the wafer W by the step-and-scan method.
  • the exposure apparatus 10 includes a light source unit 12 for horizontally emitting EUV light EL along the Y direction, a deflection mirror M (part of an illumination optical system) for reflecting the EUV light EL from the light source unit 12 and bending its optical path to make the light incident on the pattern surface (lower surface in FIG.
  • the reticle stage RST serving as a mask stage for holding the reticle R
  • the projection optical system PO made up of a reflection optical system which irradiates the EUV light EL reflected on the pattern surface of the reticle R in a direction perpendicular to the exposing surface of the wafer W
  • a wafer stage WST serving as a substrate stage for holding the wafer W
  • focus sensors 14 a and 14 b
  • an alignment optical system ALG an alignment optical system
  • FIG. 2 illustrates one exemplary AF device according to the present invention that is particularly suitable for non-telecentric lithography systems such as the EUV lithography system depicted in FIG. 1 .
  • a reflecting film for reflecting EUV light is formed on the surface (pattern surface) of the reticle 205 .
  • This reflecting film is a multilayer film 210 formed by alternately depositing layers consisting of two different materials.
  • multilayer film 210 is made of molybdenum (Mo) and silicon (Si) and forms a reflecting film having a reflectance of about 70% with respect to EUV light having a wavelength of about 13 nm.
  • the reflecting film on reticle 205 is coated with absorber 215 , made from a material that absorbs EUV light, and then patterning is performed. Since most materials do not reflect EUV light, many such materials can be used as the absorbing layer, e.g., chromium or tantalum silicide.
  • the criteria for selection of the material for absorber 215 may include, for example, ease of patterning, adherence to the reflecting layer, the degree of age deterioration due to oxidation, maintaining a sufficient difference between the reflectance of multilayer 210 and absorber 215 at wavelengths used by mask inspection and metrology tools, etc.
  • one or more laser interferometers 220 are used to measure the Z-direction position of the reticle 205 .
  • the interferometers are preferably rigidly mounted with respect to the projection optics, so that the reticle height can be directly related to the focal plane of the optics.
  • this embodiment provides a measurement of the position of absorber 215 with respect to a known position of a reference mirror (not shown). If reflected light from the absorber layer can reliably be isolated according to the methods of the present invention, the relative position of absorber 215 may be determined according to interferometry techniques known by those of skill in the art.
  • the position of multilayer 210 may then be determined by adding the thickness of the absorber layer 215 . Accordingly, with respect to AF measurement beams 222 (in the visible range) from these laser interferometers, it is important to be able to distinguish between signals reflecting or refracting from absorber 215 and those from multilayer 210 .
  • the position of multilayer 210 which is generally the position of interest, may then be determined by adding the thickness of absorber 215 .
  • absorber 215 is significantly more reflective for AF beam 222 than multilayer 210 .
  • the reflected signal 225 is mainly coming from absorber 215 and the diffracted and scattered rays 230 are primarily coming from multilayer 210 .
  • AF device 200 includes spatial filter 235 for isolating reflected signal 225 . As shown in FIG. 2 , filter 235 stops scattered rays and higher-order diffracted rays 230 from re-entering interferometer 220 .
  • polarizer 240 will also help to attenuate the scattered and diffracted light received from reticle 205 by polarizer 240 . Accordingly, the reflected light from absorber 215 should be relatively easy to isolate in this example. Therefore, the distance to the absorber surface may readily be determined, and this distance may be adjusted if necessary.
  • the reflectivity of the absorber and multiplayer will vary with the wavelength of the interferometer light source. A proper choice of this wavelength may lead to an optimum contrast condition, where the reflectivity, or absorption, of the desired level is maximized with respect to the other one.
  • FIG. 11 illustrates the basic components of the interferometer.
  • a beam of light 1105 from laser 1110 is divided in amplitude by a beam splitter 1115 into two beams 1120 and 1125 , which are at right angles to one another.
  • Beam 1120 is reflected from a fixed reference mirror (Ref) and beam 1125 is reflected from the reticle surface 1135 (Meas).
  • the interferometer combines the two light beams at a detector 1140 .
  • a fraction of the beams is deflected to another detector (not shown) with an additional phase of ⁇ /2 added to either the measurement beam or the reference beam.
  • This additional signal allows the direction of vertical motion of the reticle to be determined.
  • other embodiments of the invention such as the spatial filter or the polarizer.
  • the source of light for the interferometer is a laser; ⁇ is the wavelength and ⁇ is the angular frequency of the laser light.
  • the phase ⁇ r is fixed by the reference mirror location and the calibration of the z axis, the measurement axis, as is described below.
  • the phases ⁇ a and ⁇ ml represent the phase change of the light at reflection from the absorber surface and the multilayer respectively.
  • the absorber layer is assumed to have thickness d, so the absorber interferometer beam path is shorter by 2 d , assuming the measurement to be made in vacuum, compared to the multilayer beam path.
  • Eq. 1 excludes a contribution from light diffracted from the edges of the patterns, the “0 th order” of diffraction, which would propagate in the same direction as the reflected light. This is expected to be very small.
  • the diffraction amplitude is multiplied by an inclination factor (1+cos ⁇ ), where ⁇ is the angle between the diffracted wave and the forward direction. This is described in Section 8.3.2 of M. Born and E. Wolf, Principles of Optics, 5 th edition, 1975, which is hereby incorporated by reference for all purposes.
  • the inclination factor is zero, so no 0 th order light would be expected.
  • polarization effects become important, and a vector diffraction theory is required. It is not known if a contribution from 0 th order diffraction is then possible.
  • the detector at the interferometer can't follow the very high instantaneous frequency of the laser light.
  • 2 > Er 2 +Eabs 2 +Eml 2 +2 EabsEml cos( ⁇ a ⁇ ml ⁇ 4 ⁇ / ⁇ d )+2 ErEabs cos( ⁇ r ⁇ a ⁇ 4 ⁇ / ⁇ ( z ⁇ d ))+2 ErEml cos( ⁇ r ⁇ ml ⁇ 4 ⁇ / ⁇ z ), (2) where ⁇ > denotes a time average over the periodicity of the laser light.
  • the first four terms are independent of z and will not directly affect the height determination. Eabs and Eml will vary somewhat as the reticle pattern illuminated by the laser beam varies, and the relative amount of exposed multilayer varies. We will examine that effect below. We will also deal with the constant representing the first four terms as well.
  • FIG. 12 gives an example of the interferometer signals as a function of z.
  • a HeNe laser wavelength of 633 nm was assumed.
  • ⁇ ml ⁇ .
  • the phase difference between the total signal and the absorber component is considerably smaller.
  • determining the absorber height and adding the absorber thickness d to obtain the multilayer height would be more accurate than trying to determine the multilayer height directly.
  • the phase of the total signal will be close enough to the phase of the absorber signal that it can be used to determine z.
  • the absorber signal is much smaller than the multilayer signal, the height of the surface of the multilayer may be obtained directly from the interferometer signal.
  • FIG. 13 a is a phasor plot of the three terms in Eq. 2, and is equivalent to the information in FIG. 1 .
  • the vectors Eml and Eabs add vectorialy to produce Etotal, and the vectors rotate about the origin as z changes.
  • FIG. 13 b presents the same information in an equivalent form. If the phase of the measured interferometer signal, associated with Etotal, is used to approximate the phase of the absorber signal, a phase error of ⁇ occurs, as shown in FIG. 13 b . If we know nothing about the phase of Eml, the vector Eml could lie anywhere on the circle shown in FIG. 13 c .
  • the maximum phase error between Etotal and Eabs, ⁇ max occurs when Eml is at either position a or b in the figure.
  • the maximum error involved in equating Eabs to Etotal can be small.
  • the error is less than about 10 nm, which may be acceptable for the present application.
  • the height of the multilayer is then obtained by adding the thickness of the absorber layer d to the height determination. Alternatively, if the multilayer signal dominates the absorber layer signal, the multilayer height can be determined directly. For Eabs/Eml ⁇ 0.1, the maximum height error of the multilayer is about 10 nm or less.
  • FIG. 15 shows the same phasor relationship as in FIG. 14 .
  • the angles ⁇ ml and ⁇ t of the multilayer signal and the interferometer signal respectively change continuously.
  • the angle ⁇ ml ⁇ r ⁇ ml ⁇ 4 ⁇ z/ ⁇ , so if ⁇ ml, ⁇ r and ⁇ ml are known, the height z can be determined.
  • the interferometer signal Et and its phase ⁇ t are measured directly.
  • the absorber and multilayer signals must be inferred from the measured properties of the mask: the reflectivities of the absorber and multilayer, the reflection phase changes ⁇ abs and ⁇ ml, and the absorber thickness d.
  • the latter three quantities define the fixed angle ⁇ as shown in Eq. 5.
  • the reflectivities define the ratio of the magnitudes of the absorber and multilayer signals.
  • phase ⁇ t increases steadily with z, but sin ⁇ ml and cos ⁇ t are periodic, so care must be taken to correct for the periodicity, so that ⁇ ml also increases with ⁇ t.
  • Eqs. 5, 6 and 7 allow the multilayer height to be determined in terms of the reflectivities of the absorber and multilayer, the reflection phase changes ⁇ absl and ⁇ ml, and the absorber thickness d.
  • the reflectivity of the absorber is defined as the fraction of incident laser light reflected by the absorber and detected by the interferometer detector.
  • the reflectivity of the multilayer is defined similarly. Thus the reflectivity will depend on the fraction of absorber or multilayer in the illuminated part of the reticle in addition to the intrinsic reflectance of the surface, i.e. it will be pattern dependent. It will also depend on the fraction of reflected light which is reflected back through the pinhole aperture, which will again be pattern dependent. These quantities can be determined using calibration of sample patterns, as well as making use of the pattern database which defines the reticle pattern.
  • FIG. 3 illustrates AF system 300 for measuring a distance to a wafer surface.
  • This embodiment may be used in non-telecentric lithography systems, such as the EUV lithography system described above with reference to FIG. 1 .
  • AF system 300 may be generally more useful for telecentric lithography systems such as those described below.
  • AF system 300 is designed to determine the position of the top surface of photoresist 310 .
  • the reflected signal 330 is primarily coming from photoresist 310 and the diffracted and scattered rays 325 are primarily coming from wafer surface 315 .
  • the reflected light signal and the reflection phase from the photoresist surface should be essentially constant, whereas the signals originating at the wafer surface will vary with the wafer pattern details.
  • AF device 300 includes spatial filter 320 for isolating reflected signal 330 . Filter 320 blocks scattered rays and higher-order diffracted rays 325 .
  • optional polarizer 335 will help to attenuate the scattered and diffracted light received by interferometer 305 . Accordingly, the reflected light from photoresist 310 should be relatively easy to isolate in this example.
  • Eqs. 5, 6, and 7 may be employed to provide further correction to the height determination. Therefore, the distance to the photoresist surface may readily be determined and this distance may be adjusted, if necessary.
  • AF system 400 includes polarizing beam splitter 415 and quarter wave plate 410 . These optical elements require at least a portion of AF beam 422 to make two round trip passes to and from the target (in this example, reticle 450 ). Encountering the target twice doubles the probability of scattering or diffraction from the multilayer or the wafer surface. It also increases the likelihood that diffracted or scattered light that may have a small enough angle to make it through the pinhole a first time will be stopped from making it through the pinhole a second time.
  • Other embodiments of the invention include similar devices for further increasing the number of round trip passes of AF beam 422 .
  • the signal received from the target by the interferometer(s) may include a small contribution from the 0 th order of light diffracted from the edges of patterns.
  • FIG. 5 illustrates an embodiment that corrects for contributions from the 0 th order by isolating a single order of diffracted light from the reticle.
  • input AF beam 522 is split by beam splitter 505 .
  • a first part of AF beam 522 is directed to interferometer 510 and a second part of AF beam 522 is directed to interferometer 520 .
  • AF system 500 includes spatial filters 525 , 530 and 540 .
  • a partially reflecting beam splitter 535 directs light to interferometers 510 and 520 .
  • Spatial filter 525 is a pinhole filter such as those previously described, which passes reflected light to interferometer 510 .
  • Spatial filter 530 includes a pinhole and a slit. The pinhole transmits reflected light, and the slit passes an order of diffracted light (e.g., 1 st -order diffracted light).
  • Spatial filter 540 passes only the selected order of diffracted light to interferometer 520 .
  • the resulting signals are processed by signal processor 560 to obtain the height of a selected part of reticle 550 .
  • AF system 500 has several potential advantages. A better measurement of the multilayer surface may be obtained, because light reflected from the absorber surface can be eliminated. Alternatively, this resulting signal may be used to estimate the amount of zero order diffracted light received by interferometer 510 and correct for it. A relation between the 0 th diffraction order and the selected diffraction order is needed. This must be determined from diffraction theory and some calibration from known patterns. The signal processing is preferably pattern-dependent, based on empirical data.
  • an interferometer is used to measure the height of a reticle or a wafer, even if there is no ambiguity about where the reflection is coming from, there is still ambiguity about the absolute height. Without additional input or calibration, an interferometer can determine differences in height but not absolute height. The interferometer signal repeats itself every half-wavelength. Accordingly, one needs to obtain a calibration for a known height. An interferometer system can then measure changes from that height.
  • FIG. 6A illustrates the AF system 600 , which includes an exemplary means of calibration.
  • AF system 600 several interferometer beams 622 measure the height of reticle 650 simultaneously.
  • the interferometer signals are initially calibrated to the absolute reticle height. This calibration is performed by comparing the interferometer reticle height measurement to that measured by height sensors 633 - 1 and 633 - 2 , which may be capacitance sensors.
  • height sensors 633 - 1 and 633 - 2 are rigidly mounted to the same base as interferometer 610 .
  • the reticle height is compared to the height of reference flats 660 , which in this example are mounted on reticle stage 625 , the height of which has been previously calibrated.
  • the targets 605 represent different, previously calibrated surfaces with different properties.
  • one of targets 605 can have the composition of a multilayer that is to be used in patterning a wafer.
  • Another of targets 605 may have the composition of an absorber layer without patterning.
  • Still other targets 605 may be formed with representative patterns such as contacts, L/S arrays, gates, etc.
  • the capacitance sensors 633 simultaneously monitor the stage height, to ensure that the height does not change during translation.
  • a reference flat 660 is rigidly attached to the reticle mounting system on the reticle stage 625 and is located in approximately the same horizontal plane as the reticle surface.
  • the reference flat surface 660 height is measured by height sensors 633 - 1 and 633 - 2 , which may be capacitance sensors.
  • the reticle stage 625 is moved to position a, and the reticle stage height is adjusted to a standard value equal to that of the focal plane at the reticle 650 , based on measurements by height sensors 633 - 1 and 633 - 2 .
  • the signals from the interferometers 610 are also recorded.
  • the signals from the height sensors and the interferometers go to a reticle height measuring system and to the reticle stage controller.
  • the stage is then moved to position b, using signals from height sensors 633 - 2 sent to the reticle stage controller to maintain the reticle stage 625 at a constant height.
  • the reticle surface height is determined using height sensors 633 - 1 and the differences between the reticle height and the reference flats 660 determined.
  • the reticle stage 625 is then moved to position c, using signals from height sensors 633 - 2 to maintain the reticle stage 625 at a constant height.
  • the interferometers 610 measure the height of the reference flat. Then the reticle stage height is adjusted, so that the periodicity of the interferometer signal is recorded. This allows the total constant term in Eq. 2 to be determined, as well as the amplitude of the sinusoidal term, as illustrated in FIG.
  • the amplitude Er is constant and may be measured offline. Since the interferometer will only be used to measure height changes, the phase ⁇ r may be set equal to zero.
  • the other quantities in Eq. 8 are known from reticle inspection data.
  • the constant terms in the interferometer signal defined in Eq. 2 will vary with the reticle pattern, as will the sinusoidal terms.
  • the variation can be predicted from the known reflectance of the absorber and multilayers and the relative amount of absorber and exposed multilayer surfaces in the reticle pattern illuminated by the interferometer, which has been predetermined.
  • the response of the interferometer detectors will depend somewhat on the basic pattern properties.
  • the detector response is calibrated by the targets identified as 605 - 1 to 605 - 4 in FIG. 6A . However more or fewer targets 605 may be used.
  • the target heights have been pre-measured and, the reticle stage height is maintained constant, using signals from height sensors 633 - 2 , while the interferometers 610 illuminate the targets. In position d the interferometer beams 622 are shown measuring the height of target 605 - 4 .
  • the reticle stage 625 is then returned to position a, and the reticle is adjusted to the height of the focal plane.
  • FIG. 6A separate reference flats 660 are shown, one for each interferometer beam 622 .
  • a single large reference flat may be used for all the interferometers.
  • the reference flat is ideally perfectly flat, but small deviations in height may be mapped offline.
  • the height sensors may then be corrected for the height variations, since the position of the flat relative to the sensors is known from the reticle stage position metrology system.
  • a height sensor 633 - 2 is shown for each interferometer beam. However the number of height sensors 633 - 2 and location may vary depending on the reticle stage servo and metrology systems.
  • the above calibration is based on specific values of the reflectivity of the absorber layer and multilayer and their associated phases. As the reticle stage moves the reticle relative to the interferometer beams, these values will change as the reticle pattern changes. Thus the calibration will change.
  • the main changes are likely to be the reflectivities, which we have defined as the product of the reflection from one of the surfaces, multiplied by the fraction of the area of that surface illuminated by the interferometer beam.
  • the phases, being associated with the material properties of the surfaces are unlikely to change to any significant extent. Since the properties of the reticle must be well known both from its initial pattern design and from inspection of the fabricated reticle, the changes in calibration can be calculated, as described earlier.
  • I 0 ( I ( t )+ I 180 ( t ))/2
  • I 1 1 ⁇ 2[( I ( t ) ⁇ I 180 ( t )) 2 +(2 I 90 ( t ) ⁇ I ( t ) ⁇ I 180 ( t )) 2 ] 1/2
  • 4 ⁇ z / ⁇ + ⁇ arctan((2 I 90 ( t ) ⁇ I ( t ) ⁇ I 180 ( t ))/( I ( t ) ⁇ I 180 ( t ))).
  • a transducer 1720 such as a piezoelectric transducer moves the reference mirror 1725 by an amount equal to a round trip phase change of ⁇ /2 or ⁇ , under the control of the reticle height measuring system 1730 .
  • the stage is moving during this calibration procedure, so the reticle pattern illuminated by the interferometer is changing.
  • changes to I 0 and I 1 are likely to be small if the mirror transducer and measurement times are short, or if the absorber and multilayer reflectivities change slowly with reticle position.
  • the residual error can be removed by introducing a rotating transparent plate 1705 with parallel faces in the beam from the interferometer.
  • the plate 1705 moves the beam 1701 to follow a fixed position on the reticle 1715 during the calibration. Plate 1705 rotates back between measurements. Since fabrication specifications require the reticle 1715 to be nearly flat, continuous monitoring of the reticle height is unnecessary, and periodic measurement of the reticle height should be acceptable.
  • FIG. 18 An alternative method of changing the reference phase, shown in FIG. 18 , allows continuous monitoring of the reticle height if desired.
  • the interferometer beam 1801 containing both horizontal component 1805 and vertical component 1810 of polarization, is incident on a polarizing beam splitter (PBS) 1815 .
  • the PBS 1815 separates the two polarization components, with the horizontal polarization component 1805 going to the reticle 1820 and the vertical component 1810 going to the reference mirror 1825 . Both components pass twice through quarter wave plates 1830 and 1835 , which rotate the polarizations by 90 degrees. This allows both components to emerge from the PBS 1815 at point A.
  • a partial beam splitter B splits off 1 ⁇ 3 of the beam intensity, the two polarization components 1805 and 1810 are combined by means of a polarizer 1890 oriented at 45 degrees, and the now interfering beams enter the detector 1895 . This produces signal I(t).
  • the signal passing through beam splitter B is divided into equal intensities by beam splitter C.
  • the component reflected by beam splitter C passes through a half wave plate 1850 , which is oriented so that its ordinary ray axis 1854 is parallel to the polarization of the reference beam 1810 , and its extraordinary axis 1855 is parallel to the polarization of the measurement beam 1805 . This introduces an additional phase of ⁇ into the reference beam 1810 relative to that of the measurement beam 1805 .
  • the two beams are then combined using a polarizer 1840 as above, and the interfering beams enter the detector 1845 .
  • the final component of the interferometer beams passes through the beam splitter C and then a quarter wave plate 1870 , which is oriented so that its ordinary ray axis 1875 is parallel to the polarization of the reference beam 1810 , and its extraordinary axis 1880 is parallel to the polarization of the measurement beam 1805 .
  • the two beams are then combined with a polarizer 1860 as above and the interfering beams enter the detector 1865 .
  • the three signals needed for the solution of Eqs. 12, 13 and 14 can be supplied simultaneously.
  • a spatial filter to eliminate or reduce contributions to the measurement beam from diffraction and scattering at the reticle.
  • calibration of the AF interferometer 1905 utilizes the imaging of the EUV light 1910 by the projection optics 1915 . This is illustrated in FIG. 19 .
  • a special pattern on the reticle 1920 is illuminated with EUV light 1910 from the illumination optics.
  • the image of the pattern is detected by an areal image monitor (AIM) 1925 , which is mounted on the wafer stage 1930 .
  • the areal image monitor 1925 measures the quality of the image in a specific horizontal plane defined by an aperture in the monitor. Thus the monitor can determine the plane of best focus of the optics for a given reticle height.
  • the wafer height is measured by the wafer AF system 1940 and compared with the height of the AIM 1925 , which has also been measured by the wafer AF system 1940 . The wafer height is then adjusted to bring it to the plane of best focus.
  • the AIM 1925 is set to a predetermined height and illuminated with the image from the reticle alignment mark.
  • the reticle height is then adjusted with the reticle stage until a best focus condition is recorded by the AIM 1925 .
  • the reticle AF interferometer signal is measured, and the absolute interferometer position has been calibrated. Moving the reticle 1920 up and down from that position allows the AF interferometer periodicity and amplitude to be measured as well.
  • ⁇ 1 ⁇ 2
  • 6 ⁇ 2
  • can easily be chosen large enough that ambiguities associated with the periodicity of the interferometer signal can be eliminated, thereby simplifying the calibration.
  • Such interferometers are described in P. de Groot, Optical Engineering 40, 28 (2001), which is hereby incorporated by reference for all purposes.
  • Another version of a two wavelength interferometer utilizes two nearby wavelengths from the HeNe laser which are circularly polarized in opposite directions. One beam serves as the measurement beam and the other as the reference beam. The resulting interferometric signal oscillates at the beat frequency associated with the two wavelengths, c/ ⁇ 2 ⁇ c/ ⁇ 1 , where c is the speed of light.
  • the advantage of this type of interferometer is that the signal is insensitive to variations in the beam intensity associated with low frequency laser noise.
  • Such heterodyne interferometers are commercially available from Zygo Corp.
  • a typical exposure apparatus 700 includes a mounting base 702 , a support frame 704 , a base frame 706 , a measurement system 708 , a control system (not shown), an illumination system 710 , an optical frame 712 , an optical device 714 , a reticle stage 716 for retaining a reticle 718 , an upper enclosure 720 surrounding reticle stage 716 , a wafer stage 722 for retaining a semiconductor wafer workpiece 724 , and a lower enclosure 726 surrounding wafer stage 722 .
  • Support frame 704 typically supports base frame 706 above mounting base 702 through a base vibration isolation system 728 .
  • Base frame 706 supports, through an optical vibration isolation system 730 , optical frame 712 , measurement system 708 , reticle stage 716 , upper enclosure 720 , optical device 714 , wafer stage 722 , and lower enclosure 726 above base frame 706 .
  • Optical frame 712 supports optical device 714 and reticle stage 716 above base frame 706 through optical vibration isolation system 730 .
  • Vibration isolation systems 728 and 730 are designed to damp and isolate vibrations between components of exposure apparatus 700 .
  • Measurement system 708 monitors the positions of stages 716 and 722 relative to a reference such as optical device 714 and outputs position data to the control system.
  • Optical device 714 typically includes a lens assembly that projects and/or focuses the light or beam from an illumination system 710 that passes through reticle 718 .
  • Reticle stage 716 is attached to one or more movers (not shown) directed by the control system to precisely position reticle 718 relative to optical device 714 .
  • wafer stage 722 includes one or more movers (not shown) to precisely position the wafer workpiece 724 relative to optical device (lens assembly) 714 .
  • One or more seals 10 are placed between base frame 706 (the upper enclosure 720 ) and the lens assembly 714 .
  • the described sealing arrangement provides a good seal for the enclosure 720 , yet helps prevent the transmission of vibrations between the enclosure and the lens assembly 714 .
  • exposure apparatus 700 can be used as a scanning type photolithography system that exposes the pattern from reticle 718 onto wafer 724 with reticle 718 and wafer 724 moving synchronously.
  • reticle 718 is moved perpendicular to an optical axis of lens assembly 714 by reticle stage 716 and wafer 724 is moved perpendicular to an optical axis of lens assembly 714 by wafer stage 722 .
  • exposure apparatus 700 can be a step-and-repeat type photolithography system that exposes reticle 718 while reticle 718 and wafer 724 are stationary.
  • wafer 724 is in a constant position relative to reticle 718 and lens assembly 714 during the exposure of an individual field.
  • wafer 724 is consecutively moved by wafer stage 722 perpendicular to the optical axis of lens assembly 714 so that the next field of semiconductor wafer 724 is brought into position relative to lens assembly 714 and reticle 718 for exposure.
  • the images on reticle 718 are sequentially exposed onto the fields of wafer 724 so that the next field of semiconductor wafer 724 is brought into position relative to lens assembly 714 and reticle 718 .
  • Exposure apparatus 700 is not limited to a photolithography system for a semiconductor manufacturing.
  • Exposure apparatus 700 can be used as an LCD photolithography system that exposes a liquid crystal display device pattern onto a rectangular glass plate or a photolithography system for manufacturing a thin film magnetic head.
  • the present invention can also be applied to a proximity photolithography system that exposes a mask pattern by closely locating a mask and a substrate without the use of a lens assembly.
  • the present invention provided herein can be used in other devices, including other semiconductor processing equipment, machine tools, metal cutting machines, and inspection machines.
  • the illumination source (of illumination system 710 ) can be, for example, a g-line (436 nm), an i-line (365 nm), a KrF excimer laser (248 nm), an ArF excimer laser (193 nm) or an F 2 laser (157 nm).
  • the illumination source can also use charged particle beams such as an x-ray beam or an electron beam.
  • charged particle beams such as an x-ray beam or an electron beam.
  • thermionic emission type lanthanum hexaboride (LaB 6 ,) or tantalum (Ta) can be used as an electron gun.
  • the structure could be such that either a mask is used or a pattern can be directly formed on a substrate without the use of a mask.
  • lens assembly 714 is preferably composed of materials such as quartz and fluorite that transmit far ultra-violet rays.
  • lens assembly 714 should preferably be either catadioptric or refractive (a reticle should also preferably be a reflective type), and when an electron beam is used, electron optics should preferably comprise electron lenses and deflectors. The optical path for the electron beams should be in a vacuum.
  • the catadioptric type optical system can be considered.
  • the catadioptric type of optical system include the disclosure Japan Patent Application Disclosure No. 8-171054 published in the Official Gazette for Laid-Open Patent Applications and its counterpart U.S. Pat. No. 5,668,672, as well as Japan Patent Application Disclosure No. 10-20195 and its counterpart U.S. Pat. No. 5,835,275.
  • the reflecting optical device can be a catadioptric optical system incorporating a beam splitter and concave mirror.
  • linear motors see U.S. Pat. No. 5,623,853 or 5,528,118
  • the linear motors can be either an air levitation type employing air bearings or a magnetic levitation type using Lorentz force or reactance force.
  • the stage could move along a guide, or it could be a guideless type stage which uses no guide.
  • the disclosures in U.S. Pat. Nos. 5,623,853 and 5,528,118 are incorporated herein by reference.
  • one of the stages could be driven by a planar motor, which drives the stage by electromagnetic force generated by a magnet unit having two-dimensionally arranged magnets and an armature coil unit having two-dimensionally arranged coils in facing positions.
  • a planar motor which drives the stage by electromagnetic force generated by a magnet unit having two-dimensionally arranged magnets and an armature coil unit having two-dimensionally arranged coils in facing positions.
  • either one of the magnet unit or the armature coil unit is connected to the stage and the other unit is mounted on the moving plane side of the stage.
  • reaction forces generated by the wafer (substrate) stage motion can be mechanically released to the floor (ground) by use of a frame member as described in U.S. Pat. No. 5,528,118 and published Japanese Patent Application Disclosure No. 8-166475. Additionally, reaction forces generated by the reticle (mask) stage motion can be mechanically released to the floor (ground) by use of a frame member as described in U.S. Pat. No. 5,874,820 and published Japanese Patent Application Disclosure No. 8-330224. The disclosures in U.S. Pat. Nos. 5,528,118 and 5,874,820 and Japanese Patent Application Disclosure No. 8-330224 are incorporated herein by reference.
  • a photolithography system can be built by assembling various subsystems, including each element listed in the appended claims, in such a manner that prescribed mechanical accuracy, electrical accuracy and optical accuracy are maintained.
  • every optical system is adjusted to achieve its optical accuracy.
  • every mechanical system and every electrical system are adjusted to achieve their respective mechanical and electrical accuracies.
  • the process of assembling each subsystem into a photolithography system includes mechanical interfaces, electrical circuit wiring connections and air pressure plumbing connections between each subsystem. Needless to say, there is also a process where each subsystem is assembled prior to assembling a photolithography system from the various subsystems. Once a photolithography system is assembled using the various subsystems, total adjustment is performed to make sure that every accuracy is maintained in the complete photolithography system. Additionally, it is desirable to manufacture an exposure system in a clean room where the temperature and humidity are controlled.
  • FIG. 8 shows the general structure of an immersion lithography apparatus 100 which may incorporate one or more of the AF systems of this invention.
  • the immersion lithography apparatus 800 comprises an illuminator optical unit 801 including a light source such as a KrF excimer laser unit, an optical integrator (or homogenizer) and a lens and serving to emit pulsed ultraviolet light IL with wavelength 248 nm to be made incidence to a pattern on a reticle R.
  • the pattern on the reticle R is projected on a wafer W coated with a photoresist at a specified magnification (such as 1 ⁇ 4 or 1 ⁇ 5) through a telecentric light projection unit PL.
  • a specified magnification such as 1 ⁇ 4 or 1 ⁇ 5
  • the pulsed light IL may alternatively be ArF excimer laser light with wavelength 193 nm, F 2 laser light with wavelength 157 nm or the i-line of a mercury lamp with wavelength 365 nm.
  • the coordinate system with X-, Y- and Z-axes as shown in FIG. 8 is referenced to explain the directions in describing the structure and functions of the lithography apparatus 800 .
  • the light projection unit PL is illustrated in FIG. 8 only by way of its last-stage optical element (such as a lens) 804 disposed opposite to the wafer W and a cylindrical housing 803 containing all of its other components.
  • the reticle R is supported on a reticle stage RST incorporating a mechanism for moving the reticle R by some amount in the X-direction, the Y-direction and the rotary direction around the Z-axis.
  • the two-dimensional position and orientation of the reticle R on the reticle stage RST are detected by a laser interferometer (not shown) in real time and the positioning of the reticle R is effected by a main control unit 814 on the basis of the detection thus made.
  • the wafer W is set on a wafer holder (not shown) on a Z-stage 809 for controlling the focusing position (along the Z-axis) and the sloping angle of the wafer W.
  • the Z-stage 809 is affixed to an XY-stage 810 adapted to move in the XY-plane substantially parallel to the image-forming surface of the light projection unit PL.
  • the XY-stage 810 is set on a base 811 .
  • the Z-stage 809 serves to match the wafer surface with the image surface of the light projection unit PL by adjusting the focusing position (along the Z-axis) and the sloping angle of the wafer W by the auto-focusing and auto-leveling method
  • the XY-stage 810 serves to adjust the position of the wafer W in the X-direction and the Y-direction.
  • the two-dimensional position and orientation of the Z-stage 809 are monitored in real time by another laser interferometer 813 with reference to a mobile mirror 812 affixed to the Z-stage 809 .
  • Control data based on the results of this monitoring are transmitted from the main control unit 814 to a stage-driving unit 815 adapted to control the motions of the Z-stage 809 and the XY-stage 810 according to the received control data.
  • the projection light is made to sequentially move from one to another of different exposure positions on the wafer W according to the pattern on the reticle R in a step-and-repeat routine.
  • the lithography apparatus 800 being described with reference to FIG. 8 is an immersion lithography apparatus and is hence adapted to have a liquid (or the “immersion liquid”) 807 of a specified kind such as water filling the space between the surface of the wafer W and the lower surface of the last-stage optical element 804 of the light projection unit PL at least while the pattern image of the reticle R is being copied on the wafer W.
  • a liquid or the “immersion liquid” 807 of a specified kind such as water filling the space between the surface of the wafer W and the lower surface of the last-stage optical element 804 of the light projection unit PL at least while the pattern image of the reticle R is being copied on the wafer W.
  • the last-stage optical element 804 of the light projection unit PL is affixed to the cylindrical housing 803 .
  • the last-stage optical element 804 may be made removable for cleaning or maintenance.
  • the liquid 807 is supplied from a liquid supply unit 805 that may comprise a tank, a pressure pump and a temperature regulator (not individually shown) to the space above the wafer W under a temperature-regulated condition and is collected by a liquid recovery unit 806 .
  • the temperature of the liquid 807 is regulated to be approximately the same as the temperature inside the chamber in which the lithography apparatus 800 itself is disposed.
  • Numeral 821 indicates source nozzles through which the liquid 807 is supplied from the supply unit 805 .
  • Numeral 823 indicates recovery nozzles through which the liquid 807 is collected into the recovery unit 806 .
  • the structure described above with reference to FIG. 8 is not intended to limit the scope of the immersion lithography apparatus to which the methods and devices of the present invention are applicable.
  • autofocus units of the present invention may be incorporated into immersion lithography apparatus of many different kinds.
  • the numbers and arrangements of the source and recovery nozzles 821 and 823 around the light projection unit PL may be designed in a variety of ways for establishing a smooth flow and quick recovery of the immersion liquid 807 .
  • the distance between the last optical element 804 and the wafer photoresist surface must be controlled very precisely in order that the image projected onto the wafer remains in focus. Accordingly an autofocus system must measure the wafer height as close to the image as possible.
  • a conventional glancing angle AF system can measure height at the image location. However the beam must traverse a considerable amount of fluid, and any variation in fluid dimensions or temperature can seriously affect the accuracy.
  • An autofocus system according to the present invention can be placed in proximity to the last optical element 804 or may project its measurement beam through a peripheral region of the last optical element 804 itself. Thus it may measure the height of the wafer close to the image location. If several autofocus systems surround the image region, the height of the wafer at the image location may be inferred by interpolating the several heights measured by the autofocus systems.
  • the autofocus interferometer beam enters the fluid region through a peripheral region of the last optical element 804 or through an optical surface fixed in relation to the last optical element 804 and approximately normal to the surface of the wafer.
  • the distance traveled through the fluid 807 is accordingly minimal, and thus effects of fluid motion and changes to the index of refraction of the fluid 807 from temperature variations are minimized.
  • the glancing angle autofocus system of the prior art can be seriously affected by such effects. Determination of the photoresist surface is effected in a manner similar to that described above with regard to FIG. 3 .
  • the presence of the fluid will reduce the amount of reflection from the photoresist, leading to a reduction in the reflected signal level. This reduction may place more reliance on the corrections provided by a wafer reflectivity map and the use of Eqs. 5, 6, and 7 above.
  • FIG. 9 is referenced next to describe a process for fabricating a semiconductor device by using an immersion lithography apparatus incorporating a liquid jet and recovery system embodying this invention.
  • step 901 the device's function and performance characteristics are designed.
  • step 902 a mask (reticle) having a pattern is designed according to the previous designing step, and in a parallel step 903 , a wafer is made from a silicon material.
  • the mask pattern designed in step 902 is exposed onto the wafer from step 903 in step 904 by a photolithography system such as the systems described above.
  • the semiconductor device is assembled (including the dicing process, bonding process and packaging process).
  • the device is inspected in step 906 .
  • FIG. 10 illustrates a detailed flowchart example of the above-mentioned step 1004 in the case of fabricating semiconductor devices.
  • step 1011 oxidation step
  • step 1012 CVD step
  • step 1013 electrode formation step
  • step 1014 ion implantation step
  • ions are implanted in the wafer.
  • the aforementioned steps 1011 - 1014 form the preprocessing steps for wafers during wafer processing, and selection is made at each step according to processing requirements.
  • step 1015 photoresist formation step
  • step 1016 exposure step
  • step 1017 developing step
  • step 1018 etching step
  • step 1019 photoresist removal step

Abstract

Improved autofocusing (“AF”) methods and devices for lithography are provided. Some embodiments of the invention provide an AF system that includes one or more interferometers for measuring a distance to a wafer surface or a reticle surface. The invention includes methods and devices for calibrating the interferometer(s) according to known distances to a target. According to some embodiments of the invention, a spatial filter reduces the amount of undesired signal coming from the wafer or reticle surface. In some such embodiments, higher orders of diffracted light from the wafer or reticle multilayer surfaces are eliminated with a pinhole filter oriented to reject light that is not vertically directed. Other embodiments include a spatial filtering system that passes a selected diffraction order, e.g., the first order of diffracted light, from the target.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 11/064,169, filed on Feb. 22, 2005 and entitled “Autofocus Methods and Devices for Lithography” (attorney docket no. NIKOP066/PAO 656), which is hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • This invention relates to autofocus devices and methods for use in lithography.
  • Currently, at the sites which manufacture semiconductor devices, circuit devices are mass-produced by using reduction projection exposure devices, using the i line from mercury lamps that has a wavelength of 365 nm as an illumination light. At the same time, the introduction of exposure devices of the next generation, having minimum line widths of 0.25 μm, has begun.
  • A scanning exposure apparatus based on the step-and-scan method is being developed. This apparatus uses an ultraviolet pulse laser beam with a wavelength of 248 nm from a KrF excimer laser source or an ultraviolet pulse laser beam with a wavelength of 193 nm from an ArF excimer laser source as an illumination light. A scanning exposure apparatus then linearly scans a mask or a reticle (to be generically referred to as a “reticle” hereinafter) on which a circuit pattern is drawn and a wafer serving as a photosensitive substrate, relatively to the projection field of a reduction projection optical system. This allows the transfer of the entire pattern within a shot area on the wafer by repeating the inter-shot stepping operation and the scanning exposure operation.
  • It seems apparent that the integration degree of semiconductor devices will further increase, requiring increased resolution of the scanning exposure apparatus. In order to increase this resolution, it is extremely effective to decrease the wavelength λ. For this reason, an EUV exposure apparatus using light in the soft X-ray region of 5 to 15 nm in wavelength (“EUV (Extreme Ultraviolet) light”) as the exposure light has been developed.
  • In semiconductor lithography systems in use today, automatic focusing and leveling (AF/AL) is typically accomplished by passing a low angle of incidence (“glancing angle”) optical beam onto the surface of a silicon wafer and detecting its position after subsequent reflection from the wafer surface. The wafer height is determined by optical and electrical processing of the reflected light beam. This beam passes under the last element of the projection lens. The source and receiver optics are typically mounted to a stable part of the system, close to the projection optics mounting position. Signals from the AF/AL unit go to the wafer stage controller which adjusts the wafer height and leveling appropriately, so the wafer lies in the focal plane of the projection optics.
  • In an EUV exposure apparatus, a reflection type reticle is required, due to the high absorption of EUV light by all materials. This reflection type reticle is obliquely irradiated with illumination light and light reflected by the reticle surface is projected on a wafer through a reflective projection optical system. As a consequence, a pattern, which is irradiated with the illumination light in an illumination area on the reticle, is transferred onto the wafer.
  • For this reason, the projection optical system becomes non-telecentric on the reticle side. As a consequence, the displacement of the reticle along the optical axis appears on the wafer as a magnification change in the longitudinal direction of a ring-shaped exposure area (an area on the wafer which corresponds to the above ring-shaped illumination area on the reticle), and as a positional change in the transversal direction.
  • Non-telecentric projection optical systems are very sensitive to reticle displacement. When, for example, the reticle is displaced by 1 μm in the vertical direction (Z direction), while illuminated by radiation incident at an oblique angle of 100 mrad, an image shift of 25 nm (assuming a 4× reduction optical system), occurs on the wafer. The allowable overlay error in the semiconductor process of a device rule of 100 nm L/S is said to be 30 nm or less. Therefore, an overlay error as large as 25 nm caused by a displacement of a reticle in the Z direction alone poses a serious problem. This is because overlay errors of about 10 nm can be caused by other factors, e.g., alignment accuracy of a reticle and wafer, wafer stage alignment accuracy including stepping accuracy, or the distortion of the projection optical system.
  • Conventional glancing angle AF devices are large and may not have the accuracy required for future applications. For application to EUV reticle height sensing, the glancing angle of such AF devices may cause interference between the AF beams and proximity illumination blinds or other structures. Also, their accuracy may be inadequate for the <50 nm height tolerance of the EUV reticle.
  • Conventional glancing angle AF devices also pose problems for immersion lithography systems. In immersion lithography, a liquid such as water fills the space between the last surface of the projection lens and the wafer. At the edge of the water, typically at the edge of the lens or supported structure near the edge of the lens, the liquid-air boundary may not be well defined and may be changing rapidly. Temperature gradients in the water also cause problems for the AF. Transmitting an AF/AL beam through this interface using prior art AF systems causes substantial disruption and subsequent loss of signal, and hence performance.
  • It is therefore a general object of this invention to provide improved AF systems and methods for lithography.
  • SUMMARY OF THE INVENTION
  • The present invention provides improved AF methods and devices for lithography. Some embodiments of the invention provide an AF system that includes one or more interferometers for measuring a distance to a target such as a wafer surface or a reticle surface. The invention includes methods and devices for calibrating the interferometer(s) according to known distances to a target. According to some embodiments of the invention, a spatial filter reduces the amount of undesired signal coming from the wafer or reticle surface. In some such embodiments, higher orders of diffracted light from the wafer or reticle multilayer surfaces are eliminated with a pinhole filter oriented to reject light that is not vertically directed. Other embodiments include a spatial filtering system that passes a selected diffraction order, e.g., the first order of diffracted light, from the target. These spatial filters reduce variations in the signal and make further signal processing easier. Employing polarized light and polarization filters also serves to reduce background signal. By including a beam expander, the interferometer beam can sample a larger or smaller area on the wafer.
  • Some embodiments of the invention provide an autofocusing device for a lithography system. The autofocusing device includes the following elements: a light source; an interferometer system configured to determine a distance to a target based on first light received from the target; and a spatial filter system for passing selected components of the first light to an interferometer of the interferometer system. The interferometer system may include a plurality of interferometers and may be configured to determine the distance to the target based on a comparison of the first light with second light reflected from a reference mirror. The autofocusing device may include a polarizing filter for passing a selected polarization angle of the first light.
  • The spatial filter system may include a plurality of spatial filters. For example, the spatial filter system may include a first spatial filter for passing a specularly reflected component of the first light and a second spatial filter for passing a selected diffraction order of the first light. In some implementations, the spatial filter system includes a third spatial filter for passing both the specularly reflected component of the first light and the selected diffraction order of the first light.
  • The autofocusing device may also include a calibration device for determining an absolute distance to a target and/or for calibrating the autofocusing device for expected optical properties of the target. The target may be, for example, a surface of a wafer or a surface of a reticle. The expected optical properties may include an expected reflectivity of a target surface and/or an expected pattern on a target surface. The autofocusing device may include a device for moving the target in accordance with a determined distance. The autofocusing device may be configured to cause at least a portion of the first light to illuminate the target more than once e.g., by incorporating a beam splitter and a quarter wave plate.
  • Other embodiments of the invention provide a lithography system that includes the following components: an illumination source; an optical system; a reticle stage arranged to retain a reticle; a working stage arranged to retain a workpiece; an enclosure that surrounds at least a portion of the working stage, the enclosure having a sealing is surface; and autofocusing device. The autofocusing device includes these elements: a light source; an interferometer system configured to determine a distance to a target based on first light received from the target; and a spatial filter system for passing selected components of the first light to an interferometer of the interferometer system. The optical system may be a telecentric optical system or a non-telecentric optical system. The lithography system may include one or more devices for disposing a fluid between the optical system and the workpiece.
  • Some embodiments of the invention provide an object, such as a wafer, an integrated circuit, etc., manufactured with a lithography system as described herein. One such embodiment provides a wafer on which an image has been formed by the lithography system.
  • Some implementations of the invention provide a method for making an object using a lithography process, the lithography process that utilizes a lithography system as described herein. Some aspects of the invention provide a method for patterning a wafer using a lithography process, wherein the lithography process utilizes a lithography system as described herein.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention may best be understood by reference to the following description taken in conjunction with the accompanying drawings.
  • FIG. 1 illustrates the general structure of a non-telecentric projection exposure apparatus having a glancing angle AF device.
  • FIG. 2 illustrates one embodiment of an AF device according to the present invention.
  • FIG. 3 illustrates an alternative embodiment of an AF device according to the present invention.
  • FIG. 4 illustrates another embodiment of an AF device according to the present invention.
  • FIG. 5 illustrates still another embodiment of an AF device according to the present invention.
  • FIG. 6A illustrates an AF device having a calibration system according to the present invention.
  • FIG. 6B illustrates the calibration procedure of the AF device shown in FIG. 6A.
  • FIG. 6C illustrates the interferometer signal properties obtained during the calibration process.
  • FIG. 7 illustrates a telecentric projection exposure apparatus that can incorporate AF devices and methods of the present invention.
  • FIG. 8 illustrates an immersion lithography system that can incorporate AF devices and methods of the present invention.
  • FIG. 9 is a flow chart that outlines some methods according to the present invention.
  • FIG. 10 is a flow chart that outlines alternative methods according to the present invention.
  • FIG. 11 illustrates the basic components of an interferometer used with the present invention.
  • FIG. 12 illustrates some typical interferometer signals expected according to the present invention.
  • FIG. 13 a shows a phasor diagram relating contributions to the interferometer signal by reflections from the reticle absorber and multilayer according to the present invention.
  • FIG. 13 b shows a similar phasor relation to that of FIG. 12 a.
  • FIG. 13 c is a phasor relation describing the maximum phase errors between the total interferometer signal and the contribution from the absorber.
  • FIG. 14 shows the maximum error in reticle height associated with the total interferometer signal as a function of the relative magnitude of the signals from the absorber and multilayer according to the present invention.
  • FIG. 15 shows a phasor diagram which relates the phases of the multilayer signal to that of the total interferometer signal.
  • FIG. 16 relates the error in reticle multilayer height to uncertainties in the reflectivity or reflection phase at the absorber and multilayer surfaces according to the present invention.
  • FIG. 17 illustrates an embodiment of the present invention, including a calibration system.
  • FIG. 18 illustrates an embodiment of the present invention, including another embodiment of a calibration system.
  • FIG. 19 illustrates an AF device having another calibration system according to the present invention.
  • DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS
  • In this application, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be obvious, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps have not been described in detail in order not to obscure the present invention.
  • The invention includes various AF devices and methods for reducing the amount of undesired signal coming from a wafer or reticle surface. A significant fraction of light reaching the wafer or reticle surface will be diffracted by the patterned surface. First-order diffracted light and higher orders of diffracted light from such a surface will not be oriented vertically, but instead will emerge at angles to normal incidence. According to some embodiments of the invention, first-order diffracted light and higher orders of diffracted light are eliminated with a pinhole spatial filter. The filter prevents light that is not vertically directed from re-entering an interferometer used to determine a distance to a target. The filter also prevents much of the light diffusely scattered from the reticle from reaching the interferometer.
  • Some AF devices of the invention incorporate a polarizer to attenuate scattered and diffracted light. Some AF devices according to the invention incorporate a beam expander for sampling a larger area on the target. Sampling a larger area reduces variations in the diffracted light signal and therefore makes signal processing easier.
  • Other embodiments of the invention include filters for passing a selected order of diffracted light. For example, the first-order diffracted light from a target may be selectively passed to an interferometer of the AF device.
  • Yet other embodiments of the invention provide methods and devices for calibrating an AF device. Known thicknesses of material are used to provide an absolute distance to a target, from which an interferometer (or interferometer system) may calculate relative differences in distance to another target. Moreover, known pattern types and/or reflectivities of the reticle or wafer may be used to calibrate the AF device.
  • Other embodiments of the invention provide means for measuring the variation in AF signal properties as a function of reticle or wafer pattern properties.
  • The present invention encompasses numerous AF devices and methods for use in various types of lithography systems. One such lithography system is a non-telecentric EUV lithography system. FIG. 1 shows the general structure of a EUV projection exposure apparatus having a glancing angle AF device. Exposure apparatus 10 is a projection exposure apparatus designed to perform exposure operations by the step-and-scan method using EUV light as the exposure illumination light EL. A projection optical system PO is used for projecting the reflected light beam from a reticle R as a mask onto a wafer W. The projecting direction, therefore, of the illumination light EL projected from the projection optical system PO onto the wafer W, will hereinafter be referred to as the optical axis direction of the projection optical system PO. The coordinate axes are shown in FIG. 1. The Y-axis direction is the lateral direction within the drawing surface of FIG. 1 in a plane perpendicular to the Z-axis direction and the X-axis direction is a direction perpendicular to the drawing surface.
  • The exposure apparatus 10 projects through the projection optical system PO, an image of a part of the circuit pattern drawn on the reflection type reticle R serving as a mask onto a wafer W serving as a substrate, while relatively scanning the reticle R and the wafer W in a linear direction (Y-axis direction in this case) in respect to the projection optical system PO. The entire circuit pattern of the reticle R is thus transferred respectively onto a plurality of shot areas on the wafer W by the step-and-scan method.
  • The exposure apparatus 10 includes a light source unit 12 for horizontally emitting EUV light EL along the Y direction, a deflection mirror M (part of an illumination optical system) for reflecting the EUV light EL from the light source unit 12 and bending its optical path to make the light incident on the pattern surface (lower surface in FIG. 1) of the reticle R at a predetermined incident angle theta (theta is about 50 mrad in this case), a reticle stage RST serving as a mask stage for holding the reticle R, the projection optical system PO made up of a reflection optical system which irradiates the EUV light EL reflected on the pattern surface of the reticle R in a direction perpendicular to the exposing surface of the wafer W, a wafer stage WST serving as a substrate stage for holding the wafer W, focus sensors (14 a and 14 b) and an alignment optical system ALG.
  • As noted above, the glancing angle AF system shown in FIG. 1 has various drawbacks. FIG. 2 illustrates one exemplary AF device according to the present invention that is particularly suitable for non-telecentric lithography systems such as the EUV lithography system depicted in FIG. 1.
  • On the surface (pattern surface) of the reticle 205, a reflecting film for reflecting EUV light is formed. This reflecting film is a multilayer film 210 formed by alternately depositing layers consisting of two different materials. In this example, multilayer film 210 is made of molybdenum (Mo) and silicon (Si) and forms a reflecting film having a reflectance of about 70% with respect to EUV light having a wavelength of about 13 nm.
  • The reflecting film on reticle 205 is coated with absorber 215, made from a material that absorbs EUV light, and then patterning is performed. Since most materials do not reflect EUV light, many such materials can be used as the absorbing layer, e.g., chromium or tantalum silicide. The criteria for selection of the material for absorber 215 may include, for example, ease of patterning, adherence to the reflecting layer, the degree of age deterioration due to oxidation, maintaining a sufficient difference between the reflectance of multilayer 210 and absorber 215 at wavelengths used by mask inspection and metrology tools, etc.
  • In this embodiment, one or more laser interferometers 220 are used to measure the Z-direction position of the reticle 205. The interferometers are preferably rigidly mounted with respect to the projection optics, so that the reticle height can be directly related to the focal plane of the optics. In particular, this embodiment provides a measurement of the position of absorber 215 with respect to a known position of a reference mirror (not shown). If reflected light from the absorber layer can reliably be isolated according to the methods of the present invention, the relative position of absorber 215 may be determined according to interferometry techniques known by those of skill in the art. The discussion of interferometers in Jenkins and White, “Fundamentals of Optics,” (4th edition, 1976), particularly that on pages 271 through 284, as well as “Interferometry with Lasers”, by P. Hariharan in Progress in Optics, Vol. XXIV, 104 (1987) are hereby incorporated by reference for all purposes.
  • The position of multilayer 210, which is generally the position of interest, may then be determined by adding the thickness of the absorber layer 215. Accordingly, with respect to AF measurement beams 222 (in the visible range) from these laser interferometers, it is important to be able to distinguish between signals reflecting or refracting from absorber 215 and those from multilayer 210. The position of multilayer 210, which is generally the position of interest, may then be determined by adding the thickness of absorber 215. In some instances, absorber 215 is significantly more reflective for AF beam 222 than multilayer 210. In such instances, the reflected signal 225 is mainly coming from absorber 215 and the diffracted and scattered rays 230 are primarily coming from multilayer 210. AF device 200 includes spatial filter 235 for isolating reflected signal 225. As shown in FIG. 2, filter 235 stops scattered rays and higher-order diffracted rays 230 from re-entering interferometer 220.
  • Moreover, many of the features patterned on reticle 205 will be much smaller than the wavelength of light used for AF beam 222. For example, if AF beam 222 is from a HeNe laser, the wavelength is approximately 633 nm, whereas EUV systems are designed to have a minimum line width of 100 nm or less. Therefore, scattering and large angle diffraction should be significant. The polarization angles of such scattered and diffracted light will typically differ from that of reflected light. Therefore, optional polarizer 240 will also help to attenuate the scattered and diffracted light received from reticle 205 by polarizer 240. Accordingly, the reflected light from absorber 215 should be relatively easy to isolate in this example. Therefore, the distance to the absorber surface may readily be determined, and this distance may be adjusted if necessary.
  • The reflectivity of the absorber and multiplayer will vary with the wavelength of the interferometer light source. A proper choice of this wavelength may lead to an optimum contrast condition, where the reflectivity, or absorption, of the desired level is maximized with respect to the other one.
  • Extraction of the height of the surface of the reticle multilayer from the interferometer signal is described here. Several different kinds of interferometers may be employed. The following analysis is based on a Twyman-Green interferometer employing a single wavelength. FIG. 11 illustrates the basic components of the interferometer. A beam of light 1105 from laser 1110 is divided in amplitude by a beam splitter 1115 into two beams 1120 and 1125, which are at right angles to one another. Beam 1120 is reflected from a fixed reference mirror (Ref) and beam 1125 is reflected from the reticle surface 1135 (Meas). The interferometer combines the two light beams at a detector 1140. Often, a fraction of the beams is deflected to another detector (not shown) with an additional phase of π/2 added to either the measurement beam or the reference beam. This additional signal allows the direction of vertical motion of the reticle to be determined. Not shown are other embodiments of the invention, such as the spatial filter or the polarizer.
  • The total electric field of the combined beams at the detector at time t is given by
    E(t)=E Ref +E Meas =E Ref +E absorber +E Multilayer =Er cos(ωt+δr)+Eabs cos(ωt+δa+4π(z−d)/λ)+Eml cos(ωt+δml+4πz/λ),  (1)
    where reflected beams from the absorber and multilayer are distinguished. Because of the spatial filtering provided by the pinhole aperture, the two beams should be of sufficient optical quality to provide adequate interferometer signals. The amplitudes of the two beams are Eabs and Eml respectively, and the amplitude of the reference beam is Er. The source of light for the interferometer is a laser; λ is the wavelength and ω is the angular frequency of the laser light. The phase δr is fixed by the reference mirror location and the calibration of the z axis, the measurement axis, as is described below. The phases δa and δml represent the phase change of the light at reflection from the absorber surface and the multilayer respectively. The absorber layer is assumed to have thickness d, so the absorber interferometer beam path is shorter by 2 d, assuming the measurement to be made in vacuum, compared to the multilayer beam path.
  • Eq. 1 excludes a contribution from light diffracted from the edges of the patterns, the “0th order” of diffraction, which would propagate in the same direction as the reflected light. This is expected to be very small. In the Kirchhoff scalar diffraction theory, the diffraction amplitude is multiplied by an inclination factor (1+cos θ), where θ is the angle between the diffracted wave and the forward direction. This is described in Section 8.3.2 of M. Born and E. Wolf, Principles of Optics, 5th edition, 1975, which is hereby incorporated by reference for all purposes. In the backward direction θ=π, and the inclination factor is zero, so no 0th order light would be expected. In diffraction from structures smaller than the wavelength of the illuminating light, polarization effects become important, and a vector diffraction theory is required. It is not known if a contribution from 0th order diffraction is then possible.
  • The detector at the interferometer can't follow the very high instantaneous frequency of the laser light. Thus the detector output signal I will look like
    I=<|E(t)|2 >=Er 2 +Eabs 2 +Eml 2+2EabsEml cos(δa−δml−4π/λd)+2ErEabs cos(δr−δa−4π/λ(z−d))+2ErEml cos(δr−δml−4π/λz),  (2)
    where < > denotes a time average over the periodicity of the laser light. The first four terms are independent of z and will not directly affect the height determination. Eabs and Eml will vary somewhat as the reticle pattern illuminated by the laser beam varies, and the relative amount of exposed multilayer varies. We will examine that effect below. We will also deal with the constant representing the first four terms as well.
  • Subtracting off the constant terms gives a total z dependent interferometer signal
    Itotal(z)=2ErEabs cos(δr−δa−4π/λ(z−d))+2ErEml cos(δr−δml−4π/λz).  (3)
  • FIG. 12 gives an example of the interferometer signals as a function of z. A HeNe laser wavelength of 633 nm was assumed. In this example δr=δa=0, and δml=π. Note that the signals have a periodicity of λ/2=316.5 nm in z, because the total light path increases by 2z if the reticle is displaced a distance z. What is actually observed is the total signal. For these conditions, there is a substantial phase difference between the multilayer component and the total signal. The phase difference between the total signal and the absorber component is considerably smaller. For these conditions, determining the absorber height and adding the absorber thickness d to obtain the multilayer height would be more accurate than trying to determine the multilayer height directly. If the multilayer signal is small enough, the phase of the total signal will be close enough to the phase of the absorber signal that it can be used to determine z. Alternatively, if the absorber signal is much smaller than the multilayer signal, the height of the surface of the multilayer may be obtained directly from the interferometer signal.
  • The accuracy of this determination can be estimated as follows. FIG. 13 a is a phasor plot of the three terms in Eq. 2, and is equivalent to the information in FIG. 1. The vectors Eml and Eabs add vectorialy to produce Etotal, and the vectors rotate about the origin as z changes. FIG. 13 b presents the same information in an equivalent form. If the phase of the measured interferometer signal, associated with Etotal, is used to approximate the phase of the absorber signal, a phase error of θ occurs, as shown in FIG. 13 b. If we know nothing about the phase of Eml, the vector Eml could lie anywhere on the circle shown in FIG. 13 c. The maximum phase error between Etotal and Eabs, θmax, occurs when Eml is at either position a or b in the figure. The maximum error in height z of the absorber surface can then be shown to be equal to
    Δzmax=λ/4π arcsin(Eml/Eabs).  (4)
    The maximum error in z is plotted in FIG. 14 as a function of the ratio Eabs/Eml. Because of the periodicity of the interferometer signal, the maximum error shown is equal to λ/4=158.25 nm. This assumes that the height is known to within one half wavelength initially, an assumption based on the initial calibration process.
  • For a sufficiently large value of Eabs/Eml, the maximum error involved in equating Eabs to Etotal can be small. For Eabs/Eml>10, the error is less than about 10 nm, which may be acceptable for the present application. The height of the multilayer is then obtained by adding the thickness of the absorber layer d to the height determination. Alternatively, if the multilayer signal dominates the absorber layer signal, the multilayer height can be determined directly. For Eabs/Eml<0.1, the maximum height error of the multilayer is about 10 nm or less.
  • In between these values of the ratio Eabs/Eml, the error in z is larger, and a correction is needed. This is possible, because information about the reflection properties of the reticle is available. The reason for this is basically that a reticle requires extensive metrology and inspection to insure its quality. As a result, information about the reflectivity, the reflection phase changes δabs and δml, and the absorber thickness d exist, and with these the correct phasor relation shown in FIG. 13 b can be constructed. This is described below.
  • FIG. 15 shows the same phasor relationship as in FIG. 14. As the three vectors rotate around the origin, the angles θml and θt of the multilayer signal and the interferometer signal respectively, change continuously. The angle Θ between Eabs and Eml however remains constant:
    Θ=δml−δabs+4πd/λ.  (5)
  • The angle θml=δr−δml−4πz/λ, so if θml, δr and δml are known, the height z can be determined. The interferometer signal Et and its phase θt are measured directly. The absorber and multilayer signals must be inferred from the measured properties of the mask: the reflectivities of the absorber and multilayer, the reflection phase changes δabs and δml, and the absorber thickness d. The latter three quantities define the fixed angle Θ as shown in Eq. 5. The reflectivities define the ratio of the magnitudes of the absorber and multilayer signals.
  • From FIG. 15 an expression for the angle θml in terms of known quantities can be obtained from trigonometry: sin θ ml = - at sin Θ cos θ t 1 + a 2 + 2 a cos Θ ± [ ( at sin Θ cos θ t 1 + a 2 + 2 a cos Θ ) 2 - t 2 cos 2 θ t - ( 1 + a cos Θ ) 2 1 + a 2 + 2 a cos Θ ] 1 / 2 , ( 6 )
    where a≡Eabs/Eml and t≡Et/Eml; t can be shown to be equal to
    t=Et/Eml=[1+a 2+2a cos Θ)]1/2.  (7)
  • The phase θt increases steadily with z, but sin θml and cos θt are periodic, so care must be taken to correct for the periodicity, so that θml also increases with θt. The sign in front of the square root in Eq. 6 is chosen based on whether sin θt is in the first two quadrants (0−π) or the second two (π−2π), or more generally:
    sign=+ if 2nπ<θt≦(2n+1)π
    sign=− if (2n+1)π≦θt<2(n+1)π,
    for any integer n.
  • Eqs. 5, 6 and 7 allow the multilayer height to be determined in terms of the reflectivities of the absorber and multilayer, the reflection phase changes δabsl and δml, and the absorber thickness d. The reflectivity of the absorber is defined as the fraction of incident laser light reflected by the absorber and detected by the interferometer detector. The reflectivity of the multilayer is defined similarly. Thus the reflectivity will depend on the fraction of absorber or multilayer in the illuminated part of the reticle in addition to the intrinsic reflectance of the surface, i.e. it will be pattern dependent. It will also depend on the fraction of reflected light which is reflected back through the pinhole aperture, which will again be pattern dependent. These quantities can be determined using calibration of sample patterns, as well as making use of the pattern database which defines the reticle pattern.
  • Some residual error will remain however, for both the reflectivities and the reflection phase changes. Using Eqs. 5, 6 and 7, errors in z can be estimated from errors in the measured quantities. Results are shown in FIG. 16. Unless the absorber and multilayer signals are almost the same intensity, errors in amplitude of even 20% contribute errors of less than 10 nm to the height of the multilayer. Provided the absorber reflectivity is less than approximately 0.7 that of the multilayer, errors in the reflection phase change of as much as 20 degrees lead to multilayer height errors of less than 10 nm. If the absorber reflectivity exceeds that of the multilayer, then as mentioned above the height of the absorber can be determined and the multilayer height inferred from the known thickness of the absorber layer. In conclusion, the map of reticle reflection and reflection phase properties does not have to be very accurate in order to provide accurate values for the reticle height.
  • FIG. 3 illustrates AF system 300 for measuring a distance to a wafer surface. This embodiment may be used in non-telecentric lithography systems, such as the EUV lithography system described above with reference to FIG. 1. However, AF system 300 may be generally more useful for telecentric lithography systems such as those described below.
  • AF system 300 is designed to determine the position of the top surface of photoresist 310. Here, the reflected signal 330 is primarily coming from photoresist 310 and the diffracted and scattered rays 325 are primarily coming from wafer surface 315. In addition the reflected light signal and the reflection phase from the photoresist surface should be essentially constant, whereas the signals originating at the wafer surface will vary with the wafer pattern details. AF device 300 includes spatial filter 320 for isolating reflected signal 330. Filter 320 blocks scattered rays and higher-order diffracted rays 325.
  • In EUV applications, many of the features patterned on wafer 315 will be much smaller than the wavelength of light used for AF beam 322. Therefore, scattering and large angle diffraction should be significant. For lithography systems using longer-wavelength exposure radiation, this effect should be less significant. However, a longer wavelength can be chosen for the interferometer, if greater large angle scattering and diffraction is desired.
  • As above, optional polarizer 335 will help to attenuate the scattered and diffracted light received by interferometer 305. Accordingly, the reflected light from photoresist 310 should be relatively easy to isolate in this example. In addition, if a map of the wafer reflection and reflection phase is prepared, as described above for the reticle, Eqs. 5, 6, and 7 may be employed to provide further correction to the height determination. Therefore, the distance to the photoresist surface may readily be determined and this distance may be adjusted, if necessary.
  • Additional improvements to the previously-described AF systems are shown in FIG. 4. AF system 400 includes polarizing beam splitter 415 and quarter wave plate 410. These optical elements require at least a portion of AF beam 422 to make two round trip passes to and from the target (in this example, reticle 450). Encountering the target twice doubles the probability of scattering or diffraction from the multilayer or the wafer surface. It also increases the likelihood that diffracted or scattered light that may have a small enough angle to make it through the pinhole a first time will be stopped from making it through the pinhole a second time. Other embodiments of the invention include similar devices for further increasing the number of round trip passes of AF beam 422.
  • Moreover, in the embodiments described above, the signal received from the target by the interferometer(s) may include a small contribution from the 0th order of light diffracted from the edges of patterns. FIG. 5 illustrates an embodiment that corrects for contributions from the 0th order by isolating a single order of diffracted light from the reticle. Here, input AF beam 522 is split by beam splitter 505. A first part of AF beam 522 is directed to interferometer 510 and a second part of AF beam 522 is directed to interferometer 520.
  • AF system 500 includes spatial filters 525, 530 and 540. A partially reflecting beam splitter 535 directs light to interferometers 510 and 520. Spatial filter 525 is a pinhole filter such as those previously described, which passes reflected light to interferometer 510. Spatial filter 530 includes a pinhole and a slit. The pinhole transmits reflected light, and the slit passes an order of diffracted light (e.g., 1st-order diffracted light). Spatial filter 540 passes only the selected order of diffracted light to interferometer 520. The resulting signals are processed by signal processor 560 to obtain the height of a selected part of reticle 550.
  • AF system 500 has several potential advantages. A better measurement of the multilayer surface may be obtained, because light reflected from the absorber surface can be eliminated. Alternatively, this resulting signal may be used to estimate the amount of zero order diffracted light received by interferometer 510 and correct for it. A relation between the 0th diffraction order and the selected diffraction order is needed. This must be determined from diffraction theory and some calibration from known patterns. The signal processing is preferably pattern-dependent, based on empirical data.
  • If an interferometer is used to measure the height of a reticle or a wafer, even if there is no ambiguity about where the reflection is coming from, there is still ambiguity about the absolute height. Without additional input or calibration, an interferometer can determine differences in height but not absolute height. The interferometer signal repeats itself every half-wavelength. Accordingly, one needs to obtain a calibration for a known height. An interferometer system can then measure changes from that height.
  • FIG. 6A illustrates the AF system 600, which includes an exemplary means of calibration. In AF system 600, several interferometer beams 622 measure the height of reticle 650 simultaneously. The interferometer signals are initially calibrated to the absolute reticle height. This calibration is performed by comparing the interferometer reticle height measurement to that measured by height sensors 633-1 and 633-2, which may be capacitance sensors. In this example, height sensors 633-1 and 633-2 are rigidly mounted to the same base as interferometer 610. The reticle height is compared to the height of reference flats 660, which in this example are mounted on reticle stage 625, the height of which has been previously calibrated.
  • The targets 605 represent different, previously calibrated surfaces with different properties. For example one of targets 605 can have the composition of a multilayer that is to be used in patterning a wafer. Another of targets 605 may have the composition of an absorber layer without patterning. Still other targets 605 may be formed with representative patterns such as contacts, L/S arrays, gates, etc. As the interferometer beams 622 measure the heights and properties of the targets, the capacitance sensors 633 simultaneously monitor the stage height, to ensure that the height does not change during translation.
  • One exemplary calibration procedure is described in more detail here with reference to FIGS. 6A and 6B. A reference flat 660 is rigidly attached to the reticle mounting system on the reticle stage 625 and is located in approximately the same horizontal plane as the reticle surface. The reference flat surface 660 height is measured by height sensors 633-1 and 633-2, which may be capacitance sensors. After the reticle is loaded, the reticle stage 625 is moved to position a, and the reticle stage height is adjusted to a standard value equal to that of the focal plane at the reticle 650, based on measurements by height sensors 633-1 and 633-2. The signals from the interferometers 610 are also recorded. The signals from the height sensors and the interferometers go to a reticle height measuring system and to the reticle stage controller.
  • The stage is then moved to position b, using signals from height sensors 633-2 sent to the reticle stage controller to maintain the reticle stage 625 at a constant height. The reticle surface height is determined using height sensors 633-1 and the differences between the reticle height and the reference flats 660 determined. The reticle stage 625 is then moved to position c, using signals from height sensors 633-2 to maintain the reticle stage 625 at a constant height. The interferometers 610 measure the height of the reference flat. Then the reticle stage height is adjusted, so that the periodicity of the interferometer signal is recorded. This allows the total constant term in Eq. 2 to be determined, as well as the amplitude of the sinusoidal term, as illustrated in FIG. 6C. For convenience the sinusoidal contribution shown in Eq. 3 may be condensed to a single term, using trigonometric identities:
    Itotal=[A 2 +B 2]1/2 cos {4πz/λ+(δ12)/2+Φ}.  (8)
    where
  • A=(a+b)cos((δ1−δ2)/2),
  • B=(a−b)sin((δ1−δ2)/2),
  • a=2ErEabs,
  • b=2ErEml,
  • Φ=arctan(B/A),
  • δ1=δa−δr−4πd/λ,
  • δ2=δml−δr.
  • The amplitude Er is constant and may be measured offline. Since the interferometer will only be used to measure height changes, the phase δr may be set equal to zero. The other quantities in Eq. 8 are known from reticle inspection data.
  • As described above, the constant terms in the interferometer signal defined in Eq. 2 will vary with the reticle pattern, as will the sinusoidal terms. The variation can be predicted from the known reflectance of the absorber and multilayers and the relative amount of absorber and exposed multilayer surfaces in the reticle pattern illuminated by the interferometer, which has been predetermined. However the response of the interferometer detectors will depend somewhat on the basic pattern properties. The detector response is calibrated by the targets identified as 605-1 to 605-4 in FIG. 6A. However more or fewer targets 605 may be used. The target heights have been pre-measured and, the reticle stage height is maintained constant, using signals from height sensors 633-2, while the interferometers 610 illuminate the targets. In position d the interferometer beams 622 are shown measuring the height of target 605-4.
  • The reticle stage 625 is then returned to position a, and the reticle is adjusted to the height of the focal plane.
  • In FIG. 6A separate reference flats 660 are shown, one for each interferometer beam 622. However, a single large reference flat may be used for all the interferometers. The reference flat is ideally perfectly flat, but small deviations in height may be mapped offline. The height sensors may then be corrected for the height variations, since the position of the flat relative to the sensors is known from the reticle stage position metrology system. A height sensor 633-2 is shown for each interferometer beam. However the number of height sensors 633-2 and location may vary depending on the reticle stage servo and metrology systems.
  • The above calibration is based on specific values of the reflectivity of the absorber layer and multilayer and their associated phases. As the reticle stage moves the reticle relative to the interferometer beams, these values will change as the reticle pattern changes. Thus the calibration will change. The main changes are likely to be the reflectivities, which we have defined as the product of the reflection from one of the surfaces, multiplied by the fraction of the area of that surface illuminated by the interferometer beam. The phases, being associated with the material properties of the surfaces, are unlikely to change to any significant extent. Since the properties of the reticle must be well known both from its initial pattern design and from inspection of the fabricated reticle, the changes in calibration can be calculated, as described earlier.
  • Nevertheless, a separate measurement of the changes in the interferometer calibration is desirable. The error analysis given earlier shows the sinusoidal component of the interferometer height measurement is not very sensitive to the values of the reflectivity and phase. However, any error in the constant terms in Eq. 2 adds linearly to height measurement, so a separate measurement of the sum of the constant terms is desirable. This can be accomplished in several ways.
  • The basic interferometer signal, Eq. 2, can be written as
    I(t)=I 0 +I 1 cos(4πz/λ+Ω),  (9)
    where Ω=(δ12)/2+Φ, from Eq. 8. This includes the phase δr from the reference beam, which we set to zero above. However, if we change δr by a fixed amount of phase by some means we can create the following set of signals:
    I 90(t)=I 0 +I 1 cos(4πz/π+Ω+π/2)=I 0 +I 1 sin(4πz/λ+Ω),  (10)
    I 180(t)=I 0 +I 1 cos(4πz/λ+Ω+π)=I 0 −I 1 cos(4πz/λ+Ω),  (11)
    where we have set δr equal to π/2 and π. From these measured quantities, we can solve for the quantities in Eq. 9 which are varying with reticle position:
    I 0=(I(t)+I 180(t))/2,  (12)
    I 1=½[(I(t)−I 180(t))2+(2I 90(t)−I(t)−I 180(t))2]1/2  (13)
    z/λ+Ω=arctan((2I 90(t)−I(t)−I 180(t))/(I(t)−I 180(t))).  (14)
  • This provides an independent means of calibrating the relative changes in the interferometer signal with reticle position. Note however that we still need to know the reflection phases δa and δml (and the absorber thickness) in order to extract the reticle height from Ω. Note also that if only the constant term I0 needs to be measured directly, only signals I(t) and I180(t) are needed.
  • The necessary changes in the reference phase δr can be accomplished in a number of ways. In FIG. 17 a transducer 1720, such as a piezoelectric transducer, moves the reference mirror 1725 by an amount equal to a round trip phase change of π/2 or π, under the control of the reticle height measuring system 1730. The stage is moving during this calibration procedure, so the reticle pattern illuminated by the interferometer is changing. However, changes to I0 and I1 are likely to be small if the mirror transducer and measurement times are short, or if the absorber and multilayer reflectivities change slowly with reticle position. The residual error can be removed by introducing a rotating transparent plate 1705 with parallel faces in the beam from the interferometer. The plate 1705 moves the beam 1701 to follow a fixed position on the reticle 1715 during the calibration. Plate 1705 rotates back between measurements. Since fabrication specifications require the reticle 1715 to be nearly flat, continuous monitoring of the reticle height is unnecessary, and periodic measurement of the reticle height should be acceptable.
  • An alternative method of changing the reference phase, shown in FIG. 18, allows continuous monitoring of the reticle height if desired. The interferometer beam 1801, containing both horizontal component 1805 and vertical component 1810 of polarization, is incident on a polarizing beam splitter (PBS) 1815. The PBS 1815 separates the two polarization components, with the horizontal polarization component 1805 going to the reticle 1820 and the vertical component 1810 going to the reference mirror 1825. Both components pass twice through quarter wave plates 1830 and 1835, which rotate the polarizations by 90 degrees. This allows both components to emerge from the PBS 1815 at point A. A partial beam splitter B splits off ⅓ of the beam intensity, the two polarization components 1805 and 1810 are combined by means of a polarizer 1890 oriented at 45 degrees, and the now interfering beams enter the detector 1895. This produces signal I(t). The signal passing through beam splitter B is divided into equal intensities by beam splitter C. The component reflected by beam splitter C passes through a half wave plate 1850, which is oriented so that its ordinary ray axis 1854 is parallel to the polarization of the reference beam 1810, and its extraordinary axis 1855 is parallel to the polarization of the measurement beam 1805. This introduces an additional phase of π into the reference beam 1810 relative to that of the measurement beam 1805. The two beams are then combined using a polarizer 1840 as above, and the interfering beams enter the detector 1845. This produces signal I180(t). The final component of the interferometer beams passes through the beam splitter C and then a quarter wave plate 1870, which is oriented so that its ordinary ray axis 1875 is parallel to the polarization of the reference beam 1810, and its extraordinary axis 1880 is parallel to the polarization of the measurement beam 1805. This introduces an additional phase of π/2 into the reference beam 1810 relative to that of the measurement beam 1805. The two beams are then combined with a polarizer 1860 as above and the interfering beams enter the detector 1865. This produces signal I90(t). Thus the three signals needed for the solution of Eqs. 12, 13 and 14 can be supplied simultaneously. Not shown in FIGS. 17 and 18 is a spatial filter, to eliminate or reduce contributions to the measurement beam from diffraction and scattering at the reticle.
  • In another embodiment, calibration of the AF interferometer 1905 utilizes the imaging of the EUV light 1910 by the projection optics 1915. This is illustrated in FIG. 19. A special pattern on the reticle 1920 is illuminated with EUV light 1910 from the illumination optics. The image of the pattern is detected by an areal image monitor (AIM) 1925, which is mounted on the wafer stage 1930. The areal image monitor 1925 measures the quality of the image in a specific horizontal plane defined by an aperture in the monitor. Thus the monitor can determine the plane of best focus of the optics for a given reticle height. When a wafer 1935 is loaded, the wafer height is measured by the wafer AF system 1940 and compared with the height of the AIM 1925, which has also been measured by the wafer AF system 1940. The wafer height is then adjusted to bring it to the plane of best focus.
  • The AIM 1925 is set to a predetermined height and illuminated with the image from the reticle alignment mark. The reticle height is then adjusted with the reticle stage until a best focus condition is recorded by the AIM 1925. The reticle AF interferometer signal is measured, and the absolute interferometer position has been calibrated. Moving the reticle 1920 up and down from that position allows the AF interferometer periodicity and amplitude to be measured as well.
  • The above description is based on the use of a single wavelength Twyman-Green interferometer to measure the reticle surface. However other interferometric means may also be used. For example, a Twyman-Green interferometer using two different wavelengths λ1 and λ2 provides a signal whose periodicity is equal to that of a signal from an interferometer with single wavelength Λ,
    Λ=λ1λ21−λ2),
    where we assume λ12. For example, if λ1=1.2 λ2, then Λ=6λ2. Λ can easily be chosen large enough that ambiguities associated with the periodicity of the interferometer signal can be eliminated, thereby simplifying the calibration. Such interferometers are described in P. de Groot, Optical Engineering 40, 28 (2001), which is hereby incorporated by reference for all purposes.
  • Another version of a two wavelength interferometer utilizes two nearby wavelengths from the HeNe laser which are circularly polarized in opposite directions. One beam serves as the measurement beam and the other as the reference beam. The resulting interferometric signal oscillates at the beat frequency associated with the two wavelengths, c/λ2−c/λ1, where c is the speed of light. The advantage of this type of interferometer is that the signal is insensitive to variations in the beam intensity associated with low frequency laser noise. Such heterodyne interferometers are commercially available from Zygo Corp.
  • Examples of other distance measuring interferometers may be found in the reference by Hariharan, which examples are hereby incorporated by reference.
  • In order to provide a context for some embodiments of the invention, an exemplary telecentric lithography system will now be described with reference to FIG. 7. A typical exposure apparatus 700 includes a mounting base 702, a support frame 704, a base frame 706, a measurement system 708, a control system (not shown), an illumination system 710, an optical frame 712, an optical device 714, a reticle stage 716 for retaining a reticle 718, an upper enclosure 720 surrounding reticle stage 716, a wafer stage 722 for retaining a semiconductor wafer workpiece 724, and a lower enclosure 726 surrounding wafer stage 722.
  • Support frame 704 typically supports base frame 706 above mounting base 702 through a base vibration isolation system 728. Base frame 706 supports, through an optical vibration isolation system 730, optical frame 712, measurement system 708, reticle stage 716, upper enclosure 720, optical device 714, wafer stage 722, and lower enclosure 726 above base frame 706. Optical frame 712 supports optical device 714 and reticle stage 716 above base frame 706 through optical vibration isolation system 730. As a result thereof, optical frame 712 and its supported components and base frame 706 are effectively attached in series through base vibration isolation system 728 and optical vibration isolation system 730 to mounting base 702. Vibration isolation systems 728 and 730 are designed to damp and isolate vibrations between components of exposure apparatus 700. Measurement system 708 monitors the positions of stages 716 and 722 relative to a reference such as optical device 714 and outputs position data to the control system.
  • Optical device 714 typically includes a lens assembly that projects and/or focuses the light or beam from an illumination system 710 that passes through reticle 718. Reticle stage 716 is attached to one or more movers (not shown) directed by the control system to precisely position reticle 718 relative to optical device 714. Similarly, wafer stage 722 includes one or more movers (not shown) to precisely position the wafer workpiece 724 relative to optical device (lens assembly) 714.
  • One or more seals 10 are placed between base frame 706 (the upper enclosure 720) and the lens assembly 714. The described sealing arrangement provides a good seal for the enclosure 720, yet helps prevent the transmission of vibrations between the enclosure and the lens assembly 714.
  • As will be appreciated by those skilled in the art, there are a number of different types of photolithographic devices. For example, exposure apparatus 700 can be used as a scanning type photolithography system that exposes the pattern from reticle 718 onto wafer 724 with reticle 718 and wafer 724 moving synchronously. In a scanning type lithographic device, reticle 718 is moved perpendicular to an optical axis of lens assembly 714 by reticle stage 716 and wafer 724 is moved perpendicular to an optical axis of lens assembly 714 by wafer stage 722.
  • Alternately, exposure apparatus 700 can be a step-and-repeat type photolithography system that exposes reticle 718 while reticle 718 and wafer 724 are stationary. In the step and repeat process, wafer 724 is in a constant position relative to reticle 718 and lens assembly 714 during the exposure of an individual field. Subsequently, between consecutive exposure steps, wafer 724 is consecutively moved by wafer stage 722 perpendicular to the optical axis of lens assembly 714 so that the next field of semiconductor wafer 724 is brought into position relative to lens assembly 714 and reticle 718 for exposure. Following this process, the images on reticle 718 are sequentially exposed onto the fields of wafer 724 so that the next field of semiconductor wafer 724 is brought into position relative to lens assembly 714 and reticle 718.
  • However, the use of exposure apparatus 700 provided herein is not limited to a photolithography system for a semiconductor manufacturing. Exposure apparatus 700, for example, can be used as an LCD photolithography system that exposes a liquid crystal display device pattern onto a rectangular glass plate or a photolithography system for manufacturing a thin film magnetic head. Further, the present invention can also be applied to a proximity photolithography system that exposes a mask pattern by closely locating a mask and a substrate without the use of a lens assembly. Additionally, the present invention provided herein can be used in other devices, including other semiconductor processing equipment, machine tools, metal cutting machines, and inspection machines.
  • The illumination source (of illumination system 710) can be, for example, a g-line (436 nm), an i-line (365 nm), a KrF excimer laser (248 nm), an ArF excimer laser (193 nm) or an F2 laser (157 nm). Alternatively, the illumination source can also use charged particle beams such as an x-ray beam or an electron beam. For instance, in the case where an electron beam is used, thermionic emission type lanthanum hexaboride (LaB6,) or tantalum (Ta) can be used as an electron gun. Furthermore, in the case where an electron beam is used, the structure could be such that either a mask is used or a pattern can be directly formed on a substrate without the use of a mask.
  • When far ultra-violet rays such as those emitted by an excimer laser are used, lens assembly 714 is preferably composed of materials such as quartz and fluorite that transmit far ultra-violet rays. When the F2 type laser or x-ray is used, lens assembly 714 should preferably be either catadioptric or refractive (a reticle should also preferably be a reflective type), and when an electron beam is used, electron optics should preferably comprise electron lenses and deflectors. The optical path for the electron beams should be in a vacuum.
  • Also, with an exposure device that employs vacuum ultra-violet radiation (VUV) of wavelength 200 nm or lower, use of the catadioptric type optical system can be considered. Examples of the catadioptric type of optical system include the disclosure Japan Patent Application Disclosure No. 8-171054 published in the Official Gazette for Laid-Open Patent Applications and its counterpart U.S. Pat. No. 5,668,672, as well as Japan Patent Application Disclosure No. 10-20195 and its counterpart U.S. Pat. No. 5,835,275. In these cases, the reflecting optical device can be a catadioptric optical system incorporating a beam splitter and concave mirror. Japan Patent Application Disclosure No. 8-334695 published in the Official Gazette for Laid-Open Patent Applications and its counterpart U.S. Pat. No. 5,689,377 as well as Japan Patent Application Disclosure No. 10-3039 also use a reflecting-refracting type of optical system incorporating a concave mirror, etc., but without a beam splitter, and can also be employed with this invention. The disclosures in the above mentioned U.S. patents, as well as the Japan patent applications published in the Official Gazette for Laid-Open Patent Applications are incorporated herein by reference.
  • Further, in photolithography systems, when linear motors (see U.S. Pat. No. 5,623,853 or 5,528,118) are used in a wafer stage or a reticle stage, the linear motors can be either an air levitation type employing air bearings or a magnetic levitation type using Lorentz force or reactance force. Additionally, the stage could move along a guide, or it could be a guideless type stage which uses no guide. The disclosures in U.S. Pat. Nos. 5,623,853 and 5,528,118 are incorporated herein by reference.
  • Alternatively, one of the stages could be driven by a planar motor, which drives the stage by electromagnetic force generated by a magnet unit having two-dimensionally arranged magnets and an armature coil unit having two-dimensionally arranged coils in facing positions. With this type of driving system, either one of the magnet unit or the armature coil unit is connected to the stage and the other unit is mounted on the moving plane side of the stage.
  • Movement of the stages as described above generates reaction forces which can affect performance of the photolithography system. Reaction forces generated by the wafer (substrate) stage motion can be mechanically released to the floor (ground) by use of a frame member as described in U.S. Pat. No. 5,528,118 and published Japanese Patent Application Disclosure No. 8-166475. Additionally, reaction forces generated by the reticle (mask) stage motion can be mechanically released to the floor (ground) by use of a frame member as described in U.S. Pat. No. 5,874,820 and published Japanese Patent Application Disclosure No. 8-330224. The disclosures in U.S. Pat. Nos. 5,528,118 and 5,874,820 and Japanese Patent Application Disclosure No. 8-330224 are incorporated herein by reference.
  • As described above, a photolithography system according to the above described embodiments can be built by assembling various subsystems, including each element listed in the appended claims, in such a manner that prescribed mechanical accuracy, electrical accuracy and optical accuracy are maintained. In order to maintain the various accuracies, prior to and following assembly, every optical system is adjusted to achieve its optical accuracy. Similarly, every mechanical system and every electrical system are adjusted to achieve their respective mechanical and electrical accuracies. The process of assembling each subsystem into a photolithography system includes mechanical interfaces, electrical circuit wiring connections and air pressure plumbing connections between each subsystem. Needless to say, there is also a process where each subsystem is assembled prior to assembling a photolithography system from the various subsystems. Once a photolithography system is assembled using the various subsystems, total adjustment is performed to make sure that every accuracy is maintained in the complete photolithography system. Additionally, it is desirable to manufacture an exposure system in a clean room where the temperature and humidity are controlled.
  • FIG. 8 shows the general structure of an immersion lithography apparatus 100 which may incorporate one or more of the AF systems of this invention. As shown in FIG. 8, the immersion lithography apparatus 800 comprises an illuminator optical unit 801 including a light source such as a KrF excimer laser unit, an optical integrator (or homogenizer) and a lens and serving to emit pulsed ultraviolet light IL with wavelength 248 nm to be made incidence to a pattern on a reticle R. The pattern on the reticle R is projected on a wafer W coated with a photoresist at a specified magnification (such as ¼ or ⅕) through a telecentric light projection unit PL. The pulsed light IL may alternatively be ArF excimer laser light with wavelength 193 nm, F2 laser light with wavelength 157 nm or the i-line of a mercury lamp with wavelength 365 nm. In what follows, the coordinate system with X-, Y- and Z-axes as shown in FIG. 8 is referenced to explain the directions in describing the structure and functions of the lithography apparatus 800. For the convenience of disclosure and description, the light projection unit PL is illustrated in FIG. 8 only by way of its last-stage optical element (such as a lens) 804 disposed opposite to the wafer W and a cylindrical housing 803 containing all of its other components.
  • The reticle R is supported on a reticle stage RST incorporating a mechanism for moving the reticle R by some amount in the X-direction, the Y-direction and the rotary direction around the Z-axis. The two-dimensional position and orientation of the reticle R on the reticle stage RST are detected by a laser interferometer (not shown) in real time and the positioning of the reticle R is effected by a main control unit 814 on the basis of the detection thus made.
  • The wafer W is set on a wafer holder (not shown) on a Z-stage 809 for controlling the focusing position (along the Z-axis) and the sloping angle of the wafer W. The Z-stage 809 is affixed to an XY-stage 810 adapted to move in the XY-plane substantially parallel to the image-forming surface of the light projection unit PL. The XY-stage 810 is set on a base 811. Thus, the Z-stage 809 serves to match the wafer surface with the image surface of the light projection unit PL by adjusting the focusing position (along the Z-axis) and the sloping angle of the wafer W by the auto-focusing and auto-leveling method, and the XY-stage 810 serves to adjust the position of the wafer W in the X-direction and the Y-direction.
  • The two-dimensional position and orientation of the Z-stage 809 (and hence also of the wafer W) are monitored in real time by another laser interferometer 813 with reference to a mobile mirror 812 affixed to the Z-stage 809. Control data based on the results of this monitoring are transmitted from the main control unit 814 to a stage-driving unit 815 adapted to control the motions of the Z-stage 809 and the XY-stage 810 according to the received control data. At the time of an exposure, the projection light is made to sequentially move from one to another of different exposure positions on the wafer W according to the pattern on the reticle R in a step-and-repeat routine.
  • The lithography apparatus 800 being described with reference to FIG. 8 is an immersion lithography apparatus and is hence adapted to have a liquid (or the “immersion liquid”) 807 of a specified kind such as water filling the space between the surface of the wafer W and the lower surface of the last-stage optical element 804 of the light projection unit PL at least while the pattern image of the reticle R is being copied on the wafer W.
  • The last-stage optical element 804 of the light projection unit PL is affixed to the cylindrical housing 803. In an optional embodiment, the last-stage optical element 804 may be made removable for cleaning or maintenance.
  • The liquid 807 is supplied from a liquid supply unit 805 that may comprise a tank, a pressure pump and a temperature regulator (not individually shown) to the space above the wafer W under a temperature-regulated condition and is collected by a liquid recovery unit 806. The temperature of the liquid 807 is regulated to be approximately the same as the temperature inside the chamber in which the lithography apparatus 800 itself is disposed. Numeral 821 indicates source nozzles through which the liquid 807 is supplied from the supply unit 805. Numeral 823 indicates recovery nozzles through which the liquid 807 is collected into the recovery unit 806.
  • The structure described above with reference to FIG. 8 is not intended to limit the scope of the immersion lithography apparatus to which the methods and devices of the present invention are applicable. In other words, autofocus units of the present invention may be incorporated into immersion lithography apparatus of many different kinds. In particular, it is to be reminded that the numbers and arrangements of the source and recovery nozzles 821 and 823 around the light projection unit PL may be designed in a variety of ways for establishing a smooth flow and quick recovery of the immersion liquid 807.
  • The distance between the last optical element 804 and the wafer photoresist surface must be controlled very precisely in order that the image projected onto the wafer remains in focus. Accordingly an autofocus system must measure the wafer height as close to the image as possible. A conventional glancing angle AF system can measure height at the image location. However the beam must traverse a considerable amount of fluid, and any variation in fluid dimensions or temperature can seriously affect the accuracy. An autofocus system according to the present invention can be placed in proximity to the last optical element 804 or may project its measurement beam through a peripheral region of the last optical element 804 itself. Thus it may measure the height of the wafer close to the image location. If several autofocus systems surround the image region, the height of the wafer at the image location may be inferred by interpolating the several heights measured by the autofocus systems.
  • The autofocus interferometer beam enters the fluid region through a peripheral region of the last optical element 804 or through an optical surface fixed in relation to the last optical element 804 and approximately normal to the surface of the wafer. The distance traveled through the fluid 807 is accordingly minimal, and thus effects of fluid motion and changes to the index of refraction of the fluid 807 from temperature variations are minimized. The glancing angle autofocus system of the prior art can be seriously affected by such effects. Determination of the photoresist surface is effected in a manner similar to that described above with regard to FIG. 3. However the presence of the fluid will reduce the amount of reflection from the photoresist, leading to a reduction in the reflected signal level. This reduction may place more reliance on the corrections provided by a wafer reflectivity map and the use of Eqs. 5, 6, and 7 above.
  • FIG. 9 is referenced next to describe a process for fabricating a semiconductor device by using an immersion lithography apparatus incorporating a liquid jet and recovery system embodying this invention. In step 901 the device's function and performance characteristics are designed. Next, in step 902, a mask (reticle) having a pattern is designed according to the previous designing step, and in a parallel step 903, a wafer is made from a silicon material. The mask pattern designed in step 902 is exposed onto the wafer from step 903 in step 904 by a photolithography system such as the systems described above. In step 905, the semiconductor device is assembled (including the dicing process, bonding process and packaging process). The device is inspected in step 906.
  • FIG. 10 illustrates a detailed flowchart example of the above-mentioned step 1004 in the case of fabricating semiconductor devices. In step 1011 (oxidation step), the wafer surface is oxidized. In step 1012 (CVD step), an insulation film is formed on the wafer surface. In step 1013 (electrode formation step), electrodes are formed on the wafer by vapor deposition. In step 1014 (ion implantation step), ions are implanted in the wafer. The aforementioned steps 1011-1014 form the preprocessing steps for wafers during wafer processing, and selection is made at each step according to processing requirements.
  • At each stage of wafer processing, when the above-mentioned preprocessing steps have been completed, the following post-processing steps are implemented. During post-processing, initially, in step 1015 (photoresist formation step), photoresist is applied to a wafer. Next, in step 1016, (exposure step), the above-mentioned exposure device is used to transfer the circuit pattern of a mask (reticle) to a wafer. Then, in step 1017 (developing step), the exposed wafer is developed, and in step 1018 (etching step), parts other than residual photoresist (exposed material surface) are removed by etching. In step 1019 (photoresist removal step), unnecessary photoresist remaining after etching is removed. Multiple circuit patterns are formed by repetition of these preprocessing and post-processing steps.
  • While the invention has been described in terms of several preferred embodiments, there are alterations, permutations, and various equivalents that fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and devices of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations and various equivalents as fall within the true spirit and scope of the present invention.

Claims (20)

1. An autofocusing device for a lithography system, the autofocusing device comprising:
a light source;
an interferometer system configured to determine a distance to a target based on first light received from the target; and
a spatial filter system for passing selected components of the first light to an interferometer of the interferometer system.
2. The autofocusing device of claim 1, wherein the interferometer system comprises a plurality of interferometers.
3. The autofocusing device of claim 1, further comprising a polarizing filter for passing a selected polarization angle of the first light.
4. The autofocusing device of claim 1, wherein the spatial filter system comprises a plurality of spatial filters.
5. The autofocusing device of claim 1, wherein the interferometer system is further configured to determine the distance to the target based on a comparison of the first light with second light reflected from a reference mirror.
6. The autofocusing device of claim 1, wherein the spatial filter system comprises a first spatial filter for passing a specularly reflected component of the first light.
7. The autofocusing device of claim 1, further comprising a calibration device for determining an absolute distance to a target.
8. The autofocusing device of claim 1, further comprising a calibration device for calibrating the autofocusing device for expected optical properties of the target.
9. The autofocusing device of claim 1, further comprising means for causing at least a portion of the first light to illuminate the target more than once.
10. The autofocusing device of claim 6, wherein the spatial filter system further comprises a second spatial filter for passing a selected diffraction order of the first light.
11. The autofocusing device of claim 9, wherein the causing means comprises a beam splitter and a quarter wave plate.
12. The autofocusing device of claim 10, wherein the spatial filter system further comprises a third spatial filter for passing both the specularly reflected component of the first light and the selected diffraction order of the first light.
13. A lithography system comprising:
an illumination source;
an optical system;
a reticle stage arranged to retain a reticle;
a working stage arranged to retain a workpiece;
an enclosure that surrounds at least a portion of the working stage, the enclosure having a sealing surface; and
autofocusing device, comprising:
a light source;
an interferometer system configured to determine a distance to a target based on first light received from the target; and
a spatial filter system for passing selected components of the first light to an interferometer of the interferometer system.
14. An object manufactured with the lithography system of claim 13.
15. A wafer on which an image has been formed by the lithography system of claim 13.
16. A method for making an object using a lithography process, wherein the lithography process utilizes a lithography system as recited in claim 13.
17. A method for patterning a wafer using a lithography process, wherein the lithography process utilizes a lithography system as recited in claim 13.
18. The lithography system of claim 13, wherein the optical system is a telecentric optical system.
19. The lithography system of claim 13, wherein the optical system is a non-telecentric optical system.
20. The lithography system of claim 18, further comprising means for disposing a fluid between the optical system and the workpiece.
US11/972,899 2005-02-22 2008-01-11 Autofocus methods and devices for lithography Abandoned US20080111994A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/972,899 US20080111994A1 (en) 2005-02-22 2008-01-11 Autofocus methods and devices for lithography

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/064,169 US7342641B2 (en) 2005-02-22 2005-02-22 Autofocus methods and devices for lithography
US11/972,899 US20080111994A1 (en) 2005-02-22 2008-01-11 Autofocus methods and devices for lithography

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/064,169 Continuation US7342641B2 (en) 2005-02-22 2005-02-22 Autofocus methods and devices for lithography

Publications (1)

Publication Number Publication Date
US20080111994A1 true US20080111994A1 (en) 2008-05-15

Family

ID=36283161

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/064,169 Active 2025-02-24 US7342641B2 (en) 2005-02-22 2005-02-22 Autofocus methods and devices for lithography
US11/972,899 Abandoned US20080111994A1 (en) 2005-02-22 2008-01-11 Autofocus methods and devices for lithography

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/064,169 Active 2025-02-24 US7342641B2 (en) 2005-02-22 2005-02-22 Autofocus methods and devices for lithography

Country Status (2)

Country Link
US (2) US7342641B2 (en)
WO (1) WO2006091482A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070223005A1 (en) * 2004-05-11 2007-09-27 Lee William E Polarising Interferometer
RU2532575C2 (en) * 2009-12-31 2014-11-10 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Integrated sensor system
US20160025480A1 (en) * 2014-07-25 2016-01-28 Nikon Corporation Interferometric level sensor
US9279657B2 (en) 2011-08-31 2016-03-08 Asml Netherlands B.V. Level sensor arrangement in a lithographic apparatus for measuring multi-layer surfaces
US20190025511A1 (en) * 2017-07-24 2019-01-24 Quantum-Si Incorporated Optical rejection photonic structures

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006279017A (en) * 2005-03-02 2006-10-12 Canon Inc Apparatus and method for exposure, measurement apparatus, and method of manufacturing device
US7724375B1 (en) * 2007-03-15 2010-05-25 Kla-Tencor Corporation Method and apparatus for increasing metrology or inspection tool throughput
US7659989B2 (en) * 2007-06-29 2010-02-09 Coherent, Inc. Focus determination for laser-mask imaging systems
US8022931B2 (en) * 2007-11-29 2011-09-20 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Self-calibrating optical feedback system in a laser mouse
US20090250637A1 (en) * 2008-04-02 2009-10-08 Cymer, Inc. System and methods for filtering out-of-band radiation in EUV exposure tools
US7742163B2 (en) * 2008-07-08 2010-06-22 Tokyo Electron Limited Field replaceable units (FRUs) optimized for integrated metrology (IM)
US20100059657A1 (en) * 2008-09-05 2010-03-11 Nikon Corporation System and Method Producing Data For Correcting Autofocus Error in An Imaging Optical System
US20100245829A1 (en) * 2009-03-31 2010-09-30 Nikon Corporation System and method for compensating instability in an autofocus system
US8407632B2 (en) 2010-09-14 2013-03-26 International Business Machines Corporation Detecting dose and focus variations during photolithography
EP2458441B1 (en) * 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
DE102014002584A1 (en) * 2014-01-23 2015-07-23 Euroimmun Medizinische Labordiagnostika Ag Method of imaging an obiect and optics device
CN104216241B (en) * 2014-09-15 2017-01-18 江苏影速光电技术有限公司 Real-time focusing method and device based on Keyence
JP2018138990A (en) 2016-12-08 2018-09-06 ウルトラテック インク Scanning methods for focus control for lithographic processing of reconstituted wafers
WO2021168359A1 (en) 2020-02-21 2021-08-26 Onto Innovation, Inc. System and method for correcting overlay errors in a lithographic process

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5171999A (en) * 1989-02-28 1992-12-15 Nikon Corporation Adjustable beam and interference fringe position
US5208451A (en) * 1992-05-04 1993-05-04 Zygo Corporation Method and apparatus for automated focusing of an interferometric optical system
US5461237A (en) * 1993-03-26 1995-10-24 Nikon Corporation Surface-position setting apparatus
US5469259A (en) * 1994-01-03 1995-11-21 International Business Machines Corporation Inspection interferometer with scanning autofocus, and phase angle control features
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
US6020964A (en) * 1997-12-02 2000-02-01 Asm Lithography B.V. Interferometer system and lithograph apparatus including an interferometer system
US6094268A (en) * 1989-04-21 2000-07-25 Hitachi, Ltd. Projection exposure apparatus and projection exposure method
US6208407B1 (en) * 1997-12-22 2001-03-27 Asm Lithography B.V. Method and apparatus for repetitively projecting a mask pattern on a substrate, using a time-saving height measurement
US20020041368A1 (en) * 1998-09-17 2002-04-11 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US20020070355A1 (en) * 1998-03-02 2002-06-13 Nikon Corporation Exposure method and apparatus, method of making exposure apparatus, device and device manufacturing method
US20030211402A1 (en) * 2002-03-04 2003-11-13 Hill Henry A. Spatial filtering in interferometry
US20040203177A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method and system for monitoring an etch process
US20060072093A1 (en) * 2003-12-22 2006-04-06 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method utilizing two arrays of focusing elements

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007051865A1 (en) 2007-10-30 2009-05-07 Siemens Ag Combustion chamber with igniter for gas turbines

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5171999A (en) * 1989-02-28 1992-12-15 Nikon Corporation Adjustable beam and interference fringe position
US6094268A (en) * 1989-04-21 2000-07-25 Hitachi, Ltd. Projection exposure apparatus and projection exposure method
US5208451A (en) * 1992-05-04 1993-05-04 Zygo Corporation Method and apparatus for automated focusing of an interferometric optical system
US5461237A (en) * 1993-03-26 1995-10-24 Nikon Corporation Surface-position setting apparatus
US5469259A (en) * 1994-01-03 1995-11-21 International Business Machines Corporation Inspection interferometer with scanning autofocus, and phase angle control features
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
US6191429B1 (en) * 1996-10-07 2001-02-20 Nikon Precision Inc. Projection exposure apparatus and method with workpiece area detection
US6020964A (en) * 1997-12-02 2000-02-01 Asm Lithography B.V. Interferometer system and lithograph apparatus including an interferometer system
US6208407B1 (en) * 1997-12-22 2001-03-27 Asm Lithography B.V. Method and apparatus for repetitively projecting a mask pattern on a substrate, using a time-saving height measurement
US20020070355A1 (en) * 1998-03-02 2002-06-13 Nikon Corporation Exposure method and apparatus, method of making exposure apparatus, device and device manufacturing method
US20030169411A1 (en) * 1998-03-02 2003-09-11 Nikon Corporation Exposure method and apparatus, method of making exposure apparatus, device and device manufacturing method
US20020041368A1 (en) * 1998-09-17 2002-04-11 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US20030211402A1 (en) * 2002-03-04 2003-11-13 Hill Henry A. Spatial filtering in interferometry
US20040203177A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Method and system for monitoring an etch process
US20060072093A1 (en) * 2003-12-22 2006-04-06 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method utilizing two arrays of focusing elements

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070223005A1 (en) * 2004-05-11 2007-09-27 Lee William E Polarising Interferometer
US7525665B2 (en) * 2004-05-11 2009-04-28 Renishaw Plc Polarising interferometer
RU2532575C2 (en) * 2009-12-31 2014-11-10 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Integrated sensor system
US9279657B2 (en) 2011-08-31 2016-03-08 Asml Netherlands B.V. Level sensor arrangement in a lithographic apparatus for measuring multi-layer surfaces
US20160025480A1 (en) * 2014-07-25 2016-01-28 Nikon Corporation Interferometric level sensor
US20190025511A1 (en) * 2017-07-24 2019-01-24 Quantum-Si Incorporated Optical rejection photonic structures
US11237326B2 (en) * 2017-07-24 2022-02-01 Quantum-Si Incorporated Optical rejection photonic structures using two spatial filters

Also Published As

Publication number Publication date
US20060187434A1 (en) 2006-08-24
US7342641B2 (en) 2008-03-11
WO2006091482A3 (en) 2006-12-21
WO2006091482A2 (en) 2006-08-31

Similar Documents

Publication Publication Date Title
US7342641B2 (en) Autofocus methods and devices for lithography
US8736815B2 (en) Position sensor and lithographic apparatus
KR100665749B1 (en) Lithographic Apparatus and Device Manufacturing Method, and Measurement System
KR101465284B1 (en) Drive method and drive system for a movable body
KR101465285B1 (en) Movable body drive system
JP4308202B2 (en) Method for measuring information about a substrate and substrate for use in a lithographic apparatus
WO2009133702A1 (en) Stage apparatus, patterning apparatus, exposure apparatus, stage drive apparatus, exposure method, and device fabrication method
US8334983B2 (en) Lithographic apparatus and device manufacturing method
KR19980070895A (en) Projection exposure method and projection exposure apparatus
KR20010033118A (en) Stage device and exposure apparatus
US7982884B2 (en) Autofocus system with error compensation
KR101185462B1 (en) Lithographic apparatus and device manufacturing method
KR20090095505A (en) Position measurement apparatus, position measurement method, and exposure apparatus
KR100706934B1 (en) Position correction in y of mask object shift due to z offset and non-perpendicular illumination
JP2004014876A (en) Adjustment method, method for measuring spatial image, method for measuring image surface, and exposure device
US20070242256A1 (en) Lithographic apparatus, lens interferometer and device manufacturing method
US6730920B2 (en) Abbe arm calibration system for use in lithographic apparatus
US10289011B2 (en) Position measurement system, interferometer and lithographic apparatus
JP4071733B2 (en) Lithographic apparatus, device manufacturing method, and computer program
JP4509974B2 (en) End effector with integrated illumination system for reticle pre-alignment sensor
US7471373B2 (en) Lithographic apparatus with patterning device position determination
US9410796B2 (en) Lithographic apparatus and method
US9261402B2 (en) Lithographic method and apparatus
JP2004273828A (en) Method and device for surface position detection, focusing device, aligner, and manufacturing method for device
EP1538483B1 (en) Measuring method and apparatus, exposure method and apparatus and device manufacturing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: NIKON CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SOGARD, MICHAEL;REEL/FRAME:020356/0914

Effective date: 20050217

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION