US20080197499A1 - Structure for metal cap applications - Google Patents

Structure for metal cap applications Download PDF

Info

Publication number
US20080197499A1
US20080197499A1 US11/675,296 US67529607A US2008197499A1 US 20080197499 A1 US20080197499 A1 US 20080197499A1 US 67529607 A US67529607 A US 67529607A US 2008197499 A1 US2008197499 A1 US 2008197499A1
Authority
US
United States
Prior art keywords
metallic
dielectric material
dielectric
layer
conductive feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/675,296
Inventor
Chih-Chao Yang
Daniel C. Edelstein
Keith Kwong Hon Wong
Haining Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/675,296 priority Critical patent/US20080197499A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WONG, KEITH KWONG HON, YANG, CHIH-CHAO, YANG, HAINING, EDELSTEIN, DANIEL C.
Priority to TW097104278A priority patent/TW200849383A/en
Priority to CN2008100056919A priority patent/CN101246874B/en
Publication of US20080197499A1 publication Critical patent/US20080197499A1/en
Priority to US12/881,806 priority patent/US8133810B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Definitions

  • the present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure that has better reliability and technology extendibility for the semiconductor industry.
  • semiconductor devices include a plurality of circuits which form an integrated circuit (IC) fabricated on a semiconductor substrate.
  • IC integrated circuit
  • a complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures.
  • the wiring structure typically includes copper, Cu, since Cu based interconnects provide higher speed signal transmission between large numbers of transistors on a complex semiconductor chip as compared with aluminum, Al, based interconnects.
  • metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate. Further enhancement of the signal speed and reduction of signals in adjacent metal lines (known as “crosstalk”) are achieved in today's IC product chips by embedding the metal lines and metal vias (e.g., conductive features) in a dielectric material having a dielectric constant of less than 4.0.
  • capping layer for protecting the conductive feature of an interconnect structure
  • One type of capping layer comprises a dielectric capping material
  • the other type of capping layer comprises a metallic capping material.
  • the metallic capping layer typically has better (i.e., increased) adhesion strength to the underlying conductive feature as compared to that obtained using a dielectric capping layer.
  • the increased adhesion strength provided at the conductive feature/metallic capping layer interface results in better electromigration resistance as compared to the case when a dielectric capping layer is employed.
  • the selective deposition of a Co alloy on a Cu interconnect has been demonstrated to have a greater than 10 times electromigration resistance than the interconnect including a standard dielectric capping material.
  • FIG. 1 shows a prior art interconnect structure 10 that includes a dielectric material 12 which has conductive features embedded therein.
  • the conductive features include a conductive material 16 which is located within an opening provided in the dielectric material 12 .
  • the conductive material 16 is separated from the dielectric material 12 by a diffusion barrier 18 .
  • a metallic capping layer 20 is present on the upper exposed surface of each conductive feature, i.e., atop the conductive material 16 .
  • metallic residue 22 forms on the exposed upper surface of the dielectric material 12 during the formation of the metallic capping layer 20 .
  • the present invention provides an interconnect structure (of the single or dual damascene type) and a method of forming the same, which removes unwanted metallic residue from the surface of the dielectric material which is located between each metallic capped conductive feature.
  • the inventive interconnect structure has improved dielectric breakdown strength as compared to prior art interconnect structures.
  • the inventive interconnect structure has better reliability and technology extendibility for the semiconductor industry.
  • the present invention solves the above mentioned problem and achieves the aforementioned objectives by providing an interconnect structure including at least one metallic capped conductive feature embedded in a dielectric material wherein a top portion of the at least one metallic capped conductive feature extends above an upper surface of the dielectric material.
  • the upper extended portion of the metallic capped conductive feature is encapsulated within a dielectric capping layer.
  • the ‘recessed’ dielectric material contains no metallic residue since the same have been removed during the inventive processing steps.
  • the interconnect structure of the present invention comprises:
  • a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of said dielectric material; and a dielectric capping layer located on said dielectric material and encapsulating said top portion of said at least one metallic capped conductive feature that extends above the upper surface of said dielectric material.
  • the present invention also provides a method of fabricating the same.
  • the method of the present invention comprises:
  • a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of said dielectric material; and forming a dielectric capping layer on said dielectric material which also encapsulates said top portion of said at least one metallic capped conductive feature that extends above the upper surface of said dielectric material.
  • the providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which the sacrificial dielectric layer is substantially coplanar with said conductive material; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface or within said sacrificial dielectric layer; and removing said sacrificial dielectric layer containing said metallic residue.
  • the providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a polishing selective layer on said sacrificial dielectric layer; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which the sacrificial dielectric layer is substantially coplanar with said conductive material, wherein said planarizing removes said polishing selective layer; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface or within said sacrificial dielectric layer; and removing said sacrificial dielectric layer containing said metallic residue.
  • the providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which conductive material is substantially coplanar with said dielectric material, wherein said planarizing removes said sacrificial dielectric layer; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface of said dielectric material; performing a chemical plasma process to form a damaged surface layer within the dielectric material which includes said metallic residue; and removing said damaged surface layer.
  • FIG. 1 is a pictorial representation (through a cross sectional view) depicting a prior art interconnect structure in which metallic capping layers are present atop each conductive material embedded within a dielectric material
  • FIG. 2 is a pictorial representation (through a cross sectional view) depicting the interconnect structure of the present invention.
  • FIGS. 3A-3F are pictorial representations (through cross sectional views) depicting the basic processing steps employed in a first embodiment of the present invention.
  • FIGS. 4A-4C are pictorial representations (through cross sectional views) depicting some of the processing steps employed in a second embodiment of the present invention.
  • FIGS. 5A-5D are pictorial representations (through cross sectional views) depicting a third embodiment of the present invention.
  • the present invention which provides an interconnect structure including a metallic capped conductive feature in which no metallic residue is present on the dielectric material between each of the metallic capped conductive features as well as a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application.
  • the drawings of the present invention which are referred to in the present application, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • the present invention provides an interconnect structure in which the conductive features embedded within a dielectric material are capped with a metallic capping layer, yet no metallic residue is present on the surface of the dielectric layer in the final structure.
  • the inventive interconnect structure has improved dielectric breakdown strength as compared to prior art interconnect structures.
  • the inventive interconnect structure has better reliability and technology extendibility for the semiconductor industry.
  • the interconnect structure 100 of the present invention is shown, for example, in FIG. 2 .
  • the inventive interconnect structure 100 includes a dielectric material 52 having at least one metallic capped conductive feature 102 embedded therein, wherein a top portion of said at least one metallic capped conductive feature 102 extends above an upper surface of the dielectric material 52 .
  • the at least one metallic capped feature 102 includes a metallic capping layer 62 and a conductive material 60 .
  • the conductive material 60 is separated from the dielectric material 52 by a diffusion barrier 58 .
  • the conductive material 60 and the diffusion barrier 58 are located within an opening that is formed into the dielectric material 52 .
  • a dielectric capping layer 66 is located on the dielectric material 52 and it encapsulates the top portion of said at least one metallic capped conductive feature 102 that extends above the upper surface of dielectric material 52 .
  • Another dielectric material 68 is typically, but not necessarily always, located atop the dielectric capping layer 66 .
  • FIGS. 3A-3F illustrate basic processing steps employed in a first embodiment for fabricating the inventive interconnect structure 100 shown in FIG. 2 .
  • a single sacrificial dielectric layer is used and is present during the formation of the metallic capped conductive feature.
  • the sacrificial dielectric layer which now contains metallic residue, is removed from atop the dielectric material.
  • FIG. 3A shows an initial structure that is employed in the first embodiment of the present invention.
  • the initial structure includes a dielectric material 52 which contains a sacrificial dielectric layer 54 on an upper surface of the dielectric material 52 .
  • the dielectric material 52 is typically located on a surface of a substrate (not shown).
  • the substrate may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof.
  • any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors may be used.
  • the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers.
  • the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride or combinations thereof including multilayers.
  • the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
  • CMOS complementary metal oxide semiconductor
  • the dielectric material 52 comprises any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics.
  • the dielectric material 52 may be porous or non-porous.
  • suitable dielectrics include, but are not limited to: SiO 2 , silsesquioxanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, 0 and H, thermosetting polyarylene ethers, or multilayers thereof.
  • polyarylene is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
  • the dielectric material 52 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic crosstalk as compared with dielectric materials that have a higher dielectric constant than 4.0.
  • the thickness of the dielectric material 52 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the layer. Typically, and for normal interconnect structures, the dielectric material 52 has a thickness from about 200 to about 450 nm.
  • the dielectric material 52 is formed utilizing any conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECCVD), evaporation, chemical solution deposition and spin-on coating.
  • CVD chemical vapor deposition
  • PECCVD plasma enhanced chemical vapor deposition
  • evaporation chemical solution deposition
  • spin-on coating any conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECCVD), evaporation, chemical solution deposition and spin-on coating.
  • the sacrificial dielectric layer 54 is formed on the upper surface of the dielectric material 52 utilizing conventional techniques well known to those skilled in the art.
  • the sacrificial dielectric layer 54 can be formed by CVD, PECVD, and spin-on coating.
  • the sacrificial dielectric layer 54 can be formed by a thermal technique including, for example, thermal oxidation and/or thermal nitridation.
  • the sacrificial dielectric layer 54 includes any dielectric material having an etch selectively that differs from that of the underlying dielectric material 52 .
  • the sacrificial dielectric layer 54 is an oxide, nitride or oxynitride of silicon.
  • the sacrificial dielectric material 54 is silicon dioxide.
  • the thickness of the sacrificial dielectric layer 54 may vary depending on the technique used in forming the same as well as the material of the dielectric layer itself. Typically, the thickness of the sacrificial dielectric layer 54 must be thick enough so that during the subsequent formation of the metallic capping layer the metallic residue lays at the upper surface, or within, the sacrificial dielectric layer 54 . The foregoing is achieved when the sacrificial dielectric layer 54 has a thickness from about 10 to about 150 mm, with a thickness from about 20 to about 80 nm being even more preferred.
  • At least one opening 56 is formed into the dielectric material 52 utilizing lithography and etching.
  • a photoresist (not shown) is applied atop the sacrificial dielectric layer 54 utilizing a conventional deposition process.
  • the photoresist is exposed to a pattern of radiation and then the exposed resist is developed utilizing a conventional resist developer.
  • An etching process (dry and/or wet etching) is used to transfer the pattern from the patterned photoresist into the underlying sacrificial dielectric layer 54 and then into the dielectric material 52 .
  • the patterned photoresist can be removed (via a conventional stripping process) after transferring the pattern into at least the sacrificial dielectric layer 54 .
  • the at least one opening 56 can be a line opening, a via opening or a combined line and via opening can be formed. When the latter is formed, a first via and then a line opening process may be used, or a first line and then a via process may be used.
  • the combined line and via are typically used in forming dual damascene structures, while a line or via opening is used in forming a single damascene structure.
  • FIG. 3C shows the structure after forming a diffusion barrier 58 on the exposed wall portions of the dielectric material 52 within the at least one opening 56 , forming a conductive material 60 within the at least one opening 56 atop the diffusion barrier 58 and planarization.
  • the planarization provides a structure in which the upper surface of at least the conductive material 60 within the at least one opening 56 is substantially coplanar with an upper surface of the sacrificial dielectric layer 54 .
  • the diffusion barrier 58 comprises one of Ta, TaN, TiN, Ru, RuN, RuTa, RuTaN, W, WN and any other material that can serve as a barrier to prevent conductive material from diffusing there through.
  • the thickness of the diffusion barrier 58 may vary depending on the deposition process used in forming the same as well as the material employed. Typically, the diffusion barrier 58 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical.
  • the diffusion barrier 58 is formed by a conventional deposition process including, for example, CVD, PECVD, atomic layer deposition (ALD), physical vapor deposition (PVD), sputtering, chemical solution deposition and plating.
  • CVD chemical vapor deposition
  • PECVD atomic layer deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • sputtering chemical solution deposition and plating.
  • the conductive material 60 used in forming a conductive feature embedded within the dielectric material 52 includes, for example, polySi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal silicide or combinations thereof.
  • the conductive material 60 that is used in forming the conductive feature is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention.
  • the conductive material 60 is filled into the remaining at least one opening 56 in the dielectric material 52 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating.
  • a conventional planarization process such as, for example, chemical mechanical polishing (CMP) and/or grinding can be used to provide a structure in which the diffusion barrier 58 and the conductive material 60 each have an upper surface that is substantially coplanar with the upper surface of the sacrificial dielectric material 54 .
  • CMP chemical mechanical polishing
  • a metallic capping layer 62 is formed on the upper exposed surface of each of the conductive features, i.e., atop the conductive material 60 .
  • the resultant structure that is formed after forming the metallic capping layer 62 is shown, for example, in FIG. 3D .
  • the metallic capping layer 62 comprises Co, Ir or Ru alone, or their alloy materials with at least one of W, B, P, Mo and Re. That is, one of Co, Ir and Ru with at least one of W, B, P, Mo and Re.
  • the metallic capping layer 62 has a thickness that is typically within a range from about 2 to about 20 nm, with a thickness range from about 5 to about 10 nm being even more typical.
  • the metallic capping layer 62 is typically, but not necessary always, formed utilizing a directional deposition process including for example, a catalytic plating process and an electroless plating process. In some embodiments a non-directional deposition process such as sputtering, atomic layer deposition (ALD) and CVD can be used. It is noted that during the deposition of the metallic capping layer 62 metallic residue 64 forms on, or within, the sacrificial dielectric layer 54 that is located between each of the conductive features. The metallic residue 64 constitutes basically the same metallic material as that of the metallic capping layer 62 .
  • the sacrificial dielectric layer 54 including the metallic residue 64 is removed from atop the dielectric material 52 utilizing a wet etching process such as, for example, dilute HF.
  • a wet etching process such as, for example, dilute HF.
  • the structure shown in FIG. 3E is different from a conventional interconnect structure in that an upper portion 65 of the conductive material 60 including the metallic capping layer 62 sticks above the surface of the dielectric material 54 .
  • the inventive structure includes a metallic capped conductive feature having an extended top portion 65 which is not coplanar with the dielectric material. Instead, the dielectric material is ‘recessed’ relative to the extended top portion 65 of the metallic capped conductive feature of the present invention.
  • FIG. 3F shows the resultant structure that is formed after a dielectric capping layer 66 is formed.
  • the dielectric capping layer 66 covers the upper exposed surface of the dielectric material 52 as well as the extended portion of the metallic capped conductive feature. That is, the dielectric capping layer 66 encapsulates the extended top portion 65 of the metallic capped conductive feature.
  • the dielectric capping layer 66 is formed utilizing a conventional deposition process such as, for example, CVD, PECVD, and spin-on coating.
  • the dielectric capping layer 66 comprises any suitable dielectric capping material such as, for example, SiC, Si 4 NH 3 , SiO 2 , a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N,H) or multilayers thereof.
  • the thickness of the capping layer 66 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 66 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
  • dielectric material 68 is optionally formed over the dielectric capping layer 66 providing the structure shown in FIG. 2 .
  • the dielectric material 68 includes the same or different interlevel or intralevel dielectric material as dielectric material 52 . As is the case with dielectric material 52 , porous and non-porous materials are both completed. Further interconnect processing can be performed on dielectric material 68 .
  • FIGS. 4A-4C illustrates some initial processing steps in accordance with a second embodiment of the present invention.
  • the second embodiment differs from the first in that a polishing selective layer 70 is formed atop the sacrificial dielectric layer 54 .
  • FIG. 4A shows the structure after forming the sacrificial dielectric layer 54 and the polishing selective layer 70 atop the dielectric material 52 .
  • the dielectric material 52 , the sacrificial dielectric layer 54 as well as the techniques used in forming each have been described above. See, for example, the above discussion concerning FIG. 3A .
  • the polishing selective layer 70 is formed.
  • the polishing selective layer 70 comprises any material that has a faster removal rate as compared to the sacrificial dielectric layer 54 .
  • the sacrificial dielectric layer 54 comprises silicon oxide
  • SiCOH or other low k (k less than 4.0) materials can be used as the polishing selective layer 70 .
  • FIG. 4B shows the structure after forming at least one opening 56 into the structure shown in FIG. 4A .
  • the at least one opening 56 is formed as described above and the various types of openings mentioned above are also contemplated herein for the second embodiment of the present invention.
  • FIG. 4C shows the structure after filling the at least one opening 56 with a diffusion barrier 58 and a conductive material 60 and after planarization. During the planarization process, the polishing selective layer 70 is removed. The second embodiment then proceeds by utilizing the processing steps associated with FIGS. 3D-3F above. After forming the dielectric capping layer 66 that encapsulates the extended top portion of the metallic capped conductive feature, the other dielectric material 68 is optionally formed as described above.
  • FIGS. 5A-5D illustrates a third embodiment of the present invention which differs from that of the first two embodiments described above.
  • a chemical e.g., oxygen, nitrogen, ammonia and/or hydrogen plasma process is used to remove the metallic residue from the structure.
  • the third embodiment of the present invention begins by providing the structure shown in FIG. 3B utilizing the processing steps described above. Following the formation of the structure shown in FIG. 3B , and subsequent filling of the at least one opening 56 with a diffusion barrier 58 and a conductive material 60 , a planarization process such as, for example, chemical mechanical polishing and/or grinding, is employed to provide the structure shown in FIG. 5A . As shown, the planarization process completely removes the sacrificial dielectric layer 54 from the structure such that the upper surfaces of the diffusion barrier 58 , the conductive material 60 , and the dielectric material 62 are substantially coplanar with each other.
  • a planarization process such as, for example, chemical mechanical polishing and/or grinding
  • FIG. 5B illustrates the structure that is formed after the metallic cap layer 62 is formed on the exposed upper surface of the conductive material 60 .
  • the metallic cap layer 62 is formed as described above and during the deposition of the metallic cap layer metallic residue 64 forms on the exposed surface of the dielectric material 52 that adjoins the metallic capped conductive region.
  • FIG. 5C illustrates the structure during this processing step of the present invention.
  • the chemical plasma process 75 is performed utilizing a plasma of oxygen, nitrogen, ammonia and/or hydrogen. Preferably, an oxygen plasma is employed.
  • the conditions for the chemical plasma process 75 are well known to those skilled in the art and are selected so as to form the damaged surface layer 77 within the dielectric material 52 .
  • the chemical plasma process typically, but not necessarily always, depletes C form the dielectric material 52 .
  • the depth of the damaged surface layer 77 that is formed may vary depending on the plasma conditions employed so long as the damaged surface region has a thickness in which the previously formed metallic residues 64 will be present. It is noted that the chemical plasma process consumes a surface portion of the dielectric material 52 such that the metallic residues 66 will be present in the damaged surface layer 77 .
  • the damaged surface layer 77 is then removed from the structure providing the structure shown in FIG. 5D .
  • the resultant structure includes a ‘recessed’ dielectric material and an extended metallic capped conductive feature. This structure is the same as that shown in FIG. 3E above.
  • the processing steps as described above in connection with FIG. 3F is then performed and thereafter the other dielectric material 68 can be formed as described above in regard to the first embodiment.
  • the resultant structure shown in FIG. 2 is obtained which has the extended portion of the metallic capped conductive feature encapsulated within the dielectric capping layer 66 . It is noted that of the three embodiments described above, the third embodiment is highly preferred since its compatible with current CMP processing and no extra development work is required for optimizing the process.

Abstract

An interconnect structure is provided in which the conductive features embedded within a dielectric material are capped with a metallic capping layer, yet no metallic residue is present on the surface of the dielectric material in the final structure. The inventive interconnect structure has improved dielectric breakdown strength as compared to prior art interconnect structures. Moreover, the inventive interconnect structure has better reliability and technology extendibility for the semiconductor industry. The inventive interconnect structure includes a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of the dielectric material. A dielectric capping layer is located on the dielectric material and it encapsulates the top portion of said at least one metallic capped conductive feature that extends above the upper surface of dielectric material.

Description

    FIELD OF THE INVENTION
  • The present invention relates to a semiconductor structure and a method of fabricating the same. More particularly, the present invention relates to an interconnect structure that has better reliability and technology extendibility for the semiconductor industry.
  • BACKGROUND OF THE INVENTION
  • Generally, semiconductor devices include a plurality of circuits which form an integrated circuit (IC) fabricated on a semiconductor substrate. A complex network of signal paths will normally be routed to connect the circuit elements distributed on the surface of the substrate. Efficient routing of these signals across the device requires formation of multilevel or multilayered schemes, such as, for example, single or dual damascene wiring structures. The wiring structure typically includes copper, Cu, since Cu based interconnects provide higher speed signal transmission between large numbers of transistors on a complex semiconductor chip as compared with aluminum, Al, based interconnects.
  • Within a typical interconnect structure, metal vias run perpendicular to the semiconductor substrate and metal lines run parallel to the semiconductor substrate. Further enhancement of the signal speed and reduction of signals in adjacent metal lines (known as “crosstalk”) are achieved in today's IC product chips by embedding the metal lines and metal vias (e.g., conductive features) in a dielectric material having a dielectric constant of less than 4.0.
  • In the prior art, two different types of capping layers for protecting the conductive feature of an interconnect structure can be used. One type of capping layer comprises a dielectric capping material, while the other type of capping layer comprises a metallic capping material. Although both types of capping layers are available, the metallic capping layer typically has better (i.e., increased) adhesion strength to the underlying conductive feature as compared to that obtained using a dielectric capping layer.
  • The increased adhesion strength provided at the conductive feature/metallic capping layer interface results in better electromigration resistance as compared to the case when a dielectric capping layer is employed. For example, the selective deposition of a Co alloy on a Cu interconnect has been demonstrated to have a greater than 10 times electromigration resistance than the interconnect including a standard dielectric capping material.
  • Despite the improvement in electromigration resistance, the use of a metallic capping layer provides an interconnect structure in which metallic residue is present on the surface of the dielectric material between each conductive feature. This problem in prior art interconnect structures is shown in FIG. 1. Specifically, FIG. 1 shows a prior art interconnect structure 10 that includes a dielectric material 12 which has conductive features embedded therein. The conductive features include a conductive material 16 which is located within an opening provided in the dielectric material 12. The conductive material 16 is separated from the dielectric material 12 by a diffusion barrier 18. A metallic capping layer 20 is present on the upper exposed surface of each conductive feature, i.e., atop the conductive material 16. As shown, metallic residue 22 forms on the exposed upper surface of the dielectric material 12 during the formation of the metallic capping layer 20.
  • The presence of the metallic residue 22 between each of the conductive features hinders the reliability of the prior art interconnect structure 10 and has delayed using metallic capping layers for the last three generations.
  • In view of the above, there is a need for providing a new and improved interconnect structure which employs metallic capping layers atop the conductive features, while eliminating metallic residue from the dielectric material that is located between each conductive feature.
  • SUMMARY OF THE INVENTION
  • The present invention provides an interconnect structure (of the single or dual damascene type) and a method of forming the same, which removes unwanted metallic residue from the surface of the dielectric material which is located between each metallic capped conductive feature. The inventive interconnect structure has improved dielectric breakdown strength as compared to prior art interconnect structures. The inventive interconnect structure has better reliability and technology extendibility for the semiconductor industry.
  • The present invention solves the above mentioned problem and achieves the aforementioned objectives by providing an interconnect structure including at least one metallic capped conductive feature embedded in a dielectric material wherein a top portion of the at least one metallic capped conductive feature extends above an upper surface of the dielectric material. In the inventive structure, the upper extended portion of the metallic capped conductive feature is encapsulated within a dielectric capping layer. The ‘recessed’ dielectric material contains no metallic residue since the same have been removed during the inventive processing steps.
  • In general terms, the interconnect structure of the present invention comprises:
  • a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of said dielectric material; and
    a dielectric capping layer located on said dielectric material and encapsulating said top portion of said at least one metallic capped conductive feature that extends above the upper surface of said dielectric material.
  • In addition to the interconnect structure mentioned above, the present invention also provides a method of fabricating the same. In general terms, the method of the present invention comprises:
  • providing a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of said dielectric material; and
    forming a dielectric capping layer on said dielectric material which also encapsulates said top portion of said at least one metallic capped conductive feature that extends above the upper surface of said dielectric material.
  • In one embodiment, the providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which the sacrificial dielectric layer is substantially coplanar with said conductive material; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface or within said sacrificial dielectric layer; and removing said sacrificial dielectric layer containing said metallic residue.
  • In another embodiment, the providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a polishing selective layer on said sacrificial dielectric layer; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which the sacrificial dielectric layer is substantially coplanar with said conductive material, wherein said planarizing removes said polishing selective layer; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface or within said sacrificial dielectric layer; and removing said sacrificial dielectric layer containing said metallic residue.
  • In yet another embodiment of the present invention, the providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which conductive material is substantially coplanar with said dielectric material, wherein said planarizing removes said sacrificial dielectric layer; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface of said dielectric material; performing a chemical plasma process to form a damaged surface layer within the dielectric material which includes said metallic residue; and removing said damaged surface layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a pictorial representation (through a cross sectional view) depicting a prior art interconnect structure in which metallic capping layers are present atop each conductive material embedded within a dielectric material
  • FIG. 2 is a pictorial representation (through a cross sectional view) depicting the interconnect structure of the present invention.
  • FIGS. 3A-3F are pictorial representations (through cross sectional views) depicting the basic processing steps employed in a first embodiment of the present invention.
  • FIGS. 4A-4C are pictorial representations (through cross sectional views) depicting some of the processing steps employed in a second embodiment of the present invention.
  • FIGS. 5A-5D are pictorial representations (through cross sectional views) depicting a third embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention, which provides an interconnect structure including a metallic capped conductive feature in which no metallic residue is present on the dielectric material between each of the metallic capped conductive features as well as a method of fabricating the same, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. The drawings of the present invention, which are referred to in the present application, are provided for illustrative purposes and, as such, they are not drawn to scale.
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the invention.
  • It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
  • As stated above, the present invention provides an interconnect structure in which the conductive features embedded within a dielectric material are capped with a metallic capping layer, yet no metallic residue is present on the surface of the dielectric layer in the final structure. The inventive interconnect structure has improved dielectric breakdown strength as compared to prior art interconnect structures. Moreover, the inventive interconnect structure has better reliability and technology extendibility for the semiconductor industry.
  • The interconnect structure 100 of the present invention is shown, for example, in FIG. 2. Specifically, the inventive interconnect structure 100 includes a dielectric material 52 having at least one metallic capped conductive feature 102 embedded therein, wherein a top portion of said at least one metallic capped conductive feature 102 extends above an upper surface of the dielectric material 52.
  • The at least one metallic capped feature 102 includes a metallic capping layer 62 and a conductive material 60. The conductive material 60 is separated from the dielectric material 52 by a diffusion barrier 58. The conductive material 60 and the diffusion barrier 58 are located within an opening that is formed into the dielectric material 52. A dielectric capping layer 66 is located on the dielectric material 52 and it encapsulates the top portion of said at least one metallic capped conductive feature 102 that extends above the upper surface of dielectric material 52. Another dielectric material 68 is typically, but not necessarily always, located atop the dielectric capping layer 66.
  • Reference is now made to FIGS. 3A-3F which illustrate basic processing steps employed in a first embodiment for fabricating the inventive interconnect structure 100 shown in FIG. 2. In the first embodiment of the present invention, a single sacrificial dielectric layer is used and is present during the formation of the metallic capped conductive feature. After forming the metallic cap on the exposed upper surface of the conductive material, the sacrificial dielectric layer, which now contains metallic residue, is removed from atop the dielectric material.
  • FIG. 3A shows an initial structure that is employed in the first embodiment of the present invention. As illustrated, the initial structure includes a dielectric material 52 which contains a sacrificial dielectric layer 54 on an upper surface of the dielectric material 52. The dielectric material 52 is typically located on a surface of a substrate (not shown).
  • The substrate, which is not shown, may comprise a semiconducting material, an insulating material, a conductive material or any combination thereof. When the substrate is comprised of a semiconducting material, any semiconductor such as Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors may be used. In addition to these listed types of semiconducting materials, the present invention also contemplates cases in which the semiconductor substrate is a layered semiconductor such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs).
  • When the substrate is an insulating material, the insulating material can be an organic insulator, an inorganic insulator or a combination thereof including multilayers. When the substrate is a conducting material, the substrate may include, for example, polySi, an elemental metal, alloys of elemental metals, a metal silicide, a metal nitride or combinations thereof including multilayers. When the substrate comprises a semiconducting material, one or more semiconductor devices such as, for example, complementary metal oxide semiconductor (CMOS) devices can be fabricated thereon.
  • The dielectric material 52 comprises any interlevel or intralevel dielectric including inorganic dielectrics or organic dielectrics. The dielectric material 52 may be porous or non-porous. Some examples of suitable dielectrics that can be used as the dielectric material 52 include, but are not limited to: SiO2, silsesquioxanes, C doped oxides (i.e., organosilicates) that include atoms of Si, C, 0 and H, thermosetting polyarylene ethers, or multilayers thereof. The term “polyarylene” is used in this application to denote aryl moieties or inertly substituted aryl moieties which are linked together by bonds, fused rings, or inert linking groups such as, for example, oxygen, sulfur, sulfone, sulfoxide, carbonyl and the like.
  • The dielectric material 52 typically has a dielectric constant that is about 4.0 or less, with a dielectric constant of about 2.8 or less being even more typical. These dielectrics generally have a lower parasitic crosstalk as compared with dielectric materials that have a higher dielectric constant than 4.0. The thickness of the dielectric material 52 may vary depending upon the dielectric material used as well as the exact number of dielectrics within the layer. Typically, and for normal interconnect structures, the dielectric material 52 has a thickness from about 200 to about 450 nm.
  • The dielectric material 52 is formed utilizing any conventional deposition process including, for example, chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECCVD), evaporation, chemical solution deposition and spin-on coating.
  • Following the formation of the dielectric material 52 on a substrate (not shown), the sacrificial dielectric layer 54 is formed on the upper surface of the dielectric material 52 utilizing conventional techniques well known to those skilled in the art. For example, the sacrificial dielectric layer 54 can be formed by CVD, PECVD, and spin-on coating. Alternatively, the sacrificial dielectric layer 54 can be formed by a thermal technique including, for example, thermal oxidation and/or thermal nitridation.
  • The sacrificial dielectric layer 54 includes any dielectric material having an etch selectively that differs from that of the underlying dielectric material 52. Particularly, the sacrificial dielectric layer 54 is an oxide, nitride or oxynitride of silicon. In one embodiment of the present invention, the sacrificial dielectric material 54 is silicon dioxide.
  • The thickness of the sacrificial dielectric layer 54 may vary depending on the technique used in forming the same as well as the material of the dielectric layer itself. Typically, the thickness of the sacrificial dielectric layer 54 must be thick enough so that during the subsequent formation of the metallic capping layer the metallic residue lays at the upper surface, or within, the sacrificial dielectric layer 54. The foregoing is achieved when the sacrificial dielectric layer 54 has a thickness from about 10 to about 150 mm, with a thickness from about 20 to about 80 nm being even more preferred.
  • Next, and as shown in FIG. 3B, at least one opening 56 is formed into the dielectric material 52 utilizing lithography and etching. Specifically, a photoresist (not shown) is applied atop the sacrificial dielectric layer 54 utilizing a conventional deposition process. The photoresist is exposed to a pattern of radiation and then the exposed resist is developed utilizing a conventional resist developer. An etching process (dry and/or wet etching) is used to transfer the pattern from the patterned photoresist into the underlying sacrificial dielectric layer 54 and then into the dielectric material 52. During the etching process, the patterned photoresist can be removed (via a conventional stripping process) after transferring the pattern into at least the sacrificial dielectric layer 54.
  • The at least one opening 56 can be a line opening, a via opening or a combined line and via opening can be formed. When the latter is formed, a first via and then a line opening process may be used, or a first line and then a via process may be used. The combined line and via are typically used in forming dual damascene structures, while a line or via opening is used in forming a single damascene structure.
  • FIG. 3C shows the structure after forming a diffusion barrier 58 on the exposed wall portions of the dielectric material 52 within the at least one opening 56, forming a conductive material 60 within the at least one opening 56 atop the diffusion barrier 58 and planarization. The planarization provides a structure in which the upper surface of at least the conductive material 60 within the at least one opening 56 is substantially coplanar with an upper surface of the sacrificial dielectric layer 54.
  • The diffusion barrier 58 comprises one of Ta, TaN, TiN, Ru, RuN, RuTa, RuTaN, W, WN and any other material that can serve as a barrier to prevent conductive material from diffusing there through. The thickness of the diffusion barrier 58 may vary depending on the deposition process used in forming the same as well as the material employed. Typically, the diffusion barrier 58 has a thickness from about 4 to about 40 nm, with a thickness from about 7 to about 20 nm being more typical.
  • The diffusion barrier 58 is formed by a conventional deposition process including, for example, CVD, PECVD, atomic layer deposition (ALD), physical vapor deposition (PVD), sputtering, chemical solution deposition and plating.
  • The conductive material 60 used in forming a conductive feature embedded within the dielectric material 52 includes, for example, polySi, a conductive metal, an alloy comprising at least one conductive metal, a conductive metal silicide or combinations thereof. Preferably, the conductive material 60 that is used in forming the conductive feature is a conductive metal such as Cu, W or Al, with Cu or a Cu alloy (such as AlCu) being highly preferred in the present invention. The conductive material 60 is filled into the remaining at least one opening 56 in the dielectric material 52 utilizing a conventional deposition process including, but not limited to: CVD, PECVD, sputtering, chemical solution deposition or plating.
  • After deposition, a conventional planarization process such as, for example, chemical mechanical polishing (CMP) and/or grinding can be used to provide a structure in which the diffusion barrier 58 and the conductive material 60 each have an upper surface that is substantially coplanar with the upper surface of the sacrificial dielectric material 54.
  • Next, a metallic capping layer 62 is formed on the upper exposed surface of each of the conductive features, i.e., atop the conductive material 60. The resultant structure that is formed after forming the metallic capping layer 62 is shown, for example, in FIG. 3D. The metallic capping layer 62 comprises Co, Ir or Ru alone, or their alloy materials with at least one of W, B, P, Mo and Re. That is, one of Co, Ir and Ru with at least one of W, B, P, Mo and Re. The metallic capping layer 62 has a thickness that is typically within a range from about 2 to about 20 nm, with a thickness range from about 5 to about 10 nm being even more typical.
  • The metallic capping layer 62 is typically, but not necessary always, formed utilizing a directional deposition process including for example, a catalytic plating process and an electroless plating process. In some embodiments a non-directional deposition process such as sputtering, atomic layer deposition (ALD) and CVD can be used. It is noted that during the deposition of the metallic capping layer 62 metallic residue 64 forms on, or within, the sacrificial dielectric layer 54 that is located between each of the conductive features. The metallic residue 64 constitutes basically the same metallic material as that of the metallic capping layer 62.
  • Next, and as shown in FIG. 3E, the sacrificial dielectric layer 54 including the metallic residue 64 is removed from atop the dielectric material 52 utilizing a wet etching process such as, for example, dilute HF. It is noted that the structure shown in FIG. 3E is different from a conventional interconnect structure in that an upper portion 65 of the conductive material 60 including the metallic capping layer 62 sticks above the surface of the dielectric material 54. That is, the inventive structure includes a metallic capped conductive feature having an extended top portion 65 which is not coplanar with the dielectric material. Instead, the dielectric material is ‘recessed’ relative to the extended top portion 65 of the metallic capped conductive feature of the present invention.
  • FIG. 3F shows the resultant structure that is formed after a dielectric capping layer 66 is formed. The dielectric capping layer 66 covers the upper exposed surface of the dielectric material 52 as well as the extended portion of the metallic capped conductive feature. That is, the dielectric capping layer 66 encapsulates the extended top portion 65 of the metallic capped conductive feature.
  • The dielectric capping layer 66 is formed utilizing a conventional deposition process such as, for example, CVD, PECVD, and spin-on coating. The dielectric capping layer 66 comprises any suitable dielectric capping material such as, for example, SiC, Si4NH3, SiO2, a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide SiC(N,H) or multilayers thereof. The thickness of the capping layer 66 may vary depending on the technique used to form the same as well as the material make-up of the layer. Typically, the capping layer 66 has a thickness from about 15 to about 55 nm, with a thickness from about 25 to about 45 nm being more typical.
  • Next, another dielectric material 68 is optionally formed over the dielectric capping layer 66 providing the structure shown in FIG. 2. The dielectric material 68 includes the same or different interlevel or intralevel dielectric material as dielectric material 52. As is the case with dielectric material 52, porous and non-porous materials are both completed. Further interconnect processing can be performed on dielectric material 68.
  • Reference is now made to FIGS. 4A-4C which illustrates some initial processing steps in accordance with a second embodiment of the present invention. The second embodiment differs from the first in that a polishing selective layer 70 is formed atop the sacrificial dielectric layer 54.
  • Reference is first made to FIG. 4A which shows the structure after forming the sacrificial dielectric layer 54 and the polishing selective layer 70 atop the dielectric material 52. The dielectric material 52, the sacrificial dielectric layer 54 as well as the techniques used in forming each have been described above. See, for example, the above discussion concerning FIG. 3A. After forming the sacrificial dielectric layer 54 on the upper surface of dielectric material 52, the polishing selective layer 70 is formed. The polishing selective layer 70 comprises any material that has a faster removal rate as compared to the sacrificial dielectric layer 54. Thus, for example, when the sacrificial dielectric layer 54 comprises silicon oxide, SiCOH or other low k (k less than 4.0) materials can be used as the polishing selective layer 70.
  • FIG. 4B shows the structure after forming at least one opening 56 into the structure shown in FIG. 4A. The at least one opening 56 is formed as described above and the various types of openings mentioned above are also contemplated herein for the second embodiment of the present invention.
  • FIG. 4C shows the structure after filling the at least one opening 56 with a diffusion barrier 58 and a conductive material 60 and after planarization. During the planarization process, the polishing selective layer 70 is removed. The second embodiment then proceeds by utilizing the processing steps associated with FIGS. 3D-3F above. After forming the dielectric capping layer 66 that encapsulates the extended top portion of the metallic capped conductive feature, the other dielectric material 68 is optionally formed as described above.
  • FIGS. 5A-5D illustrates a third embodiment of the present invention which differs from that of the first two embodiments described above. In this embodiment, a chemical, e.g., oxygen, nitrogen, ammonia and/or hydrogen plasma process is used to remove the metallic residue from the structure.
  • The third embodiment of the present invention begins by providing the structure shown in FIG. 3B utilizing the processing steps described above. Following the formation of the structure shown in FIG. 3B, and subsequent filling of the at least one opening 56 with a diffusion barrier 58 and a conductive material 60, a planarization process such as, for example, chemical mechanical polishing and/or grinding, is employed to provide the structure shown in FIG. 5A. As shown, the planarization process completely removes the sacrificial dielectric layer 54 from the structure such that the upper surfaces of the diffusion barrier 58, the conductive material 60, and the dielectric material 62 are substantially coplanar with each other.
  • FIG. 5B illustrates the structure that is formed after the metallic cap layer 62 is formed on the exposed upper surface of the conductive material 60. The metallic cap layer 62 is formed as described above and during the deposition of the metallic cap layer metallic residue 64 forms on the exposed surface of the dielectric material 52 that adjoins the metallic capped conductive region.
  • Next, a chemical plasma process 75 is performed to form a damaged surface layer 77 on the dielectric material 52. FIG. 5C illustrates the structure during this processing step of the present invention. The chemical plasma process 75 is performed utilizing a plasma of oxygen, nitrogen, ammonia and/or hydrogen. Preferably, an oxygen plasma is employed. The conditions for the chemical plasma process 75 are well known to those skilled in the art and are selected so as to form the damaged surface layer 77 within the dielectric material 52.
  • It is noted that the chemical plasma process typically, but not necessarily always, depletes C form the dielectric material 52. The depth of the damaged surface layer 77 that is formed may vary depending on the plasma conditions employed so long as the damaged surface region has a thickness in which the previously formed metallic residues 64 will be present. It is noted that the chemical plasma process consumes a surface portion of the dielectric material 52 such that the metallic residues 66 will be present in the damaged surface layer 77.
  • The damaged surface layer 77 is then removed from the structure providing the structure shown in FIG. 5D. As is illustrated, the resultant structure includes a ‘recessed’ dielectric material and an extended metallic capped conductive feature. This structure is the same as that shown in FIG. 3E above. The processing steps as described above in connection with FIG. 3F is then performed and thereafter the other dielectric material 68 can be formed as described above in regard to the first embodiment.
  • Notwithstanding which of the three embodiments are preferred, the resultant structure shown in FIG. 2 is obtained which has the extended portion of the metallic capped conductive feature encapsulated within the dielectric capping layer 66. It is noted that of the three embodiments described above, the third embodiment is highly preferred since its compatible with current CMP processing and no extra development work is required for optimizing the process.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (20)

1. An interconnect structure comprising:
a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of said dielectric material; and
a dielectric capping layer located on said dielectric material and encapsulating said top portion of said at least one metallic capped conductive feature that extends above the upper surface of said dielectric material.
2. The interconnect structure of claim 1 further comprising a diffusion barrier separating said at least one metallic capped conductive feature from said dielectric material.
3. The interconnect structure of claim 1 wherein said dielectric material has a dielectric constant of about 4.0 or less.
4. The interconnect structure of claim 3 wherein said dielectric material is comprised of SiO2, silsesquioxanes, C doped oxides that include atoms of Si, C, O and H, thermosetting polyarylene ethers, or multilayers thereof.
5. The interconnect structure of claim 1 wherein the at least one metallic capped conductive feature comprises a metallic capping layer located on an upper surface of a conductive material.
6. The interconnect structure of claim 5 wherein said metallic capping layer comprises Co, Ir or Ru or any one of Co, Ir and Ru that is alloyed with at least one of W, B, P, Mo and Re.
7. The interconnect structure of claim 5 wherein said conductive material is Cu, W, Al or a Cu alloy.
8. The interconnect structure of claim 1 wherein said dielectric capping layer comprises SiC, Si4NH3, SiO2, a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide or multilayers thereof.
9. The interconnect structure of claim 1 further comprises another dielectric material located on a surface of the dielectric capping layer.
10. A method of forming an interconnect structure comprising:
providing a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of said dielectric material; and
forming a dielectric capping layer on said dielectric material which also encapsulates said top portion of said at least one metallic capped conductive feature that extends above the upper surface of said dielectric material.
11. The method of claim 10 wherein said providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which the sacrificial dielectric layer is substantially coplanar with said conductive material; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface or within said sacrificial dielectric layer; and removing said sacrificial dielectric layer containing said metallic residue.
12. The method of claim 10 wherein said providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a polishing selective layer on said sacrificial dielectric layer; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which the sacrificial dielectric layer is substantially coplanar with said conductive material, wherein said planarizing removes said polishing selective layer; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface or within said sacrificial dielectric layer; and removing said sacrificial dielectric layer containing said metallic residue.
13. The method of claim 10 wherein said providing said dielectric material having the at least one metallic capped conductive feature comprises forming a sacrificial dielectric layer on said dielectric material; forming a conductive feature embedded within said dielectric material; planarizing to provide a structure in which conductive material is substantially coplanar with said dielectric material, wherein said planarizing removes said sacrificial dielectric layer; forming a metallic capping layer on a conductive surface of said conductive feature, wherein during said forming said metallic capping layer metallic residue forms at a surface of said dielectric material; performing a chemical plasma process to form a damaged surface layer within the dielectric material which includes said metallic residue; and removing said damaged surface layer.
14. The method of claim 10 further comprising forming another dielectric material on an upper surface of said dielectric capping layer.
15. The method of claim 10 wherein the at least one metallic capped conductive feature comprises a metallic capping layer located on an upper surface of a conductive material.
16. The method of claim 15 wherein said metallic capping layer comprises Co, Ir or Ru or any one of Co, Ir and Ru that is alloyed with at least one of W, B, P, Mo and Re.
17. The method of claim 15 wherein said conductive material is Cu, W, Al or a Cu alloy.
18. The method of claim 10 wherein said forming said dielectric capping layer comprises selecting one of SiC, Si4NH3, SiO2, a carbon doped oxide, a nitrogen and hydrogen doped silicon carbide and multilayers thereof.
19. The method of claim 13 wherein said chemical plasma process comprises a plasma including at least one of oxygen, nitrogen, ammonia and hydrogen.
20. A method of forming an interconnect structure comprising:
providing a dielectric material having at least one metallic capped conductive feature embedded therein, wherein a top portion of said at least one metallic capped conductive feature extends above an upper surface of said dielectric material, said top portion is formed by removing a damaged surface layer located at the surface of said dielectric material which included metallic residue therein; and
forming a dielectric capping layer on said dielectric material which also encapsulates said top portion of said at least one metallic capped conductive feature that extends above the upper surface of said dielectric material.
US11/675,296 2007-02-15 2007-02-15 Structure for metal cap applications Abandoned US20080197499A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/675,296 US20080197499A1 (en) 2007-02-15 2007-02-15 Structure for metal cap applications
TW097104278A TW200849383A (en) 2007-02-15 2008-02-04 Structure for metal cap applications
CN2008100056919A CN101246874B (en) 2007-02-15 2008-02-15 Structure for interconnection and manufacture method
US12/881,806 US8133810B2 (en) 2007-02-15 2010-09-14 Structure for metal cap applications

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/675,296 US20080197499A1 (en) 2007-02-15 2007-02-15 Structure for metal cap applications

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/881,806 Division US8133810B2 (en) 2007-02-15 2010-09-14 Structure for metal cap applications

Publications (1)

Publication Number Publication Date
US20080197499A1 true US20080197499A1 (en) 2008-08-21

Family

ID=39705946

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/675,296 Abandoned US20080197499A1 (en) 2007-02-15 2007-02-15 Structure for metal cap applications
US12/881,806 Expired - Fee Related US8133810B2 (en) 2007-02-15 2010-09-14 Structure for metal cap applications

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/881,806 Expired - Fee Related US8133810B2 (en) 2007-02-15 2010-09-14 Structure for metal cap applications

Country Status (3)

Country Link
US (2) US20080197499A1 (en)
CN (1) CN101246874B (en)
TW (1) TW200849383A (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080197500A1 (en) * 2007-02-16 2008-08-21 International Business Machines Corporation Interconnect structure with bi-layer metal cap
US20090152723A1 (en) * 2007-12-12 2009-06-18 International Business Machines Corporation Interconnect structure and method of making same
US20100038783A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Metal cap for back end of line (beol) interconnects, design structure and method of manufacture
US20100071936A1 (en) * 2007-04-05 2010-03-25 Dsem Holdings Sdn. Bhd. Thermally-Efficient Metal Core Printed Circuit Board With Selective Electrical And Thermal Connectivity
US20100084767A1 (en) * 2008-10-08 2010-04-08 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
WO2010042263A1 (en) * 2008-10-08 2010-04-15 International Business Machines Corporation Surface repair structure and process for interconnect applications
US20110074039A1 (en) * 2009-09-28 2011-03-31 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect for semiconductor device
US20120104610A1 (en) * 2010-10-29 2012-05-03 International Business Machines Corporation Interconnect structure with enhanced reliability
US8232200B1 (en) * 2011-03-18 2012-07-31 International Business Machines Corporation Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US8809183B2 (en) 2010-09-21 2014-08-19 International Business Machines Corporation Interconnect structure with a planar interface between a selective conductive cap and a dielectric cap layer
US20140332964A1 (en) * 2013-05-10 2014-11-13 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US20150221557A1 (en) * 2014-02-05 2015-08-06 Samsung Electronics Co., Ltd. Wiring structures and methods of forming the same
CN105225925A (en) * 2014-06-25 2016-01-06 朗姆研究公司 The clean of the carbon back pollutant in the metal interconnecting piece of application is covered for cross tie part
US9870994B2 (en) * 2014-09-17 2018-01-16 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20190067194A1 (en) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10395984B2 (en) 2014-12-08 2019-08-27 International Business Machines Corporation Self-aligned via interconnect structures

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103390607B (en) * 2012-05-09 2015-12-16 中芯国际集成电路制造(上海)有限公司 Copper interconnection structure and forming method thereof
US8969197B2 (en) * 2012-05-18 2015-03-03 International Business Machines Corporation Copper interconnect structure and its formation
CN103474416B (en) * 2012-06-06 2017-12-01 中芯国际集成电路制造(上海)有限公司 Interconnection structure and forming method thereof
CN105336573B (en) * 2014-08-01 2019-01-29 中芯国际集成电路制造(上海)有限公司 The method for removing aluminium residue
US10886168B2 (en) 2019-06-04 2021-01-05 International Business Machines Corporation Surface modified dielectric refill structure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US20020192940A1 (en) * 2001-06-15 2002-12-19 Shyh-Dar Lee Method for forming selective protection layers on copper interconnects
US20050087871A1 (en) * 2003-10-24 2005-04-28 Kazuhide Abe Wiring structure of semiconductor device and production method of the device
US20050253266A1 (en) * 2004-04-22 2005-11-17 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US7217653B2 (en) * 2003-07-24 2007-05-15 Ebara Corporation Interconnects forming method and interconnects forming apparatus

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6429519B1 (en) * 1997-04-03 2002-08-06 International Business Machines Corporation Wiring structures containing interconnected metal and wiring levels including a continuous, single crystalline or polycrystalline conductive material having one or more twin boundaries
US6383920B1 (en) * 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US20020192940A1 (en) * 2001-06-15 2002-12-19 Shyh-Dar Lee Method for forming selective protection layers on copper interconnects
US7217653B2 (en) * 2003-07-24 2007-05-15 Ebara Corporation Interconnects forming method and interconnects forming apparatus
US20050087871A1 (en) * 2003-10-24 2005-04-28 Kazuhide Abe Wiring structure of semiconductor device and production method of the device
US20050253266A1 (en) * 2004-04-22 2005-11-17 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20070123029A1 (en) * 2004-04-22 2007-05-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080197500A1 (en) * 2007-02-16 2008-08-21 International Business Machines Corporation Interconnect structure with bi-layer metal cap
US7745282B2 (en) * 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
US20100071936A1 (en) * 2007-04-05 2010-03-25 Dsem Holdings Sdn. Bhd. Thermally-Efficient Metal Core Printed Circuit Board With Selective Electrical And Thermal Connectivity
US20090152723A1 (en) * 2007-12-12 2009-06-18 International Business Machines Corporation Interconnect structure and method of making same
US8772933B2 (en) 2007-12-12 2014-07-08 International Business Machines Corporation Interconnect structure and method of making same
US8772180B2 (en) * 2007-12-12 2014-07-08 International Business Machines Corporation Interconnect structure and method of making same
US9334572B2 (en) 2007-12-12 2016-05-10 Globalfoundries Inc. Interconnect structure and method of making same
US20120171862A1 (en) * 2007-12-12 2012-07-05 International Business Machines Corporation Interconnect structure and method of making same
US8563419B2 (en) 2008-08-14 2013-10-22 International Business Machines Corporation Method of manufacturing an interconnect structure and design structure thereof
US8232645B2 (en) * 2008-08-14 2012-07-31 International Business Machines Corporation Interconnect structures, design structure and method of manufacture
US20100038783A1 (en) * 2008-08-14 2010-02-18 International Business Machines Corporation Metal cap for back end of line (beol) interconnects, design structure and method of manufacture
US8610276B2 (en) 2008-08-14 2013-12-17 International Business Machines Corporation Metal cap for back end of line (BEOL) interconnects, design structure and method of manufacture
US8823176B2 (en) 2008-10-08 2014-09-02 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
WO2010042263A1 (en) * 2008-10-08 2010-04-15 International Business Machines Corporation Surface repair structure and process for interconnect applications
CN102171810A (en) * 2008-10-08 2011-08-31 国际商业机器公司 Discontinuous/non-uniform metal cap structure and process for interconnect integration
WO2010042265A1 (en) * 2008-10-08 2010-04-15 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
US20100084767A1 (en) * 2008-10-08 2010-04-08 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
US8889546B2 (en) 2008-10-08 2014-11-18 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
US8802563B2 (en) 2008-10-08 2014-08-12 International Business Machines Corporation Surface repair structure and process for interconnect applications
US9054107B2 (en) 2009-09-28 2015-06-09 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
US8598031B2 (en) * 2009-09-28 2013-12-03 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
US20110074039A1 (en) * 2009-09-28 2011-03-31 Chartered Semiconductor Manufacturing, Ltd. Reliable interconnect for semiconductor device
US8809183B2 (en) 2010-09-21 2014-08-19 International Business Machines Corporation Interconnect structure with a planar interface between a selective conductive cap and a dielectric cap layer
US20120104610A1 (en) * 2010-10-29 2012-05-03 International Business Machines Corporation Interconnect structure with enhanced reliability
US9673089B2 (en) 2010-10-29 2017-06-06 Auriga Innovations, Inc Interconnect structure with enhanced reliability
US8912658B2 (en) * 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
US8373273B2 (en) 2011-03-18 2013-02-12 Samsung Electronics Co., Ltd. Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
US8232200B1 (en) * 2011-03-18 2012-07-31 International Business Machines Corporation Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US20140332960A1 (en) * 2013-05-10 2014-11-13 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US8962479B2 (en) * 2013-05-10 2015-02-24 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US9006895B2 (en) * 2013-05-10 2015-04-14 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US20140332964A1 (en) * 2013-05-10 2014-11-13 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US20150221557A1 (en) * 2014-02-05 2015-08-06 Samsung Electronics Co., Ltd. Wiring structures and methods of forming the same
CN105225925A (en) * 2014-06-25 2016-01-06 朗姆研究公司 The clean of the carbon back pollutant in the metal interconnecting piece of application is covered for cross tie part
US9870994B2 (en) * 2014-09-17 2018-01-16 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10395984B2 (en) 2014-12-08 2019-08-27 International Business Machines Corporation Self-aligned via interconnect structures
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US11348832B2 (en) 2014-12-08 2022-05-31 International Business Machines Corporation Self-aligned via interconnect structures
US20190067194A1 (en) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10777504B2 (en) 2017-08-31 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11610841B2 (en) 2017-08-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof

Also Published As

Publication number Publication date
CN101246874A (en) 2008-08-20
US20110003473A1 (en) 2011-01-06
TW200849383A (en) 2008-12-16
US8133810B2 (en) 2012-03-13
CN101246874B (en) 2011-05-04

Similar Documents

Publication Publication Date Title
US8133810B2 (en) Structure for metal cap applications
US8242600B2 (en) Redundant metal barrier structure for interconnect applications
US8753979B2 (en) Hybrid interconnect structure for performance improvement and reliability enhancement
US7498254B2 (en) Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US8420531B2 (en) Enhanced diffusion barrier for interconnect structures
JP5274475B2 (en) Interconnect structure with improved reliability against electromigration and method of manufacturing the same
US8288276B2 (en) Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
EP2139037B1 (en) Method of fabricating an interconnect structure for electromigration enhancement
US20090298281A1 (en) Interconnect structure with high leakage resistance
WO2011084667A2 (en) Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
US7482261B2 (en) Interconnect structure for BEOL applications
US10224281B2 (en) Metallic blocking layer for reliable interconnects and contacts
US7687877B2 (en) Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, CHIH-CHAO;EDELSTEIN, DANIEL C.;WONG, KEITH KWONG HON;AND OTHERS;REEL/FRAME:018902/0676;SIGNING DATES FROM 20070130 TO 20070202

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910