US20080207007A1 - Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films - Google Patents
Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films Download PDFInfo
- Publication number
- US20080207007A1 US20080207007A1 US12/030,186 US3018608A US2008207007A1 US 20080207007 A1 US20080207007 A1 US 20080207007A1 US 3018608 A US3018608 A US 3018608A US 2008207007 A1 US2008207007 A1 US 2008207007A1
- Authority
- US
- United States
- Prior art keywords
- silicon
- containing source
- group
- oxygen
- nitrogen
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 0 *N([1*])[SiH3].*N([SiH3])[2*]N(*)[SiH3].*N([SiH3])[SiH3] Chemical compound *N([1*])[SiH3].*N([SiH3])[2*]N(*)[SiH3].*N([SiH3])[SiH3] 0.000 description 6
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/308—Oxynitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/0214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02167—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3141—Deposition using atomic layer deposition techniques [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3143—Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
- H01L21/3144—Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/3143—Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
- H01L21/3145—Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
Definitions
- the electronic device manufacturing industry has used chemical vapor deposition (CVD), cyclic chemical vapor deposition (CCVD), or atomic layer deposition (ALD) of silicon nitride, silicon carbonitride, and silicon oxynitride in making integrated circuits.
- CVD chemical vapor deposition
- CCVD cyclic chemical vapor deposition
- ALD atomic layer deposition
- silicon nitride, silicon carbonitride, and silicon oxynitride in making integrated circuits.
- Examples of this industry use include: US 2003/0020111; US 2005/0048204 A1; U.S. Pat. No. 4,720,395; U.S. Pat. No. 7,166,516; Gumpher, J., W. Bather, N. Mehta and D. Wedel.
- the present invention offers an improvement over this prior industry practice for CVD or ALD of silicon-containing films such as silicon nitride, silicon carbonitride, silicon oxynitride, and carbon doped silicon oxide on a substrate, as set forth below.
- the present invention is a process to deposit silicon-containing films such as silicon nitride, silicon carbonitride, silicon oxynitride, and carbon doped silicon oxide on a substrate.
- One embodiment of the present invention is a process to deposit silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride on a semi-conductor substrate comprising:
- Another embodiment of the present invention is a process to deposit silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide on a substrate comprising:
- FIG. 1 is a scheme of typical plasma enhanced cyclic chemical vapor deposition for silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride.
- FIG. 2 is a Deposition Rate vs Pulse Time graph for DIPAS with the following PEALD experimental conditions: 5 sccm NH 3 with plasma power of 1.39 kW, 10 sccm N 2 as sweeping gas, substrate temperature of 400° C., DIPAS at 40° C. in a stainless steel container.
- FIG. 3 is a scheme of typical plasma enhanced cyclic chemical vapor deposition for silicon oxynitride and carbon doped silicon oxide.
- FIG. 4 is the FTIR spectrum for the films of Example 1 and discussed in Example 2.
- the present invention is a process of plasma enhanced cyclic chemical vapor deposition of silicon nitride, silicon carbonitride, silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide from alkylaminosilanes having Si—H 3 , preferably of the formula (R 1 R 2 N)SiH 3 wherein R 1 and R 2 are selected independently from C 2 to C 10 and a nitrogen source, preferably ammonia has been developed to provide films with improved properties such as etching rate, hydrogen concentrations, and stress as compared to films from thermal chemical vapor deposition.
- the process can be performed as atomic layer deposition (ALD), plasma assisted atomic layer deposition (PAALD), chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) or spin on deposition (SOD).
- ALD atomic layer deposition
- PAALD plasma assisted atomic layer deposition
- CVD chemical vapor deposition
- LPCVD low pressure chemical vapor deposition
- PECVD plasma enhanced chemical vapor deposition
- SOD spin on deposition
- FIG. 1 A typical cycle of plasma enhanced cyclic chemical vapor deposition for silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride is shown in FIG. 1 .
- the remote plasma chamber is a Litmas RPS manufactured by Advanced Energy Industries, Inc.
- the Litmas RPS is a cylindrical inductive plasma source (quartz chamber) integrated with a solid-state RF power delivery system. Water cooled coils are wrapped around the chamber to provide cooling for the chamber and to form the RF antenna.
- the frequency operating range is between 1.9 MHz and 3.2 MHz.
- the DC output power range is 100 W to 1500 W.
- the ALD system is a Savannah 100 manufactured by Cambridge NanoTech, Inc.
- the ALD reactor is anodized aluminum and accommodates a 100 mm silicon substrate.
- the ALD reactor has an embedded disk-shaped heating element which heats the substrate from the bottom.
- the precursor valve manifold is enclosed within a heating block, and heating jackets are used to heat the precursor vessels.
- the ALD valves in the precursor valve manifold are three-way valves, which continuously supply 10-100 sccm of inert gas to the ALD reactor.
- silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride is described in the following.
- ammonia plasma is generated in a remote plasma chamber installed approximately 12 inches upstream of the deposition chamber and is supplied to the deposition chamber at a predetermined volume flow rate and for a predetermined time.
- the ammonia plasma is supplied to the ALD chamber by opening the gate valve between the remote plasma head and the ALD reactor for a period of 0.1 to 80 seconds to allow the ammonia radicals to be sufficiently adsorbed so as to saturate a substrate surface.
- the ammonia flow rate supplied to the inlet of the remote plasma chamber is typically in the range of 1 to 100 sccm.
- the RF power in the plasma chamber is variable between 100 W and 1500 W.
- Deposition temperatures are conventional and range from about 200 to 600° C., preferably from 200 to 400° C. for atomic layer deposition and 400 to 600° C. for cyclic chemical vapor deposition. Pressures of from 50 mtorr to 100 torr are exemplary.
- other nitrogen-containing source can be nitrogen, hydrazine, monoalkylhydrozine, dialkylhydrozine, and mixture thereof.
- an inert gas such as Ar, N 2 , or He
- a gas such as Ar, N 2 , or He
- a flow rate of 10 to 100 sccm is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the ammonia radicals and any byproducts that remain in the chamber.
- an organoaminosilane such as diethylaminosilane (DEAS), di-iso-propylaminosilane (DIPAS), di-tert-butylaminosilane (DTBAS), di-sec-butylaminosilane, di-tert-pentylamino silane and mixtures thereof, is introduced into the chamber at a predetermined molar volume. e.g., from 1 to 100 micromoles for a predetermined time period, preferably about 0.005 to 10 seconds.
- the silicon precursor reacts with the ammonia radicals adsorbed on the surface of the substrate resulting in the formation of silicon nitride. Conventional deposition temperatures of from 200 to 500° C. and pressures of from 50 mtorr to 100 torr are employed.
- an inert gas such as Ar, N 2 , or He
- a gas such as Ar, N 2 , or He
- a flow rate of 10 to 100 sccm is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the organoaminosilane and any byproducts that remain in the chamber.
- the four process steps described above comprise a typical ALD process cycle. This ALD process cycle is repeated several times until the desired film thickness is obtained.
- FIG. 2 exhibits a typical ALD saturation curve at a substrate temperature of 400° C.
- FIG. 3 A typical cycle of plasma enhanced cyclic chemical vapor deposition for silicon oxynitride and carbon doped silicon oxide is shown in FIG. 3 .
- oxygen plasma is generated in a remote plasma chamber installed approximately 12 inches upstream of the deposition chamber and is supplied to the deposition chamber at a predetermined volume flow rate and for a predetermined time.
- the oxygen plasma is supplied to the ALD chamber by opening the gate valve between the remote plasma head and the ALD reactor for a period of 0.1 to 80 seconds to allow the oxygen containing radicals to be sufficiently adsorbed so as to saturate a substrate surface.
- the oxygen flow rate supplied to the inlet of the remote plasma chamber is typically in the range of 1 to 100 sccm.
- the RF power in the plasma chamber is variable between 100 W and 1500 W.
- Deposition temperatures are conventional and range from about 200 to 600° C., preferably from 200 to 400° C. for atomic layer deposition and 400 to 600° C. for cyclic chemical vapor deposition. Pressures of from 50 mtorr to 100 torr are exemplary.
- other oxygen-containing source can be ozone, nitrous oxide, and mixture thereof.
- an inert gas such as Ar, N 2 , or He
- a gas such as Ar, N 2 , or He
- a flow rate of 10 to 100 sccm is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the oxygen containing radicals and any byproducts that remain in the chamber.
- an organoaminosilane such as diethylaminosilane (DEAS), di-iso-propylaminosilane (DIPAS), di-tert-butylaminosilane (DTBAS), di-sec-butylaminosilane, di-tert-pentylamino silane and mixtures thereof, is introduced into the chamber at a predetermined molar volume. e.g., from 1 to 100 micromoles for a predetermined time period, preferably about 0.005 to 10 seconds.
- the silicon precursor reacts with the oxygen containing radicals adsorbed on the surface of the substrate resulting in the formation of silicon oxide. Conventional deposition temperatures of from 200 to 500° C. and pressures of from 50 mtorr to 100 torr are employed.
- an inert gas such as Ar, N 2 , or He
- a gas such as Ar, N 2 , or He
- a flow rate of 10 to 100 sccm is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the organoaminosilane and any byproducts that remain in the chamber.
- the four process steps described above comprise an ALD process cycle. This ALD process cycle is repeated several times until the desired film thickness is obtained.
- the said silicon precursor was introduced along with NH 3 after the reactor was pumped down to a vacuum level of ⁇ 40 mT and purged with 10 sccm N 2 .
- the deposition was performed at a temperature of 400° C. Remote plasma was also used to reduce the required deposition temperature.
- the said silicon precursor was pre-heated to 40° C. in a bubbler wrapped with a heat jacket before being introduced into the reactor. The results are summarized in Table 1.
- the flow rate (amount per unit time) of the said silicon precursor out of the bubbler can then be considered to be constant at a given temperature. Therefore, the amount of the said silicon precursor added into the ALD reactor is linearly proportional to the pulse time used to introduce the said silicon precursor.
- the rate of forming silicon nitride films changes as the amount of the said silicon precursor added into the reactor changes even when the deposition temperature and the amount of nitrogen precursor are kept the same.
- the deposited ALD films were analyzed using FTIR.
- the FTIR spectrum for the films is shown in FIG. 4 .
- the peak at 3371 is an N—H stretch (with some O—H) and has the corresponding rock at the shoulder near 1130 cm ⁇ 1.
- the 2218 peak is from Si—H and its broad shape indicates a low stress film.
- the 813 peak is near Si—N.
- An EDX analysis of the deposited films also confirmed the presence of Si and N in the films.
Abstract
The present invention is a process of plasma enhanced cyclic chemical vapor deposition of silicon nitride, silicon carbonitride, silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide from alkylaminosilanes having Si—H3, preferably of the formula (R1R2N)SiH3 wherein R1 and R2 are selected independently from C2 to C10 and a nitrogen or oxygen source, preferably ammonia or oxygen has been developed to provide films with improved properties such as etching rate, hydrogen concentrations, and stess as compared to films from thermal chemical vapor deposition.
Description
- The present patent application claims the benefits of U.S. Provisional Patent Application No. 60/903,734 filed 27 Feb. 2007.
- The electronic device manufacturing industry has used chemical vapor deposition (CVD), cyclic chemical vapor deposition (CCVD), or atomic layer deposition (ALD) of silicon nitride, silicon carbonitride, and silicon oxynitride in making integrated circuits. Examples of this industry use include: US 2003/0020111; US 2005/0048204 A1; U.S. Pat. No. 4,720,395; U.S. Pat. No. 7,166,516; Gumpher, J., W. Bather, N. Mehta and D. Wedel. “Characterization of Low-Temperature Silicon Nitride LPCVD from Bis(tertiary-butylamino)silane and Ammonia.” Journal of The Electrochemical Society 151(5): (2004) G353-G359; US 2006/045986; US 2005/152501; US 2005/255714; U.S. Pat. No. 7,129,187; U.S. 2005/159017; U.S. Pat. No. 6,391,803; U.S. Pat. No. 5,976,991; US 2003/0059535; U.S. Pat. No. 5,234,869; JP2006-301338; US 2006/087893; US 2003/26083; US 2004/017383; U.S. 2006/0019032; US 2003/36097; US 2004/044958; U.S. Pat. No. 6,881,636; U.S. Pat. No. 6,963,101; US 2001/0000476; and US2005/129862. The present invention offers an improvement over this prior industry practice for CVD or ALD of silicon-containing films such as silicon nitride, silicon carbonitride, silicon oxynitride, and carbon doped silicon oxide on a substrate, as set forth below.
- The present invention is a process to deposit silicon-containing films such as silicon nitride, silicon carbonitride, silicon oxynitride, and carbon doped silicon oxide on a substrate.
- One embodiment of the present invention is a process to deposit silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride on a semi-conductor substrate comprising:
-
- a. contacting a nitrogen-containing source with a heated substrate under remote plasma conditions to absorb at least a portion of the nitrogen-containing source on the heated substrate,
- b. purging away any unabsorbed nitrogen-containing source,
- c. contacting the heated substrate with a silicon-containing source having one or more Si—H3 fragments to react with the absorbed oxygen-containing source, wherein the silicon-containing source has one or more H3Si—NR0 2 (R0═SiH3, R, R1 or R2, defined below) groups selected from the group consisting of one or more of:
-
-
- wherein R and R1 in the formulas represent aliphatic groups having from 2 to 10 carbon atoms, wherein R and R1 in formula A may also be a cyclic group, and R2 selected from the group consisting of a single bond, (CH2)n, a ring, or SiH2, and
- d. purging away the unreacted silicon-containing source.
-
- Another embodiment of the present invention is a process to deposit silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide on a substrate comprising:
-
- a. contacting an oxygen-containing source with a heated substrate under remote plasma conditions to absorb at least a portion of the oxygen-containing source on the heated substrate,
- b. purging away any unabsorbed oxygen-containing source,
- c. contacting the heated substrate with a silicon-containing source having one or more Si—H3 fragments to react with the absorbed oxygen-containing source, wherein the silicon-containing source has one or more H3Si—NR0 2 (R0═SiH3, R, R1 or R2, defined below) groups selected from the group consisting of one or more of:
-
-
- wherein R and R1 in the formulas represent aliphatic groups having from 2 to 10 carbon atoms, wherein R and R1 in formula A may also be a cyclic group, and R2 selected from the group consisting of a single bond, (CH2)n, a ring, or SiH2, and
- d. purging away the unreacted silicon-containing source.
-
-
FIG. 1 is a scheme of typical plasma enhanced cyclic chemical vapor deposition for silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride. -
FIG. 2 is a Deposition Rate vs Pulse Time graph for DIPAS with the following PEALD experimental conditions: 5 sccm NH3 with plasma power of 1.39 kW, 10 sccm N2 as sweeping gas, substrate temperature of 400° C., DIPAS at 40° C. in a stainless steel container. -
FIG. 3 is a scheme of typical plasma enhanced cyclic chemical vapor deposition for silicon oxynitride and carbon doped silicon oxide. -
FIG. 4 is the FTIR spectrum for the films of Example 1 and discussed in Example 2. - The present invention is a process of plasma enhanced cyclic chemical vapor deposition of silicon nitride, silicon carbonitride, silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide from alkylaminosilanes having Si—H3, preferably of the formula (R1R2N)SiH3 wherein R1 and R2 are selected independently from C2 to C10 and a nitrogen source, preferably ammonia has been developed to provide films with improved properties such as etching rate, hydrogen concentrations, and stress as compared to films from thermal chemical vapor deposition. Alternately, the process can be performed as atomic layer deposition (ALD), plasma assisted atomic layer deposition (PAALD), chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) or spin on deposition (SOD).
- A typical cycle of plasma enhanced cyclic chemical vapor deposition for silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride is shown in
FIG. 1 . - The remote plasma chamber is a Litmas RPS manufactured by Advanced Energy Industries, Inc. The Litmas RPS is a cylindrical inductive plasma source (quartz chamber) integrated with a solid-state RF power delivery system. Water cooled coils are wrapped around the chamber to provide cooling for the chamber and to form the RF antenna. The frequency operating range is between 1.9 MHz and 3.2 MHz. The DC output power range is 100 W to 1500 W.
- The ALD system is a Savannah 100 manufactured by Cambridge NanoTech, Inc. The ALD reactor is anodized aluminum and accommodates a 100 mm silicon substrate. The ALD reactor has an embedded disk-shaped heating element which heats the substrate from the bottom. There is also a tubular heater embedded in the reactor wall. The precursor valve manifold is enclosed within a heating block, and heating jackets are used to heat the precursor vessels. The ALD valves in the precursor valve manifold are three-way valves, which continuously supply 10-100 sccm of inert gas to the ALD reactor.
- The deposition process for silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride is described in the following.
- In the first step of the process, ammonia plasma is generated in a remote plasma chamber installed approximately 12 inches upstream of the deposition chamber and is supplied to the deposition chamber at a predetermined volume flow rate and for a predetermined time. Typically, the ammonia plasma is supplied to the ALD chamber by opening the gate valve between the remote plasma head and the ALD reactor for a period of 0.1 to 80 seconds to allow the ammonia radicals to be sufficiently adsorbed so as to saturate a substrate surface. During deposition, the ammonia flow rate supplied to the inlet of the remote plasma chamber is typically in the range of 1 to 100 sccm. The RF power in the plasma chamber is variable between 100 W and 1500 W. Deposition temperatures are conventional and range from about 200 to 600° C., preferably from 200 to 400° C. for atomic layer deposition and 400 to 600° C. for cyclic chemical vapor deposition. Pressures of from 50 mtorr to 100 torr are exemplary. In addition, to ammonia, other nitrogen-containing source can be nitrogen, hydrazine, monoalkylhydrozine, dialkylhydrozine, and mixture thereof.
- In the second step of the process, an inert gas, such as Ar, N2, or He, is used to sweep unreacted ammonia radicals from the chamber. Typically in a cyclic deposition process, a gas, such as Ar, N2, or He, is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the ammonia radicals and any byproducts that remain in the chamber.
- In the third step of the process, an organoaminosilane, such as diethylaminosilane (DEAS), di-iso-propylaminosilane (DIPAS), di-tert-butylaminosilane (DTBAS), di-sec-butylaminosilane, di-tert-pentylamino silane and mixtures thereof, is introduced into the chamber at a predetermined molar volume. e.g., from 1 to 100 micromoles for a predetermined time period, preferably about 0.005 to 10 seconds. The silicon precursor reacts with the ammonia radicals adsorbed on the surface of the substrate resulting in the formation of silicon nitride. Conventional deposition temperatures of from 200 to 500° C. and pressures of from 50 mtorr to 100 torr are employed.
- In the fourth step of the process, an inert gas, such as Ar, N2, or He, is used to sweep unreacted organoaminosilane from the chamber. Typically in a cyclic deposition process, a gas, such as Ar, N2, or He, is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the organoaminosilane and any byproducts that remain in the chamber.
- The four process steps described above comprise a typical ALD process cycle. This ALD process cycle is repeated several times until the desired film thickness is obtained.
-
FIG. 2 . exhibits a typical ALD saturation curve at a substrate temperature of 400° C. - A typical cycle of plasma enhanced cyclic chemical vapor deposition for silicon oxynitride and carbon doped silicon oxide is shown in
FIG. 3 . - In the first step of the process, oxygen plasma is generated in a remote plasma chamber installed approximately 12 inches upstream of the deposition chamber and is supplied to the deposition chamber at a predetermined volume flow rate and for a predetermined time. Typically, the oxygen plasma is supplied to the ALD chamber by opening the gate valve between the remote plasma head and the ALD reactor for a period of 0.1 to 80 seconds to allow the oxygen containing radicals to be sufficiently adsorbed so as to saturate a substrate surface. During deposition, the oxygen flow rate supplied to the inlet of the remote plasma chamber is typically in the range of 1 to 100 sccm. The RF power in the plasma chamber is variable between 100 W and 1500 W. Deposition temperatures are conventional and range from about 200 to 600° C., preferably from 200 to 400° C. for atomic layer deposition and 400 to 600° C. for cyclic chemical vapor deposition. Pressures of from 50 mtorr to 100 torr are exemplary. In addition to oxygen, other oxygen-containing source can be ozone, nitrous oxide, and mixture thereof.
- In the second step of the process, an inert gas, such as Ar, N2, or He, is used to sweep unreacted oxygen containing radicals from the chamber. Typically in a cyclic deposition process, a gas, such as Ar, N2, or He, is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the oxygen containing radicals and any byproducts that remain in the chamber.
- In the third step of the process, an organoaminosilane, such as diethylaminosilane (DEAS), di-iso-propylaminosilane (DIPAS), di-tert-butylaminosilane (DTBAS), di-sec-butylaminosilane, di-tert-pentylamino silane and mixtures thereof, is introduced into the chamber at a predetermined molar volume. e.g., from 1 to 100 micromoles for a predetermined time period, preferably about 0.005 to 10 seconds. The silicon precursor reacts with the oxygen containing radicals adsorbed on the surface of the substrate resulting in the formation of silicon oxide. Conventional deposition temperatures of from 200 to 500° C. and pressures of from 50 mtorr to 100 torr are employed.
- In the fourth step of the process, an inert gas, such as Ar, N2, or He, is used to sweep unreacted organoaminosilane from the chamber. Typically in a cyclic deposition process, a gas, such as Ar, N2, or He, is supplied into the chamber at a flow rate of 10 to 100 sccm, thereby purging the organoaminosilane and any byproducts that remain in the chamber.
- The four process steps described above comprise an ALD process cycle. This ALD process cycle is repeated several times until the desired film thickness is obtained.
- In an ALD reactor, the said silicon precursor was introduced along with NH3 after the reactor was pumped down to a vacuum level of ˜40 mT and purged with 10 sccm N2. The deposition was performed at a temperature of 400° C. Remote plasma was also used to reduce the required deposition temperature. The said silicon precursor was pre-heated to 40° C. in a bubbler wrapped with a heat jacket before being introduced into the reactor. The results are summarized in Table 1.
- Since only very small amount of chemical was used during one deposition, the flow rate (amount per unit time) of the said silicon precursor out of the bubbler can then be considered to be constant at a given temperature. Therefore, the amount of the said silicon precursor added into the ALD reactor is linearly proportional to the pulse time used to introduce the said silicon precursor.
- As can be seen from Table 1, the rate of forming silicon nitride films changes as the amount of the said silicon precursor added into the reactor changes even when the deposition temperature and the amount of nitrogen precursor are kept the same.
- It can also be seen from Table 1, when other processing conditions are kept the same, the rate of forming silicon nitride films increases initially from 0.156 A/cycle as the pulse time (or amount) of the said silicon precursor increases from 0.01 seconds to 0.05 seconds. Then, however, the rate remains almost unchanged after even more silicon precursor is added. This suggests that the films formed using the Si precursor are indeed ALD films.
-
TABLE 1 Temperature NH3 pulse Silicon precursor Deposition Rate (° C.) (second) pulse time (second) (A/cycle) 400 3 0.01 0.156 400 3 0.025 0.272 400 3 0.05 0.318 400 3 0.1 0.307 400 3 0.2 0.3 - The deposited ALD films were analyzed using FTIR. The FTIR spectrum for the films is shown in
FIG. 4 . As can be seen fromFIG. 4 there is an absorbance peak at 1046 cm−1, suggesting oxide presence in the film. The peak at 3371 is an N—H stretch (with some O—H) and has the corresponding rock at the shoulder near 1130 cm−1. The 2218 peak is from Si—H and its broad shape indicates a low stress film. The 813 peak is near Si—N. An EDX analysis of the deposited films also confirmed the presence of Si and N in the films. - The embodiments of the present invention listed above, including the working examples, are exemplary of numerous embodiments that may be made of the present invention. It is contemplated that numerous other configurations of the process may be used, and the materials used in the process may be selected from numerous materials other than those specifically disclosed. In short, the present invention has been set forth with regard to particular embodiments, but the full scope of the present invention should be ascertained from the claims as follow.
Claims (14)
1. A process to deposit silicon nitride, silicon carbonitride, silicon oxynitride, and silicon carboxynitride on a semi-conductor substrate comprising:
a. contacting a nitrogen-containing source with a heated substrate under remote plasma conditions to absorb at least a portion of the nitrogen-containing source on the heated substrate,
b. purging away any unabsorbed nitrogen-containing source,
c. contacting the heated substrate with a silicon-containing source having one or more Si—H3 fragments to react with the absorbed nitrogen-containing source, wherein the silicon-containing source has one or more H3Si—NR0 2 (R0═SiH3, R, R1 or R2, defined below) groups selected from the group consisting of one or more of:
2. The process of claim 1 wherein the process is repeated until a desired thickness of film is established.
3. The process of claim 1 is an atomic layer deposition.
4. The process of claim 1 is a plasma enhanced cyclic chemical vapor deposition.
5. The process of claim 1 wherein the substrate temperature is in the range of 200 to 600° C.
6. The process of claim 1 wherein the silicon-containing source having one or more Si—H3 fragments is selected from the group consisting of diethylaminosilane (DEAS), di-iso-propylaminosilane(DIPAS), di-tert-butylaminosilane (DTBAS), di-sec-butylaminosilane, di-tert-pentylamino silane and mixtures thereof.
7. The process of claim 1 wherein the nitrogen-containing source is selected from the group consisting of nitrogen, ammonia, hydrazine, monoalkylhydrozine, dialkylhydrozine, and mixture thereof.
8. A process to deposit silicon oxynitride, silicon carboxynitride, and carbon doped silicon oxide on a semi-conductor substrate comprising:
a. contacting a oxygen-containing source with a heated substrate under remote plasma conditions to absorb at least a portion of the oxygen-containing source on the heated substrate,
b. purging away any unabsorbed oxygen-containing source,
c. contacting the heated substrate with a silicon-containing source having one or more Si—H3 fragments to react with the absorbed oxygen-containing source, wherein the silicon-containing source has one or more H3Si—NR0 2 (R0═SiH3, R, R1 or R2, defined below) groups selected from the group consisting of one or more of:
9. The process of claim 8 wherein the process is repeated until a desired thickness of film is established.
10. The process of claim 8 is an atomic layer deposition.
11. The process of claim 8 is a plasma enhanced cyclic chemical vapor deposition.
12. The process of claim 8 wherein the substrate temperature is in the range of 200 to 600° C.
13. The process of claim 8 wherein the silicon-containing source having one or more Si—H3 fragments is selected from the group consisting of diethylaminosilane (DEAS), di-iso-propylaminosilane(DIPAS), di-tert-butylaminosilane (DTBAS), di-sec-butylaminosilane, di-tert-pentylamino silane and mixtures thereof.
14. The process of claim 8 wherein the oxygen-containing source is selected from the group consisting of oxygen, nitrous oxide, ozone, and mixture thereof.
Priority Applications (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/030,186 US20080207007A1 (en) | 2007-02-27 | 2008-02-12 | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
TW097106379A TWI506157B (en) | 2007-02-27 | 2008-02-22 | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films |
JP2008043910A JP4960276B2 (en) | 2007-02-27 | 2008-02-26 | Periodic plasma chemical vapor deposition of silicon-containing films |
EP08151950.6A EP1967609B1 (en) | 2007-02-27 | 2008-02-26 | Plasma enhanced atomic layer deposition of silicon-containing films |
KR1020080017797A KR100988096B1 (en) | 2007-02-27 | 2008-02-27 | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films |
CN201510250443.0A CN105369215A (en) | 2007-02-27 | 2008-02-27 | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films |
US13/405,453 US8828505B2 (en) | 2007-02-27 | 2012-02-27 | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US90373407P | 2007-02-27 | 2007-02-27 | |
US12/030,186 US20080207007A1 (en) | 2007-02-27 | 2008-02-12 | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/405,453 Division US8828505B2 (en) | 2007-02-27 | 2012-02-27 | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films |
Publications (1)
Publication Number | Publication Date |
---|---|
US20080207007A1 true US20080207007A1 (en) | 2008-08-28 |
Family
ID=39716395
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/030,186 Abandoned US20080207007A1 (en) | 2007-02-27 | 2008-02-12 | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
US13/405,453 Active US8828505B2 (en) | 2007-02-27 | 2012-02-27 | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US13/405,453 Active US8828505B2 (en) | 2007-02-27 | 2012-02-27 | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films |
Country Status (6)
Country | Link |
---|---|
US (2) | US20080207007A1 (en) |
EP (1) | EP1967609B1 (en) |
JP (1) | JP4960276B2 (en) |
KR (1) | KR100988096B1 (en) |
CN (2) | CN101255548A (en) |
TW (1) | TWI506157B (en) |
Cited By (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090203227A1 (en) * | 2008-02-01 | 2009-08-13 | Tokyo Electron Limited | Film Formation method and apparatus for forming silicon-containing insulating film |
US20090232985A1 (en) * | 2005-03-17 | 2009-09-17 | Christian Dussarrat | Method of forming silicon oxide containing films |
US20130323435A1 (en) * | 2012-06-01 | 2013-12-05 | Air Products And Chemicals, Inc. | Organoaminodisilane precursors and methods for depositing films comprising same |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US20140273524A1 (en) * | 2013-03-12 | 2014-09-18 | Victor Nguyen | Plasma Doping Of Silicon-Containing Films |
US8912353B2 (en) | 2010-06-02 | 2014-12-16 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for depositing films comprising same |
US8993072B2 (en) | 2011-09-27 | 2015-03-31 | Air Products And Chemicals, Inc. | Halogenated organoaminosilane precursors and methods for depositing films comprising same |
US20150303051A1 (en) * | 2014-04-17 | 2015-10-22 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium |
EP3029174A1 (en) * | 2014-12-05 | 2016-06-08 | Basf Se | Process for the production of porous thin films |
US9447287B2 (en) | 2011-06-03 | 2016-09-20 | Air Products And Chemicals, Inc. | Compositions and processes for depositing carbon-doped silicon-containing films |
US9673041B2 (en) | 2010-04-15 | 2017-06-06 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for patterning applications |
US9786570B2 (en) | 2012-11-08 | 2017-10-10 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US9793110B2 (en) | 2010-04-15 | 2017-10-17 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9875891B2 (en) | 2014-11-24 | 2018-01-23 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
WO2017062355A3 (en) * | 2015-10-04 | 2018-02-22 | Applied Materials, Inc. | Methods for depositing dielectric barrier layers and aluminum containing etch stop layers |
US20180122631A1 (en) * | 2016-11-01 | 2018-05-03 | Versum Materials Us, Llc | Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features Features |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US10030037B2 (en) | 2014-05-30 | 2018-07-24 | Dow Silicones Corporation | Diaminosilane compounds |
US10030038B2 (en) | 2014-05-30 | 2018-07-24 | Dow Silicones Corporation | Monoaminosilane compounds |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10043655B2 (en) | 2010-04-15 | 2018-08-07 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US20190041756A1 (en) * | 2008-09-29 | 2019-02-07 | Tokyo Electron Limited | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
US10242864B2 (en) | 2012-04-12 | 2019-03-26 | Versum Materials Us, Llc | High temperature atomic layer deposition of silicon oxide thin films |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10373806B2 (en) | 2016-06-30 | 2019-08-06 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10480067B2 (en) * | 2016-02-03 | 2019-11-19 | Tokyo Electron Limited | Film deposition method |
US10900121B2 (en) | 2016-11-21 | 2021-01-26 | Tokyo Electron Limited | Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device |
US20210363639A1 (en) * | 2018-10-04 | 2021-11-25 | Versum Materials Us, Llc | Composition for high temperature atomic layer deposition of high quality silicon oxide thin films |
CN113774720A (en) * | 2021-07-21 | 2021-12-10 | 杭州超探新材料科技有限公司 | Carbon fiber paper and preparation method thereof |
US11646198B2 (en) | 2015-03-20 | 2023-05-09 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
Families Citing this family (368)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090075490A1 (en) * | 2007-09-18 | 2009-03-19 | L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon-containing films |
JP5069598B2 (en) * | 2008-03-27 | 2012-11-07 | 富士フイルム株式会社 | Method for producing gas barrier film |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
CN102047386B (en) * | 2008-06-03 | 2013-06-19 | 气体产品与化学公司 | Low temperature deposition of silicon-containing films |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
TWI385270B (en) * | 2009-06-02 | 2013-02-11 | Air Prod & Chem | Low temperature deposition of silicon-containing films |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP2011089186A (en) * | 2009-10-26 | 2011-05-06 | Tosoh Corp | Silicon carbonitride-containing film, method for producing the same, and usage of the same |
US9243327B2 (en) * | 2009-11-02 | 2016-01-26 | Toray Industries, Inc. | Plasma CVD device and method of manufacturing silicon thin film |
TWI498447B (en) * | 2010-04-01 | 2015-09-01 | Air Liquide | Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
JP5541223B2 (en) * | 2010-07-29 | 2014-07-09 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
JP5689398B2 (en) | 2010-12-21 | 2015-03-25 | 東京エレクトロン株式会社 | Method and apparatus for forming silicon nitride film |
US8647993B2 (en) * | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US8771807B2 (en) | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
KR20150036114A (en) | 2012-07-20 | 2015-04-07 | 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | Organosilane precursors for ald/cvd silicon-containing film applications |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
JP6030378B2 (en) * | 2012-08-14 | 2016-11-24 | 株式会社日立国際電気 | Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
KR101380317B1 (en) * | 2012-08-31 | 2014-04-04 | 주식회사 유진테크 머티리얼즈 | Cyclic aminosilane compounds having excellent affinity towards silicon and metal atoms, preparing method thereof, and its application |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
JP6022274B2 (en) * | 2012-09-18 | 2016-11-09 | 株式会社日立国際電気 | Semiconductor device manufacturing method, substrate processing apparatus, and program |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR102207992B1 (en) * | 2012-10-23 | 2021-01-26 | 램 리써치 코포레이션 | Sub-saturated atomic layer deposition and conformal film deposition |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
JP6024484B2 (en) * | 2013-01-29 | 2016-11-16 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9343317B2 (en) * | 2013-07-01 | 2016-05-17 | Micron Technology, Inc. | Methods of forming silicon-containing dielectric materials and semiconductor device structures |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
TW201509799A (en) | 2013-07-19 | 2015-03-16 | Air Liquide | Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications |
US9382268B1 (en) | 2013-07-19 | 2016-07-05 | American Air Liquide, Inc. | Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9284642B2 (en) * | 2013-09-19 | 2016-03-15 | Asm Ip Holding B.V. | Method for forming oxide film by plasma-assisted processing |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
CN104157567A (en) * | 2014-08-20 | 2014-11-19 | 上海华力微电子有限公司 | Preparation method of silicon oxide film |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (en) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing thin film |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US10570513B2 (en) | 2014-12-13 | 2020-02-25 | American Air Liquide, Inc. | Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
WO2016179255A1 (en) | 2015-05-04 | 2016-11-10 | Cerium Laboratories, Llc | Enhanced surface treatments |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
JP6690496B2 (en) * | 2016-03-17 | 2020-04-28 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
WO2017189581A1 (en) * | 2016-04-25 | 2017-11-02 | Applied Materials, Inc. | Surface functionalization and passivation with a control layer |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (en) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (en) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
JP6963264B2 (en) * | 2017-02-14 | 2021-11-05 | 東芝三菱電機産業システム株式会社 | Nitriding film film formation method |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (en) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | Storage device for storing wafer cassettes for use with batch furnaces |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
JP6787875B2 (en) * | 2017-12-20 | 2020-11-18 | 株式会社Kokusai Electric | Semiconductor device manufacturing methods, substrate processing devices, and programs |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN111699278B (en) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | Method for depositing ruthenium-containing films on substrates by cyclical deposition processes |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
WO2019169335A1 (en) | 2018-03-02 | 2019-09-06 | Lam Research Corporation | Selective deposition using hydrolysis |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
KR20190114874A (en) | 2018-03-30 | 2019-10-10 | 에스케이트리켐 주식회사 | Precursor for silicon containing thin film, deposition method of film and semiconductor device of the same |
TW202344708A (en) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
TWI816783B (en) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
TW202013553A (en) | 2018-06-04 | 2020-04-01 | 荷蘭商Asm 智慧財產控股公司 | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
JP7073924B2 (en) * | 2018-06-06 | 2022-05-24 | 東京エレクトロン株式会社 | A method or device for forming a thin film on a substrate using the atomic layer growth method. |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
KR20210027265A (en) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material |
JP2021529254A (en) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials |
KR20200002519A (en) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (en) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (en) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | Substrate holding apparatus, system including the same, and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (en) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming device structure using selective deposition of gallium nitride, and system for the same |
CN109576677A (en) * | 2018-12-28 | 2019-04-05 | 复旦大学 | A method of utilizing the SiON film of plasma enhanced atomic layer deposition controllable preparation different oxygen |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR20200091543A (en) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
KR20210109046A (en) * | 2019-01-24 | 2021-09-03 | 어플라이드 머티어리얼스, 인코포레이티드 | Methods for depositing silicon nitride |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
JP2020136678A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for filing concave part formed inside front surface of base material, and device |
JP2020136677A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Periodic accumulation method for filing concave part formed inside front surface of base material, and device |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
TW202100794A (en) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108248A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
JP2020167398A (en) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP2021015791A (en) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | Plasma device and substrate processing method using coaxial waveguide |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (en) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | Method of forming topologically controlled amorphous carbon polymer films |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective deposition method for achieving high dopant doping |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (en) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | Liquid level sensor for a chemical source vessel |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TW202129060A (en) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | Substrate processing device, and substrate processing method |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
KR20210045930A (en) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of Topology-Selective Film Formation of Silicon Oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP2021090042A (en) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
CN112992667A (en) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including vanadium nitride layer |
KR20210080214A (en) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate and related semiconductor structures |
KR20210095050A (en) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210117157A (en) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | Method for Fabricating Layer Structure Having Target Topological Profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132605A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Vertical batch furnace assembly comprising a cooling gas supply |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
TW202219628A (en) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
CN114639631A (en) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | Fixing device for measuring jumping and swinging |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
CN117083412A (en) * | 2021-03-18 | 2023-11-17 | 弗萨姆材料美国有限责任公司 | Composition for atomic layer deposition of high quality silicon oxide films |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4720395A (en) * | 1986-08-25 | 1988-01-19 | Anicon, Inc. | Low temperature silicon nitride CVD process |
US5234869A (en) * | 1990-06-28 | 1993-08-10 | Kabushiki Kaisha Toshiba | Method of manufacturing silicon nitride film |
US5976991A (en) * | 1998-06-11 | 1999-11-02 | Air Products And Chemicals, Inc. | Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane |
US20010000476A1 (en) * | 1999-05-28 | 2001-04-26 | Applied Materials, Inc. | Dielectric film deposition employing a bistertiarybutylaminesilane precursor |
US6391803B1 (en) * | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US20020175393A1 (en) * | 2001-03-30 | 2002-11-28 | Advanced Technology Materials Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US20030020111A1 (en) * | 2001-07-16 | 2003-01-30 | Bevan Malcolm J. | Economic and low thermal budget spacer nitride process |
US20030026083A1 (en) * | 2001-07-15 | 2003-02-06 | Entegris, Inc. | Tray for semiconductors |
US20030036097A1 (en) * | 2001-08-17 | 2003-02-20 | Aftab Alam | Urea containing reagents for treatment of proteins |
US20030059535A1 (en) * | 2001-09-25 | 2003-03-27 | Lee Luo | Cycling deposition of low temperature films in a cold wall single wafer process chamber |
US20040017383A1 (en) * | 2002-07-23 | 2004-01-29 | Baer David A. | System and method for providing graphics using graphical engine |
US20040044958A1 (en) * | 2002-08-27 | 2004-03-04 | Wolf John P. | Systems and methods for inserting a metadata tag in a document |
US20050048204A1 (en) * | 2001-11-30 | 2005-03-03 | Christian Dussarrat | Method for the fabrication of silicon nitride, silicon oxynitride, and silicon oxide films by chemical vapor deposition |
US6881636B2 (en) * | 2003-07-03 | 2005-04-19 | Micron Technology, Inc. | Methods of forming deuterated silicon nitride-containing materials |
US20050129862A1 (en) * | 2002-12-12 | 2005-06-16 | Nagaraj Bangalore A. | Thermal barrier coating protected by alumina and method for preparing same |
US20050152501A1 (en) * | 2004-01-08 | 2005-07-14 | Predrag Sukovic | Reconfigurable computer tomography scanner |
US20050159017A1 (en) * | 2004-01-08 | 2005-07-21 | Jin-Gyun Kim | Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same |
US6963101B2 (en) * | 1998-11-24 | 2005-11-08 | Micron Technology, Inc. | Films doped with carbon for use in integrated circuit technology |
US20050255714A1 (en) * | 2002-12-20 | 2005-11-17 | Applied Materials, Inc. | Method for silicon nitride chemical vapor deposition |
US20060019033A1 (en) * | 2004-05-21 | 2006-01-26 | Applied Materials, Inc. | Plasma treatment of hafnium-containing materials |
US20060019032A1 (en) * | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
US20060045986A1 (en) * | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
US20060087893A1 (en) * | 2004-10-27 | 2006-04-27 | Sony Corporation | Storage device and information processing system |
US7129187B2 (en) * | 2004-07-14 | 2006-10-31 | Tokyo Electron Limited | Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films |
US20060258173A1 (en) * | 2005-05-16 | 2006-11-16 | Manchao Xiao | Precursors for CVD silicon carbo-nitride films |
US7166516B2 (en) * | 2002-10-31 | 2007-01-23 | Fujitsu Limited | Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN or SiCN |
US20080081470A1 (en) * | 2006-09-29 | 2008-04-03 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH06132276A (en) * | 1992-10-22 | 1994-05-13 | Kawasaki Steel Corp | Method for forming semiconductor film |
JPH06132284A (en) * | 1992-10-22 | 1994-05-13 | Kawasaki Steel Corp | Method for forming protective film of semiconductor device |
US6630413B2 (en) * | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
KR20030064083A (en) * | 2002-01-25 | 2003-07-31 | 삼성전자주식회사 | A Method Of Forming Silicon Nitride Thin Film by Atomic Layer Deposition |
AU2003259950A1 (en) * | 2002-08-18 | 2004-03-03 | Aviza Technology, Inc. | Low termperature deposition of silicon oxides and oxynitrides |
US20050227017A1 (en) * | 2003-10-31 | 2005-10-13 | Yoshihide Senzaki | Low temperature deposition of silicon nitride |
JP2005213633A (en) | 2004-02-02 | 2005-08-11 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | Production method for silicon nitride film or silicon oxynitride film by chemical vapor deposition method |
JP4652110B2 (en) | 2005-04-21 | 2011-03-16 | 株式会社日立製作所 | Projection-type image display device |
-
2008
- 2008-02-12 US US12/030,186 patent/US20080207007A1/en not_active Abandoned
- 2008-02-22 TW TW097106379A patent/TWI506157B/en active
- 2008-02-26 JP JP2008043910A patent/JP4960276B2/en active Active
- 2008-02-26 EP EP08151950.6A patent/EP1967609B1/en active Active
- 2008-02-27 CN CNA2008100881795A patent/CN101255548A/en active Pending
- 2008-02-27 CN CN201510250443.0A patent/CN105369215A/en active Pending
- 2008-02-27 KR KR1020080017797A patent/KR100988096B1/en active IP Right Grant
-
2012
- 2012-02-27 US US13/405,453 patent/US8828505B2/en active Active
Patent Citations (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4720395A (en) * | 1986-08-25 | 1988-01-19 | Anicon, Inc. | Low temperature silicon nitride CVD process |
US5234869A (en) * | 1990-06-28 | 1993-08-10 | Kabushiki Kaisha Toshiba | Method of manufacturing silicon nitride film |
US5976991A (en) * | 1998-06-11 | 1999-11-02 | Air Products And Chemicals, Inc. | Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane |
US6963101B2 (en) * | 1998-11-24 | 2005-11-08 | Micron Technology, Inc. | Films doped with carbon for use in integrated circuit technology |
US20010000476A1 (en) * | 1999-05-28 | 2001-04-26 | Applied Materials, Inc. | Dielectric film deposition employing a bistertiarybutylaminesilane precursor |
US20020175393A1 (en) * | 2001-03-30 | 2002-11-28 | Advanced Technology Materials Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US6391803B1 (en) * | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US20030026083A1 (en) * | 2001-07-15 | 2003-02-06 | Entegris, Inc. | Tray for semiconductors |
US20030020111A1 (en) * | 2001-07-16 | 2003-01-30 | Bevan Malcolm J. | Economic and low thermal budget spacer nitride process |
US20030036097A1 (en) * | 2001-08-17 | 2003-02-20 | Aftab Alam | Urea containing reagents for treatment of proteins |
US20030059535A1 (en) * | 2001-09-25 | 2003-03-27 | Lee Luo | Cycling deposition of low temperature films in a cold wall single wafer process chamber |
US20050048204A1 (en) * | 2001-11-30 | 2005-03-03 | Christian Dussarrat | Method for the fabrication of silicon nitride, silicon oxynitride, and silicon oxide films by chemical vapor deposition |
US20040017383A1 (en) * | 2002-07-23 | 2004-01-29 | Baer David A. | System and method for providing graphics using graphical engine |
US20040044958A1 (en) * | 2002-08-27 | 2004-03-04 | Wolf John P. | Systems and methods for inserting a metadata tag in a document |
US7166516B2 (en) * | 2002-10-31 | 2007-01-23 | Fujitsu Limited | Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN or SiCN |
US20050129862A1 (en) * | 2002-12-12 | 2005-06-16 | Nagaraj Bangalore A. | Thermal barrier coating protected by alumina and method for preparing same |
US20050255714A1 (en) * | 2002-12-20 | 2005-11-17 | Applied Materials, Inc. | Method for silicon nitride chemical vapor deposition |
US6881636B2 (en) * | 2003-07-03 | 2005-04-19 | Micron Technology, Inc. | Methods of forming deuterated silicon nitride-containing materials |
US20050152501A1 (en) * | 2004-01-08 | 2005-07-14 | Predrag Sukovic | Reconfigurable computer tomography scanner |
US20050159017A1 (en) * | 2004-01-08 | 2005-07-21 | Jin-Gyun Kim | Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same |
US20060019033A1 (en) * | 2004-05-21 | 2006-01-26 | Applied Materials, Inc. | Plasma treatment of hafnium-containing materials |
US7129187B2 (en) * | 2004-07-14 | 2006-10-31 | Tokyo Electron Limited | Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films |
US20060019032A1 (en) * | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
US20060045986A1 (en) * | 2004-08-30 | 2006-03-02 | Hochberg Arthur K | Silicon nitride from aminosilane using PECVD |
US20060087893A1 (en) * | 2004-10-27 | 2006-04-27 | Sony Corporation | Storage device and information processing system |
US20060258173A1 (en) * | 2005-05-16 | 2006-11-16 | Manchao Xiao | Precursors for CVD silicon carbo-nitride films |
US20080081470A1 (en) * | 2006-09-29 | 2008-04-03 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
Cited By (60)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090232985A1 (en) * | 2005-03-17 | 2009-09-17 | Christian Dussarrat | Method of forming silicon oxide containing films |
US8227032B2 (en) | 2005-03-17 | 2012-07-24 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of forming silicon oxide containing films |
US8613976B2 (en) | 2005-03-17 | 2013-12-24 | L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming silicon oxide containing films |
US7923378B2 (en) * | 2008-02-01 | 2011-04-12 | Tokyo Electron Limited | Film formation method and apparatus for forming silicon-containing insulating film |
US20110151679A1 (en) * | 2008-02-01 | 2011-06-23 | Tokyo Electron Limited | Film formation method for forming silicon-containing insulating film |
US8357619B2 (en) | 2008-02-01 | 2013-01-22 | Tokyo Electron Limited | Film formation method for forming silicon-containing insulating film |
US20090203227A1 (en) * | 2008-02-01 | 2009-08-13 | Tokyo Electron Limited | Film Formation method and apparatus for forming silicon-containing insulating film |
US11404271B2 (en) | 2008-09-29 | 2022-08-02 | Tokyo Electron Limited | Film deposition apparatus for fine pattern forming |
US11881379B2 (en) | 2008-09-29 | 2024-01-23 | Tokyo Electron Limited | Film deposition apparatus for fine pattern forming |
US20190041756A1 (en) * | 2008-09-29 | 2019-02-07 | Tokyo Electron Limited | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
US11404272B2 (en) | 2008-09-29 | 2022-08-02 | Tokyo Electron Limited | Film deposition apparatus for fine pattern forming |
US10879066B2 (en) * | 2008-09-29 | 2020-12-29 | Tokyo Electron Limited | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
US11011379B2 (en) | 2010-04-15 | 2021-05-18 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US10361076B2 (en) | 2010-04-15 | 2019-07-23 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US10043655B2 (en) | 2010-04-15 | 2018-08-07 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US10043657B2 (en) | 2010-04-15 | 2018-08-07 | Lam Research Corporation | Plasma assisted atomic layer deposition metal oxide for patterning applications |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9673041B2 (en) | 2010-04-15 | 2017-06-06 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for patterning applications |
US11133180B2 (en) | 2010-04-15 | 2021-09-28 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9793110B2 (en) | 2010-04-15 | 2017-10-17 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US10559468B2 (en) | 2010-04-15 | 2020-02-11 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8912353B2 (en) | 2010-06-02 | 2014-12-16 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for depositing films comprising same |
US10319584B2 (en) | 2011-06-03 | 2019-06-11 | Versum Materials Us, Llc | Compositions and processes for depositing carbon-doped silicon-containing films |
US9447287B2 (en) | 2011-06-03 | 2016-09-20 | Air Products And Chemicals, Inc. | Compositions and processes for depositing carbon-doped silicon-containing films |
US8993072B2 (en) | 2011-09-27 | 2015-03-31 | Air Products And Chemicals, Inc. | Halogenated organoaminosilane precursors and methods for depositing films comprising same |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US10242864B2 (en) | 2012-04-12 | 2019-03-26 | Versum Materials Us, Llc | High temperature atomic layer deposition of silicon oxide thin films |
US9613799B2 (en) | 2012-06-01 | 2017-04-04 | Air Products And Chemicals, Inc. | Methods for depositing films with organoaminodisilane precursors |
US20130323435A1 (en) * | 2012-06-01 | 2013-12-05 | Air Products And Chemicals, Inc. | Organoaminodisilane precursors and methods for depositing films comprising same |
US9337018B2 (en) * | 2012-06-01 | 2016-05-10 | Air Products And Chemicals, Inc. | Methods for depositing films with organoaminodisilane precursors |
US10741458B2 (en) | 2012-11-08 | 2020-08-11 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US9786570B2 (en) | 2012-11-08 | 2017-10-10 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US10008428B2 (en) | 2012-11-08 | 2018-06-26 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US20140273524A1 (en) * | 2013-03-12 | 2014-09-18 | Victor Nguyen | Plasma Doping Of Silicon-Containing Films |
US9922821B2 (en) * | 2014-04-17 | 2018-03-20 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, using hydrocarbon and halogen-based precursors, substrate processing apparatus for processing same, and recording medium comprising hydrocarbon and halogen-based precursors |
US20150303051A1 (en) * | 2014-04-17 | 2015-10-22 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium |
US10030037B2 (en) | 2014-05-30 | 2018-07-24 | Dow Silicones Corporation | Diaminosilane compounds |
US10030038B2 (en) | 2014-05-30 | 2018-07-24 | Dow Silicones Corporation | Monoaminosilane compounds |
US10804099B2 (en) | 2014-11-24 | 2020-10-13 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9875891B2 (en) | 2014-11-24 | 2018-01-23 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
EP3029174A1 (en) * | 2014-12-05 | 2016-06-08 | Basf Se | Process for the production of porous thin films |
US11646198B2 (en) | 2015-03-20 | 2023-05-09 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
WO2017062355A3 (en) * | 2015-10-04 | 2018-02-22 | Applied Materials, Inc. | Methods for depositing dielectric barrier layers and aluminum containing etch stop layers |
US10707122B2 (en) | 2015-10-04 | 2020-07-07 | Applied Materials, Inc. | Methods for depositing dielectric barrier layers and aluminum containing etch stop layers |
US10109520B2 (en) | 2015-10-04 | 2018-10-23 | Applied Materials, Inc. | Methods for depositing dielectric barrier layers and aluminum containing etch stop layers |
US10480067B2 (en) * | 2016-02-03 | 2019-11-19 | Tokyo Electron Limited | Film deposition method |
US10373806B2 (en) | 2016-06-30 | 2019-08-06 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10957514B2 (en) | 2016-06-30 | 2021-03-23 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10679848B2 (en) | 2016-07-01 | 2020-06-09 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
KR102329026B1 (en) * | 2016-11-01 | 2021-11-19 | 버슘머트리얼즈 유에스, 엘엘씨 | Precursors and Flowable CVD Methods for Making Low-K Films for Filling of Surface Features |
KR20190058698A (en) * | 2016-11-01 | 2019-05-29 | 버슘머트리얼즈 유에스, 엘엘씨 | A precursor for making a surface-feature low-K film for filling and a flowable CVD method |
US20180122631A1 (en) * | 2016-11-01 | 2018-05-03 | Versum Materials Us, Llc | Precursors and Flowable CVD Methods for Making Low-K Films to Fill Surface Features Features |
US11735413B2 (en) * | 2016-11-01 | 2023-08-22 | Versum Materials Us, Llc | Precursors and flowable CVD methods for making low-k films to fill surface features |
US10900121B2 (en) | 2016-11-21 | 2021-01-26 | Tokyo Electron Limited | Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US20210363639A1 (en) * | 2018-10-04 | 2021-11-25 | Versum Materials Us, Llc | Composition for high temperature atomic layer deposition of high quality silicon oxide thin films |
CN113774720A (en) * | 2021-07-21 | 2021-12-10 | 杭州超探新材料科技有限公司 | Carbon fiber paper and preparation method thereof |
Also Published As
Publication number | Publication date |
---|---|
KR100988096B1 (en) | 2010-10-18 |
JP2008258591A (en) | 2008-10-23 |
JP4960276B2 (en) | 2012-06-27 |
US8828505B2 (en) | 2014-09-09 |
TW200837213A (en) | 2008-09-16 |
CN101255548A (en) | 2008-09-03 |
EP1967609A3 (en) | 2009-07-22 |
CN105369215A (en) | 2016-03-02 |
EP1967609A2 (en) | 2008-09-10 |
KR20080079625A (en) | 2008-09-01 |
US20120171874A1 (en) | 2012-07-05 |
EP1967609B1 (en) | 2015-07-15 |
TWI506157B (en) | 2015-11-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8828505B2 (en) | Plasma enhanced cyclic chemical vapor deposition of silicon-containing films | |
KR101248358B1 (en) | Method of forming silicon oxide containing films | |
CN104831254B (en) | Deposition method of silicon nitride film | |
EP2289093B1 (en) | Low temperature deposition of silicon-containing films | |
KR101639490B1 (en) | Semiconductor device manufacturing method, substrate processing apparatus and program | |
US7122222B2 (en) | Precursors for depositing silicon containing films and processes thereof | |
US20090075490A1 (en) | Method of forming silicon-containing films | |
KR101060911B1 (en) | Fabrication of Metal-Containing Films by Ald or Cdd Process | |
CN108026637A (en) | Method for depositing conformal metal or metalloid silicon nitride films and resulting films | |
TW200422424A (en) | Low temperature deposition of silicon oxides and oxynitrides | |
US9748249B2 (en) | Tantalum-containing film forming compositions and vapor deposition of tantalum-containing films | |
JP2004288709A (en) | Method of manufacturing semiconductor device | |
US20160247675A1 (en) | Method for manufacturing thin film | |
KR20130141064A (en) | Method for manufacturing thin film |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: AIR PRODUCTS AND CHEMICALS INC.,PENNSYLVANIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:THRIDANDAM, HAREESH;XIAO, MANCHAO;LEI, XINJIAN;AND OTHERS;SIGNING DATES FROM 20080226 TO 20080228;REEL/FRAME:020673/0651 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |
|
AS | Assignment |
Owner name: VERSUM MATERIALS US, LLC, ARIZONA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733 Effective date: 20170214 |