US20080257864A1 - Methods and devices to reduce defects in dielectric stack structures - Google Patents

Methods and devices to reduce defects in dielectric stack structures Download PDF

Info

Publication number
US20080257864A1
US20080257864A1 US12/082,494 US8249408A US2008257864A1 US 20080257864 A1 US20080257864 A1 US 20080257864A1 US 8249408 A US8249408 A US 8249408A US 2008257864 A1 US2008257864 A1 US 2008257864A1
Authority
US
United States
Prior art keywords
flow
plasma
injection valve
gas
liquid precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/082,494
Inventor
Christopher Dennis Bencher
Lee Luo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/082,494 priority Critical patent/US20080257864A1/en
Publication of US20080257864A1 publication Critical patent/US20080257864A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment

Definitions

  • Chemical vapor deposition (CVD) and other processing employed in the fabrication of semiconductor devices may utilize a number of gases or vaporized processing liquids. These gases, which may take the form of vaporized liquid precursors, are generated and supplied to a CVD chamber via a system of pipes or lines and vaporizing mechanisms known as a gas delivery system. Typically a vaporizing mechanism for vaporizing each processing liquid is coupled to a source of processing liquid and to a source of carrier gas. Each vaporizing mechanism and processing liquid source combination within a gas delivery system is referred to as a vaporization stage. Although a number of vaporizing mechanisms exist (e.g., bubblers, injection valves, etc.), most conventional gas delivery systems employ a plurality of injection valves for vaporizing processing liquids to be delivered to a CVD chamber.
  • a number of vaporizing mechanisms exist (e.g., bubblers, injection valves, etc.)
  • most conventional gas delivery systems employ a plurality of injection valves for vaporizing processing liquids to be delivered to
  • a typical injection valve comprises a processing liquid inlet for receiving a pressurized processing liquid, a carrier gas inlet for receiving a pressurized inert carrier gas, and an outlet for delivering a vaporized processing liquid/carrier gas mixture.
  • the injection valve is heated such that when the processing liquid is injected into the carrier gas, the heat and the low partial vapor pressure of the processing liquid in the carrier gas causes the processing liquid to vaporize.
  • a high carrier gas pressure produces more processing liquid vaporization by lowering the partial vapor pressure of the processing liquid within the carrier gas. Accordingly, when designing a gas delivery system, maintenance of adequate carrier gas pressure is an important consideration, as is minimizing overall system size and complexity.
  • conventional gas delivery systems are configured such that a carrier gas is delivered (via a mass flow controller) to a first injection valve, where it is used to vaporize a first processing liquid, forming a first vaporized processing liquid/carrier gas mixture.
  • a variety of techniques may be employed, alone or in combination, to reduce the incidence of defects arising in dielectric stack structures formed by chemical vapor deposition (CVD). Incidence of a first defect type attributable to the presence of unreacted species during a CVD step is reduced by exposing a freshly-deposited dielectric layer to a plasma before depositing any additional layers. Incidence of a second defect type attributable to the presence of incompletely vaporized CVD liquid precursor material may also be reduced by exposing the freshly-deposited dielectric layer to a plasma, and/or by continuing the flow of carrier gas through an injection valve for a period of time beyond the conclusion of the CVD step.
  • CVD chemical vapor deposition
  • An embodiment of a method in accordance with the present invention for reducing the incidence of defects in a stack of dielectric layers formed by chemical vapor deposition comprises, flowing a liquid precursor material to an injection valve, vaporizing the liquid precursor material in a carrier gas flowed through the injection valve, and causing a reaction involving the vaporized liquid precursor material to deposit a first dielectric layer.
  • the flow of liquid precursor material to the injection valve is halted at a first time, and then the flow of carrier gas to the injection valve is halted at a second time after the first time.
  • An embodiment of a method in accordance with the present invention for reducing the incidence of defects in a stack of dielectric layers comprises, depositing a first dielectric layer by chemical vapor deposition, and exposing the freshly deposited dielectric layer to a plasma generated in a reactive gas to remove from a surface of the freshly deposited dielectric layer at least one of an incompletely vaporized liquid precursor material and an incompletely reacted material.
  • a second dielectric layer is deposited over the first dielectric layer following exposure of the freshly deposited first dielectric layer to the plasma.
  • An embodiment of a substrate processing apparatus in accordance with the present invention comprises a processing chamber and a gas distribution system including an injection valve in fluid communication with a liquid precursor source and in fluid communication with a carrier gas source, the injection valve configured to deliver a flow of liquid precursor vaporized in the carrier gas to the processing chamber.
  • An RF power system is configured to apply RF energy to generate a plasma in a gas in fluid communication with the processing chamber.
  • a controller configured to control the gas delivery system and the RF power system.
  • a memory is coupled to the controller, the memory comprising a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing apparatus.
  • the computer-readable program includes (i) a first set of instructions for halting a flow of the liquid precursor material to the injection valve at the conclusion of a deposition step involving the vaporized liquid precursor material, and (ii) a second set of instructions for causing the RF power system to introduce the plasma into the processing chamber after the flow of liquid precursor to the injection valve has been halted.
  • An alternative embodiment of a substrate processing apparatus in accordance with the present invention comprises a processing chamber, and a gas distribution system including an injection valve in fluid communication with a liquid precursor source and in fluid communication with a carrier gas source, the injection valve configured to deliver a flow of liquid precursor vaporized in the carrier gas to the processing chamber.
  • a controller is configured to control the gas delivery system.
  • a memory is coupled to the controller, the memory comprising a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing apparatus.
  • the computer-readable program includes (i) a first set of instructions for halting a flow of the liquid precursor material to the injection valve at the conclusion of a deposition step involving the vaporized liquid precursor material, and (ii) a second set of instructions for halting a flow of the carrier gas material to the injection valve after the flow of liquid precursor material to the injection valve has been halted.
  • FIG. 1A is a vertical, cross-sectional view of one embodiment of a chemical vapor deposition apparatus according to the present invention.
  • FIGS. 1B and 1C are exploded perspective views of parts of the CVD chamber depicted in FIG. 1A .
  • FIG. 1D is a simplified diagram of system monitor and CVD system in a multichamber system, which may include one or more chambers.
  • FIG. 1E shows an illustrative block diagram of the hierarchical control structure of the system control software, computer program, according to a specific embodiment.
  • FIG. 2 is a schematic diagram of a chemical vapor deposition system including an embodiment of a gas delivery system in accordance with the present invention.
  • FIG. 3 is a diagrammatic side elevational view of a generic vaporization stage comprising a conventional injection valve.
  • FIG. 4 is a top plan view of an automated tool for semiconductor device fabrication.
  • FIG. 5 shows a simplified cross-sectional sketch of a dielectric stack including defects of the first and second type.
  • FIG. 6 shows an electron microscopy image of a plan view of a defect of the first type.
  • FIG. 7 shows the results of atomic force microscopy analysis of a defect of the first type.
  • FIG. 8A shows an electron microscopy image of a plan view of a defect of the second type following deposition of a first type of an overlying layer.
  • FIG. 8B shows an electron microscopy image of a plan view of a defect of the second type following deposition of a second type of an overlying layer.
  • FIG. 8C shows an electron microscopy image of a plan view of a defect of the second type following deposition of a third type of an overlying layer.
  • FIGS. 9A and 9C show electron microscopy images of plan and cross-sectional views respectively, of a defect of the second type.
  • FIGS. 9B and 9D show electron microscopy images of plan and cross-sectional views respectively, of another defect of the second type.
  • FIG. 10 is a simplified flow chart depicting method steps in accordance with one embodiment of the present invention.
  • FIG. 11 is a simplified flow chart depicting method steps in accordance with an alternative embodiment of the present invention.
  • FIG. 12 is a simplified flow chart depicting method steps in accordance with another alternative embodiment of the present invention.
  • FIG. 13 plots detected defects on a logarithmic scale for a plurality of different processed wafers.
  • FIG. 14 plots detected defects on a logarithmic scale for three different lots of processed wafers.
  • FIG. 15A plots chamber pressure versus time at the conclusion of a nitride deposition step utilizing silane.
  • FIG. 15B plots silane flow versus time at the conclusion of the nitride deposition step shown in FIG. 15A .
  • FIG. 15C plots chamber pressure versus time at the conclusion of an oxide deposition step utilizing TEOS.
  • FIG. 15D plots TEOS flow versus time at the conclusion of the oxide deposition step shown in FIG. 15C .
  • FIG. 16 plots the number of defects of the second type for a plurality of different processed wafers.
  • FIG. 17A shows the results of a defect detection analysis of a first wafer processed without a post-deposition plasma treatment step.
  • FIG. 17B shows the results of a defect detection analysis of a wafer processed in the same manner as the wafer of FIG. 17A , but including a post-deposition plasma treatment step.
  • FIG. 17C shows the results of a defect detection analysis of a second wafer processed without a post-deposition plasma treatment step.
  • FIG. 17D shows the results of a defect detection analysis of a wafer processed in the same manner as the wafer of FIG. 17C , but including a post-deposition plasma treatment step.
  • FIG. 5 shows a simplified cross-sectional sketch of a stack of dielectric layers that may be formed during the fabrication of a semiconductor device.
  • Dielectric stack 500 comprises silicon oxide layer 504 having a thickness of about 10,000 ⁇ that is formed over silicon 506 .
  • Silicon oxide layer 504 may typically be formed by chemical vapor deposition involving the reaction of tetraethyl orthosilicate (TEOS).
  • TEOS is a liquid at room temperature, and is typically introduced into a deposition chamber by vaporization of the liquid precursor material in a carrier gas.
  • Silicon nitride layer 507 having a thickness of about 300 ⁇ is next deposited over the silicon oxide layer 504 .
  • Fluorinated silicate glass (FSG) layer 508 having a thickness of about 2,500 ⁇ is then formed over nitride layer 506 .
  • dielectric anti-reflective coating (DARC®) 510 having a thickness of about 600 ⁇ is deposited over the FSG layer 508 to complete the dielectric stack 500 .
  • FIG. 5 graphically illustrates the occurrence of two different types of defects during fabrication of the dielectric stack.
  • a first defect type 512 exhibits a relatively short vertical profile and occurs with relatively high frequency.
  • FIG. 6 is an electron micrograph of plan view of DARC layer 510 showing a defect of the first type.
  • FIG. 7 shows results of atomic force microscopy of a defect of the first type.
  • defect 512 in FIG. 5 may result from the presence of dangling Si bonds present on the surface of silicon oxide layer 504 of the dielectric stack 500 .
  • Defect 513 in FIG. 5 may result from the presence of dangling Si bonds present on the surface of silicon nitride layer 507 of the dielectric stack 500 .
  • FIG. 5 also graphically illustrates the occurrence of second defect type 514 in dielectric stack 500 .
  • Second defect type 514 is generally larger than the first defect type, and occurs with significantly lower frequency than defects of the first type.
  • Second defect type 514 is attributable to the presence of incompletely vaporized CVD liquid precursor reactant on the surface of a deposited layer. Such non-vaporized residue in turn reacts with, and may be coated by, reactants in subsequent CVD processes.
  • FIGS. 8A-C show electron microscopy images of plan views of a surface wherein the following layers, respectively, have been deposited over a silicon oxide layer: 300 ⁇ SiN ( FIG. 8A ), 700 ⁇ BLOk dielectric ( FIG. 8B ), and 3400 ⁇ FSG ( FIG. 8C ).
  • FIGS. 8A-C show electron microscopy images of plan views of a surface wherein the following layers, respectively, have been deposited over a silicon oxide layer: 300 ⁇ SiN ( FIG. 8A ), 700 ⁇ BLOk dielectric ( FIG. 8B ), and 3400 ⁇ FSG ( FIG. 8C ).
  • FIGS. 8A-C show electron microscopy images of plan views of a surface wherein the following layers, respectively, have been deposited over a silicon oxide layer: 300 ⁇ SiN ( FIG. 8A ), 700 ⁇ BLOk dielectric ( FIG. 8B ), and 3400 ⁇ FSG ( FIG. 8C ).
  • FIGS. 9A-D show scanning electron microscopy images of plan views of two dielectric stacks bearing defects of the second type.
  • FIGS. 9C and 9D show electron microscopy images of the defects of FIGS. 9C and 9D , following fluorine ion bombardment (FIB).
  • FIGS. 9C and 9D reveal the presence of a void underlying the defects, a phenomena also suggestive of a reaction-type defect which blocks exposure of the underlying material to CVD reactants.
  • Defects of either the first type or the second type are undesirable during processing of semiconductor wafers.
  • Defects of the second type may be large enough to alter the physical structure of a dielectric stack, thereby compromising performance of active electronic devices incorporating the stack.
  • Defects of the first type are not generally large enough to disrupt the electronic physical or electrical character of active electronic devices fabricated from a dielectric stack.
  • defects of the first type do occur in large numbers, overwhelming detection apparatuses and interfering with detection of less common but larger and more significant defects, for example those of the second type.
  • various techniques may be employed to reduce the incidence of defects in dielectric stack structures formed by CVD.
  • the frequency of occurrence of defects of the first type may be reduced by exposing a freshly-deposited dielectric layer to plasma before depositing any additional layers.
  • the frequency of occurrence of defects of the second type may also be reduced by exposing the freshly-deposited dielectric layer to a plasma.
  • defects of the second type may be reduced by maintaining flow of carrier gas through an injection valve for a period of time following the conclusion of a CVD step, thereby ensuring complete vaporization of liquid precursor CVD material.
  • the incidence of defects of either the first type or the second type may be reduced by exposing a freshly deposited dielectric layer to a plasma.
  • This post-deposition plasma reacts with and consumes unreacted or incompletely vaporized materials remaining on the surface of the deposited layer. As a result, these materials are not available to interact with subsequent CVD materials to nucleate or otherwise create a defect in a subsequently deposited layer.
  • deposition of a layer of the dielectric stack may result from other than a plasma-assisted CVD process, such as thermal CVD or low pressure CVD (LPCVD).
  • a plasma may be introduced into the processing chamber to remove material present on the surface of the freshly-deposited layer.
  • LPCVD low pressure CVD
  • a post-deposition plasma may be generated within the deposition chamber itself, or may be generated remotely and then flowed into the deposition chamber.
  • the wafer bearing the freshly-deposited layer could be transferred to a second chamber for the post-deposition plasma exposure.
  • deposition of a layer of the dielectric stack may result from a plasma-assisted CVD process such as plasma enhanced CVD (PECVD) or high density plasma CVD (HDPCVD).
  • PECVD plasma enhanced CVD
  • HDPCVD high density plasma CVD
  • the plasma utilized during deposition may be maintained after deposition of the dielectric layer has been completed.
  • PECVD plasma enhanced CVD
  • HDPCVD high density plasma CVD
  • Such a post-deposition plasma could be created from the same mixture of gases utilized during deposition, or could be created from a different mixture of gases, for example the reactant gases minus a silicon-containing reactant gas.
  • FIG. 10 is a simplified flow chart depicting method steps in accordance with one embodiment of the present invention for reducing defects in a processed semiconductor substrate.
  • a substrate is disposed within a processing chamber.
  • liquid precursor is flowed to an injection valve and a flow of gas through the injection valve is stabilized.
  • step 1006 the stabilized gas flow is conveyed to the processing chamber to effect deposition of material on the substrate.
  • carrier gases flowed into the chamber during this step include but are not limited to helium, argon, and nitrogen.
  • reactive gases flowed into the chamber during this step include but are not limited to O 2 , N 2 O, and NH 3.
  • step 1008 the flow of a liquid precursor to the vaporizer, and the flow of carrier gas through the vaporizer, may be halted at the same time.
  • step 1010 the flow of gas to the processing chamber is resumed, and a plasma is introduced into the chamber.
  • the gases flowed into the chamber during this post deposition plasma treatment step may include some or all of the reactive gases flowed during the deposition step, alone or in conjunction with a flow of carrier gas.
  • the rate of flow of the silicon containing reactive gas may be reduced by 75% or more or halted entirely.
  • This post-deposition plasma may be generated directly in the processing chamber in situ, or may be remotely generated and then flowed into the chamber.
  • the plasma within the processing chamber reacts with and removes unreacted or incompletely vaporized materials remaining on the surface of the deposited layer.
  • step 1012 the plasma is extinguished and the processed substrate is removed from the chamber to allow for additional fabrication steps to take place.
  • TABLE 1 summarizes the state of different parameters during the various steps of the process shown in FIG. 10 .
  • FIG. 11 shows a simplified flow chart depicting method steps of such an embodiment.
  • first step 1102 of method 1100 a substrate is disposed within a processing chamber.
  • step 1104 liquid precursor is flowed to an injection valve and a flow of gas through the injection valve is stabilized.
  • step 1106 the stabilized gas flow is conveyed to the processing chamber and a plasma is introduced thereto to effect a plasma-assisted chemical vapor deposition of material on the substrate.
  • step 1108 the flow of liquid precursor to the vaporizer is halted but the plasma continues to be introduced to the chamber, thereby completing reaction of any unreacted species remaining on the film that has just been deposited.
  • step 1110 the introduction of plasma into the chamber is halted, and the flow of carrier gas into chamber is also halted.
  • the substrate is removed from the processing chamber.
  • TABLE 2 summarizes the state of different parameters during the various steps of the process shown in FIG. 11 .
  • the plasma utilized in such a post-deposition treatment need not be maintained continuously within the processing chamber after deposition.
  • the post-deposition plasma treatment could be non-continuous, such that the plasma is halted at the end of the deposition process and then subsequently resumed in order to remove residual unreacted or incompletely vaporized material.
  • a post-deposition plasma treatment step in accordance with embodiments of the present invention may also be utilized to reduce the incidence of defects of the first type resulting from incomplete reaction of gaseous CVD reactants not produced by vaporization of original liquid precursor materials.
  • defects of the second type may occur due to the presence of incompletely vaporized CVD liquid precursor reactant material on the surface of a deposited layer.
  • incompletely vaporized liquid precursor material can be removed through post-deposition plasma treatment in the manner described above.
  • CVD liquid precursor reactants are generally introduced into the processing chamber by vaporization in a flow of a pressurized carrier gas. If the carrier gas flow is insufficient to carry precursor material into the deposition chamber in gas form, the vapor can recombine in the chamber to form a liquid droplet.
  • methods and apparatuses ensure that at the conclusion of a CVD process involving vaporized liquid precursors, the flow of carrier gas to a vaporizer continues for some period beyond the halting of flow of liquid precursor to the injection valve.
  • This sequence of events ensures that any residual liquid precursor material remaining in the injection valve or in the flow lines is absorbed by the continuing flow of carrier gas, such that the precursor material enters the processing chamber in vapor rather than liquid form.
  • FIG. 12 is a simplified flow chart depicting method steps in accordance with an such an alternative embodiment of the present invention.
  • a substrate is disposed within a processing chamber.
  • step 1204 liquid precursor and carrier gas are flowed to an injection valve and the resulting gas flow is stabilized.
  • step 1206 the stabilized gas flow is conveyed to the processing chamber to effect deposition of material on the substrate.
  • step 1208 the flow of a liquid precursor to the vaporizer is halted, with the flow of carrier gas through the vaporizer maintained. During this step, any residual liquid precursor material within the injection valve is vaporized to prevent its being flowed in liquid form to the processing chamber.
  • step 1210 the flow of carrier gas through the injection valve to the processing chamber is halted.
  • step 1212 the processed wafer is removed from the chamber for further processing.
  • TABLE 3 summarizes the state of different parameters during the various steps of the process shown in FIG. 12 .
  • the tool processor typically includes a process gas control subroutine which governs operation of components delivering gases to the processing chamber.
  • This process gas control subroutine could include commands allowing the carrier gas flow to be stopped only after a minimum time from halt of flow of liquid precursor to the injection valve.
  • the apparatus of the gas delivery system could include a hardware configuration which automatically shuts off of the carrier gas stream for a short period, typically 1-10 seconds, after the flow of the liquid precursor to the vaporization stage has been halted.
  • Such a hardware-implemented delay could be based upon the physical operation of a valve positioned between the mass flow controller of the carrier gas and the injection valve.
  • a hardware-implemented delay could be based upon the physical operation of the mass flow controller of the carrier gas itself, for example by the use of a capacitance based hardware delay switch.
  • FIG. 13 plots detected defects of the first type on a logarithmic scale for processed wafers bearing a dielectric stack comprising a 7800 ⁇ -thick layer of fluorosilicate glass (FSG) deposited over a 300 ⁇ -thick layer of CVD silicon nitride (SiN).
  • FSG fluorosilicate glass
  • SiN CVD silicon nitride
  • FIG. 13 plots the rate of incidence of defects of the first type as detected by two different apparatuses.
  • One tool utilized to detect defects of the first type is the SP1 tool manufactured by KLA-Tencor Corp. of San Jose, Calif.
  • a second tool utilized to detect defects of the second type is the COMPASS® tool manufactured by Applied Materials Inc. of Santa Clara.
  • FIG. 13 shows the data for several different continuous plasma termination conditions.
  • Lot G represents the case of no termination and uses the conventional deposition gas flow conditions for the three additional seconds of plasma exposure.
  • the results of lots A and B show that by greatly reducing the silane concentration during the three second continuous plasma termination (compared to the standard case lot G), the incidence of defects is greatly reduced (by >99%).
  • lower a silane concentration may lead to more complete reaction in the nitride, leaving less unreacted species on the material surface.
  • Wafers exposed to no silane in the termination step would be expected to show the lowest defect counts. However, those results were inconsistent. This inconsistency was later attributed to operational characteristics of the Applied Materials Producer valve sequencing software and hardware. Where a Silane MFC is set to zero, the MFC may actually continue to flow an unpredictable amount of silane for several seconds. Not withstanding the nuances of the Applied Materials Producer valve sequencing software, the theoretical best practice is to halt silane flow completely during the continuous plasma termination step.
  • Lots D, E, and F show the defect reduction to be minimal if the NH 3 is also turned off during the termination. This is because the NH 3 is necessary in the termination plasma to complete the reaction on any dangling silicon bonds.
  • FIG. 14 shows that the duration of the post-deposition plasma exposure can affect the incidence of defects of the first type.
  • FIG. 14 plots detected defects on a logarithmic scale for three different lots of twelve wafer pairs processed in a dual chamber tool.
  • First and second lots A and B comprise wafers bearing the dielectric stack of FIG. 5 , wherein the surface of the freshly deposited silicon oxide layer was exposed to plasma for five seconds after the deposition process, and the freshly deposited silicon nitride layer was exposed to plasma for three seconds after the deposition process.
  • Third lot C comprises wafers bearing the dielectric stack of FIG. 5 , wherein the surface of the freshly deposited oxide layer was exposed to plasma for ten seconds beyond the end of the deposition process, and the freshly deposited nitride layer was exposed to plasma for five seconds beyond the end of the deposition process.
  • FIG. 14 shows that in first lot A, two wafers (the wafer processed in the second chamber of pair 11 , and the wafer processed in the first chamber of pair 12 ) experienced a large number (>1000) of defects.
  • second lot B four wafers (the wafer processed in the second chamber of pair 15 , the wafer processed in the first chamber of pair 23 , and the wafers processed in the first and second chambers of pair 25) experienced a large number ( ⁇ 1000 or higher) of defects.
  • third lot C where the wafers were treated more extensively with plasma, none of the wafers exhibited such a large number of defects.
  • FIG. 13 thus shows that the duration of post deposition plasma treatment may correlate with the resulting number of defects detected.
  • FIG. 15A plots chamber pressure versus time at the conclusion of a nitride deposition step utilizing silane as a CVD reactant.
  • FIG. 15B plots silane flow versus time at the conclusion of the nitride deposition step shown in FIG. 15A .
  • FIG. 15C plots chamber pressure versus time at the conclusion of a CVD step deposition step utilizing vaporized TEOS as a CVD reactant.
  • FIG. 1 5 D plots TEOS flow versus time at the conclusion of the oxide deposition step shown in FIG. 15C . Comparison of FIGS. 15A-B with FIGS.
  • 15C-D shows that vaporized TEOS material exhibits a substantially longer response time than vaporized silane, such that vaporized TEOS will continue to flow into the chamber for a period after the flow of TEOS has been instructed to be halted. This difference in response time would indicate longer post-deposition plasma exposure to remove the additional TEOS present within the chamber.
  • FIG. 16 shows that a post-deposition plasma exposure step may also be utilized to reduce the incidence of defects of the second type.
  • FIG. 16 plots detected defects of the second type on a logarithmic scale for three different lots of processed wafers bearing the dielectric stack of FIG. 5 .
  • the wafers of first lot A include a conventionally deposited silicon oxide layer.
  • the wafers of second lot B include a silicon oxide layer that was exposed to plasma following deposition.
  • the wafers of third lot C include a silicon oxide layer that was deposited utilizing a flow of carrier gas continuing beyond the end of flow of liquid precursor to the injection valve, without any post-deposition plasma treatment.
  • FIG. 16 shows that a rate of incidence of defects of the second type at about 100 or higher for all of the conventionally processed wafers in the first lot. However, for wafers of the second and third lots processed in accordance with embodiments of the present invention, the rate of incidence of defects of the second type was substantially lower (i.e. 100 or less).
  • FIG. 16 thus shows either the post-deposition plasma treatment or continued carrier gas flow approaches is effective to reduce the incidence of defects of the second type.
  • FIGS. 17A-D show the results of utilizing a post-deposition plasma treatment on a processed wafer bearing the dielectric stack of FIG. 5 .
  • FIGS. 17A and 17C show defects detected for two different wafers processed with a continuing flow of carrier gas at the end of deposition, but lacking any post-deposition plasma treatment following deposition of silicon oxide.
  • the wafers of both FIGS. 17A and 17C exhibit over 10,000 defects, many of which are of the more frequently first type.
  • FIGS. 17B and 17D show defects detected for two different wafers which did not include a continuing flow of carrier gas at the conclusion of deposition, but which did receive the post-deposition plasma treatment following silicon oxide deposition.
  • the wafers of both FIGS. 17B and 17D exhibit dramatically fewer detected defects (45 defects in FIG. 17B , and 43 defects in FIG. 17D ) as compared with the wafers of FIGS. 17A and 17B .
  • the defect detection shown in FIGS. 17A-17D was performed utilizing a compass tool manufactured by Applied Materials, Inc. of Santa Clara, Calif., having a defect sensitivity of about 0.16 ⁇ m.
  • FIG. 1A are vertical, cross-sectional views of a CVD system 10 , having a vacuum or processing chamber 15 that includes a chamber wall 15 a and chamber lid assembly 15 b .
  • Chamber wall 15 a and chamber lid assembly 15 b are shown in exploded, perspective views in FIGS. 1B and 1C .
  • CVD system 10 contains a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that rests on a heated pedestal 12 centered within the process chamber.
  • the substrate e.g. a semiconductor wafer
  • the pedestal can be moved controllably between a lower loading/off-loading position (not shown) and an upper processing position (shown in FIG. 1A ), which is closely adjacent to manifold 11 .
  • a centerboard (not shown) includes sensors for providing information on the position of the wafers.
  • Deposition and carrier gases are introduced into chamber 15 through perforated holes 13 b ( FIG. 1C ) of a conventional flat, circular gas distribution or faceplate 13 a . More specifically, deposition process gases flow into the chamber through the inlet manifold 11 (indicated by arrow 40 in FIG. 1A ), through a conventional perforated blocker plate 42 and then through holes 13 b in gas distribution faceplate 13 a.
  • deposition and carrier gases are input from gas sources 7 a through gas supply lines 8 of gas delivery system 7 ( FIG. 1A ) into a mixing system 9 where they are combined and then sent to manifold 11 .
  • the supply line for each process gas includes (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line.
  • toxic gases for example, ozone or halogenated gas
  • the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
  • the deposition process performed in CVD system 10 can be either a thermal process or a plasma-enhanced process.
  • an RF power supply 44 applies electrical power between the gas distribution faceplate 13 a and the pedestal so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13 a and the pedestal. (This region will be referred to herein as the “reaction region”). Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported on pedestal 12 .
  • RF power supply 44 is a mixed frequency RF power supply that typically supplies power at a high RF frequency (RF 1 ) of 13.56 MHz and at a low RF frequency (RF 2 ) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15.
  • RF power supply 44 would not be utilized, and the process gas mixture thermally reacts to deposit the desired films on the surface of the semiconductor wafer supported on pedestal 12 , which is resistively heated to provide thermal energy for the reaction.
  • the plasma heats the entire process chamber 10 , including the walls of the chamber body 15 a surrounding the exhaust passageway 23 and the shut-off valve 24 .
  • a hot liquid is circulated through the walls 15 a of the process chamber to maintain the chamber at an elevated temperature.
  • Fluids used to heat the chamber walls 15 a include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids.
  • This heating beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • the remainder of the gas mixture that is not deposited in a layer, including reaction products, is evacuated from the chamber by a vacuum pump (not shown). Specifically, the gases are exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17 .
  • the annular slot 16 and the plenum 17 are defined by the gap between the top of the chamber's cylindrical side wall 15 a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20 .
  • the 360° circular symmetry and uniformity of the slot orifice 16 and the plenum 17 are important to achieving a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer.
  • the gases flow underneath a lateral extension portion 21 of the exhaust plenum 17 , past a viewing port (not shown), through a downward-extending gas passage 23 , past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15 a ), and into the exhaust outlet 25 that connects to the external vacuum pump (not shown) through a foreline (also not shown).
  • the wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) is resistively-heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles.
  • An outer portion of the heater element runs adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
  • the wiring to the heater element passes through the stem of the pedestal 12 .
  • any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware are made out of material such as aluminum, anodized aluminum, or ceramic.
  • An example of such a CVD apparatus is described in U.S. Pat. No. 5,558,717 entitled “CVD Processing Chamber,” issued to Zhao et al.
  • the U.S. Pat. No. 5,558,717 patent is assigned to Applied Materials, Inc., the assignee of the present invention, and is hereby incorporated by reference.
  • a lift mechanism and motor raises and lowers the heated pedestal assembly 12 and its wafer lift pins 12 b as wafers are transferred into and out of the body of the chamber by a robot blade (not shown) through an insertion/removal opening 26 in the side of the chamber 10 .
  • the motor raises and lowers pedestal 12 between a processing position 14 and a lower, wafer-loading position.
  • the motor, valves or flow controllers connected to the supply lines 8 , gas delivery system, throttle valve, RF power supply 44 , and chamber and substrate heating systems are all controlled by a system controller 132 ( FIG. 1A ) over control lines 36 , of which only some are shown. Controller 132 relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller 132 .
  • the system controller includes a hard disk drive (memory 38 ), a floppy disk drive and a processor 37 .
  • the processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards.
  • SBC single-board computer
  • Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types.
  • VME Versa Modular European
  • the VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • System controller 132 controls all of the activities of the CVD machine.
  • the system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 38 .
  • memory 38 is a hard disk drive, but memory 38 may also be other kinds of memory.
  • the computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.
  • Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 34 .
  • FIG. 1D is a simplified diagram of the system monitor and CVD system 10 in a substrate processing system, which may include one or more chambers.
  • two monitors 50 a are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians.
  • the monitors 50 a simultaneously display the same information, but only one light pen 50 b is enabled.
  • a light sensor in the tip of light pen 50 b detects light emitted by CRT display. To select a particular screen or function, the operator touches a designated area of the display screen and pushes the button on the pen 50 b .
  • the touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen.
  • Other devices such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to light pen 50 b to allow the user to communicate with controller 132 .
  • the process for depositing the film can be implemented using a computer program product that is executed by controller 132 .
  • the computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled WindowsTM library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
  • FIG. 1D is an illustrative block diagram of the hierarchical control structure of the system control software, computer program 70 , according to a specific embodiment.
  • a user Using the light pen interface, a user enters a process set number and process chamber number into a process selector subroutine 73 in response to menus or screens displayed on the CRT monitor.
  • the process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers.
  • the process selector subroutine 73 identifies (i) the desired process chamber and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process.
  • the process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and are entered utilizing the light pen/CRT monitor interface.
  • the signals for monitoring the process are provided by the analog and digital input boards of the system controller, and the signals for controlling the process are output on the analog and digital output boards of CVD system 10 .
  • a process sequencer subroutine 75 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 73 , and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process set numbers and process chamber numbers, so the sequencer subroutine 75 operates to schedule the selected processes in the desired sequence.
  • the sequencer subroutine 75 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out.
  • sequencer subroutine 75 takes into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • the sequencer subroutine 75 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 77 a - c , which controls multiple processing tasks in a process chamber 15 according to the process set determined by the sequencer subroutine 75 .
  • the chamber manager subroutine 77 a comprises program code for controlling sputtering and CVD process operations in the process chamber 15 .
  • the chamber manager subroutine 77 also controls execution of various chamber component subroutines that control operation of the chamber components necessary to carry out the selected process set.
  • chamber component subroutines are substrate positioning subroutine 80 , process gas control subroutine 83 , pressure control subroutine 85 , heater control subroutine 87 , and plasma control subroutine 90 .
  • the chamber manager subroutine 77 a selectively schedules or calls the process component subroutines in accordance with the particular process set being executed.
  • the chamber manager subroutine 77 a schedules the process component subroutines much like the sequencer subroutine 75 schedules which process chamber 15 and process set are to be executed next.
  • the chamber manager subroutine 77 a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • the substrate positioning subroutine 80 comprises program code for controlling chamber components that are used to load the substrate onto pedestal 12 and, optionally, to lift the substrate to a desired height in the chamber 15 to control the spacing between the substrate and the gas distribution manifold 11 .
  • pedestal 12 is lowered to receive the substrate, and thereafter, the susceptor 12 is raised to the desired height in the chamber, to maintain the substrate at a first distance or spacing from the gas distribution manifold during the CVD process.
  • the substrate positioning subroutine 80 controls movement of pedestal 12 in response to process set parameters related to the support height that are transferred from the chamber manager subroutine 77 a.
  • the process gas control subroutine 83 has program code for controlling process gas composition and flow rates.
  • the process gas control subroutine 83 controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain the desired gas flow rate.
  • the process gas control subroutine 83 is invoked by the chamber manager subroutine 77 a , as are all chamber component subroutines, and receives from the chamber manager subroutine process parameters related to the desired gas flow rates.
  • the process gas control subroutine 83 operates by opening the gas supply lines and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine 77 a , and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, the process gas control subroutine 83 includes steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
  • an inert gas such as helium or argon is flowed into the chamber 15 to stabilize the pressure in the chamber before reactive process gases are introduced.
  • the process gas control subroutine 83 is programmed to include steps for flowing the inert gas into the chamber 15 for an amount of time necessary to stabilize the pressure in the chamber, and then the steps described above would be carried out. Additionally, when a process gas is to be vaporized from a liquid precursor, for example, TEOS, the process gas control subroutine 83 is written to include steps for bubbling a delivery gas, such as helium, through the liquid precursor in a bubbler assembly or introducing a carrier gas, such as helium or nitrogen, to a liquid injection system.
  • the process gas control subroutine 83 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature in order to obtain the desired process gas flow rates.
  • the desired process gas flow rates are transferred to the process gas control subroutine 83 as process parameters.
  • the process gas control subroutine 83 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.
  • the process gas control subroutine may purposefully include a delay between the time that the flow of liquid precursor to the injection valve is halted, and the time that a flow of carrier gas to the injection valve is halted. This delay may assist in vaporizing residual liquid precursor, thereby preventing droplets from forming on the surface of the deposited layer. Desired process gas flow rates may be transferred to process gas control subroutine 83 as process parameters.
  • the pressure control subroutine 85 comprises program code for controlling the pressure in the chamber 15 by regulating the size of the opening of the throttle valve in the exhaust system of the chamber.
  • the size of the opening of the throttle valve is set to control the chamber pressure to the desired level in relation to the total process gas flow, size of the process chamber, and pumping setpoint pressure for the exhaust system.
  • the pressure control subroutine 85 operates to measure the pressure in the chamber 15 by reading one or more conventional pressure manometers connected to the chamber, to compare the measure value(s) to the target pressure, to obtain PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and to adjust the throttle valve according to the PID values obtained from the pressure table.
  • the pressure control subroutine 85 can be written to open or close the throttle valve to a particular opening size to regulate the chamber 15 to the desired pressure.
  • the heater control subroutine 87 comprises program code for controlling the current to a heating unit that is used to heat the substrate 20 .
  • the heater control subroutine 87 is also invoked by the chamber manager subroutine 77 a and receives a target, or set-point, temperature parameter.
  • the heater control subroutine 87 measures the temperature by measuring voltage output of a thermocouple located in pedestal 12 , comparing the measured temperature to the set-point temperature, and increasing or decreasing current applied to the heating unit to obtain the set-point temperature.
  • the temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth-order polynomial.
  • the heater control subroutine 87 When an embedded loop is used to heat pedestal 12 , the heater control subroutine 87 gradually controls a ramp up/down of current applied to the loop. Additionally, a built-in fail-safe mode can be included to detect process safety compliance, and can shut down operation of the heating unit if the process chamber 15 is not properly set up.
  • the plasma control subroutine 90 comprises program code for setting the low and high frequency RF power levels applied to the process electrodes in the chamber 15 , and for setting the low frequency RF frequency employed. Similar to the previously described chamber component subroutines, the plasma control subroutine 90 is invoked by the chamber manager subroutine 77 a.
  • the plasma control subroutine 90 can include instructions for performing a post-deposition plasma treatment to remove defects of the first and second type from the surface of a deposited dielectric layer.
  • the above reactor description is mainly for illustrative purposes, and other plasma CVD equipment such as electron cyclotron resonance (ECR) plasma CVD devices, induction coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above-described system, such as variations in pedestal design, heater design, RF power frequencies, location of RF power connections and others are possible.
  • the wafer could be supported by a susceptor and heated by quartz lamps.
  • the layer and method for forming such a layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method.
  • FIG. 2 is a schematic diagram of an embodiment of a plasma enhanced chemical vapor deposition (PECVD) system 10 including a gas delivery system 7 in accordance with the present invention.
  • PECVD plasma enhanced chemical vapor deposition
  • Embodiments in accordance with the present invention are not limited to PECVD processing, and can also be utilized in conjunction with other types of CVD processing such as high density plasma chemical vapor deposition (HDP-CVD), subatmospheric chemical vapor deposition (SACVD), and thermal CVD.
  • HDP-CVD high density plasma chemical vapor deposition
  • SACVD subatmospheric chemical vapor deposition
  • thermal CVD thermal CVD
  • the processing chamber 12 is a CVD chamber configured to deposit silicon dioxide by flowing vaporized triethylphosphate (TEPO), tetraethyl orthosilicate (TEOS), and tetraethyl borate (TEB) into the processing chamber 12 .
  • TEPO triethylphosphate
  • TEOS tetraethyl orthosilicate
  • TEB tetraethyl borate
  • embodiments in accordance with the present invention are not limited to this specific application, and may include two, four, or an even greater number of separate, devoted lines for delivering a variety of gases and vaporized liquids.
  • Gas delivery system 7 comprises three processing liquid vaporization stages 210 a - c in fluid communication with the processing chamber through devoted delivery lines 288 a - c respectively.
  • First stage 210 a comprises a first injection valve 211 a coupled to a source of liquid TEB 225 a via a first liquid flow meter 223 a .
  • Second stage 210 b comprises a second injection valve 211 b coupled to a source of liquid TEOS 225 b via a second liquid flow meter 223 b .
  • Third stage 210 c comprises a third injection valve 211 c coupled to a source of liquid TEPO 225 c via a third liquid flow meter 223 c .
  • Each source of processing liquid 225 a - c is coupled to a respective source of pressurized helium 229 a - c.
  • the gas delivery system of FIG. 2 supplies carrier gas to each vaporization stage through separate carrier gas sources 233 a - c controlled by separate, devoted mass flow controllers (MFCs) 239 a - c respectively.
  • MFCs mass flow controllers
  • Each mass flow controller is in communication with the system controller 132 , allowing for control over the mass flow controller, and for monitoring of the temperature of the mass flow controller for clog-detection purposes.
  • the carrier gas flowed from devoted carrier gas sources 233 a - c vaporizes processing liquid within stages 210 a - c of gas delivery system 7 , respectively.
  • Flow into and out of vaporization stages 210 a - c is controlled by valves positioned on the gas delivery lines both upstream and downstream of the vaporization stages. Specifically, upstream shut off valves 289 a - c control the flow of carrier gas through lines 288 a - c to vaporization stages 210 a - c , respectively.
  • an inert carrier gas such as helium flows from the gas sources 233 a - c into flow controllers 239 a - c respectively, and the flow controllers 239 a - c are set at a first flow rate.
  • the processing liquid is vaporized as described with reference to FIG. 3 below.
  • a mixture of vaporized TEB and helium flows from outlet 217 a of the first injection valve 211 a through final valve 290 a and divert valve 291 a to the processing chamber.
  • a mixture of vaporized TEOS and helium flows from outlet 217 b of the second injection valve 211 b through final valve 290 b and divert valve 291 b to the processing chamber, and a mixture of vaporized TEPO and helium flows from outlet 217 c of the third injection valve 211 c through final valve 290 c and divert valve 291 c to the processing chamber.
  • These mixtures then flow to the processing chamber 12 where the chamber pressure and temperature causes the TEB, TEOS and TEPO to react to form a doped silicon dioxide layer on a substrate (not shown) positioned within the processing chamber 12 .
  • FIG. 3 is a diagrammatic side elevational view of a generic vaporization stage 210 of the gas delivery system 7 shown in FIG. 2 .
  • Vaporization stage 210 comprises a conventional injection valve 211 that comprises a processing liquid inlet 213 for inputting a processing liquid, a carrier gas inlet 215 for inputting an inert carrier gas, and an outlet 217 for outputting a vaporized processing liquid/carrier gas mixture.
  • the processing liquid inlet 213 terminates at an orifice 219 leading to a central gas reaction area 221 where the processing liquid inlet 213 , the carrier gas inlet 215 , and the outlet 217 meet.
  • the injection valve 211 is configured such that the relative sizes of the orifice 219 and the central region 221 , and the pressures, flow rates and relative direction of the processing liquid and carrier gas flow cause a pressure drop within the central region 221 , as is conventionally known in the art. This pressure drop causes processing liquid supplied to the processing liquid inlet 213 to vaporize as it passes from the processing liquid inlet 213 , through the orifice 219 to the central region 221 .
  • the processing liquid inlet 213 is coupled to a liquid flow meter (LFM) 223 of the vaporization stage 210 which controls the flow rate of processing liquid traveling to the injection valve 211 .
  • the liquid flow meter 223 also is coupled via line 227 to a source of processing liquid 225 within the vaporization stage 210 , which in turn is coupled to a source of pressurized helium 229 .
  • the pressurized helium flow forces the processing liquid from the processing liquid source 225 through line 227 to the liquid flow meter 223 .
  • the liquid flow meter 223 controls the flow rate of the processing liquid as it travels from liquid flow meter 223 through the processing liquid inlet 213 and the orifice 219 to the central region 221 of the injection valve 211 .
  • the processing liquid vaporizes and mixes with the carrier gas as the processing liquid enters the central region 221 , due to the pressure decrease experienced as the processing liquid travels from the orifice 219 to the central region 221 .
  • the combined vaporized processing liquid/carrier gas flows from injection valve 211 via outlet 217 .
  • FIG. 4 is a top plan view of an automated tool 243 for fabricating semiconductor devices.
  • the tool 243 comprises a pair of load locks 245 a , 245 b , and a first wafer handler chamber 247 containing a first wafer handler 249 .
  • the first wafer handler chamber 247 is operatively coupled to the pair of load locks 245 a , 245 b and to a pair of pass-through chambers 251 a , 251 b .
  • the pair of pass-through chambers 251 a , 251 b are further coupled to a second wafer handler chamber 253 (e.g., a transfer chamber), containing a second wafer handler 255 , and to a plurality of processing chambers 257 , 259 .
  • the second wafer handler chamber 253 is coupled to the processing chamber 12 of FIG. 1A which is further coupled to the gas delivery system 7 .
  • controller 132 which comprises a microprocessor and a memory not shown in FIG. 4 ) having a program therein, which controls semiconductor wafer transfer among the load locks 245 a , 245 b , the pass-through chambers 251 a , 251 b , and the processing chambers 257 , 259 , 38 , and which controls processing therein.
  • controller 132 is also in communication with various components of the gas delivery system 7 , including mass flow controllers 239 a - c , final valves 290 a - c , and diversion valves 291 a - c.
  • the controller program and the overall configuration of the tool 243 is designed to ensure optimal productivity and throughput. This means that the tool is operated to produce processed wafers bearing as few defects as possible.
  • an apparatus in accordance with the present invention could employ both software instructions and a hardware delay switch or other mechanism to prolong the flow of carrier gas beyond the end of flow of liquid precursor material to the vaporizer.
  • the software/hardware approaches utilized to ensure continued flow of carrier gas after deposition may be combined with the post-deposition plasma treatment.
  • TEOS vaporized liquids
  • TEB vaporized liquids
  • TEPO vaporized liquids
  • TEOS vaporized liquids
  • TEPO vaporized liquids
  • Other liquid processing materials which may be vaporized during the fabrication of semiconductor devices include, but are not limited to, titanium tetrachloride (TiCl 4 ), trimethylsilane (SiH(CH 3 ) 3 ), tetramethylsilane (Si(CH 3 ) 4 ), tetramethylcyclotetrasiloxane (TOMCATS), octamethylcyclotetrasiloxane (OMCTS), dimethyldimethoxysilane (Z2DM), trimethyl phosphite (TMPI), trimethylphosphate (TMPO), trimethylborate (TMB), phosphorus oxychloride (POCl 3 ), boron tribromide (BBr 3 ), bis(tertiary-butylamin

Abstract

A variety of techniques may be employed alone or in combination to reduce the incidence of defects arising in dielectric stack structures formed by chemical vapor deposition (CVD). Incidence of a first defect type attributable to reaction between an unreacted species of a prior CVD step and reactants of a subsequent CVD step, is reduced by exposing a freshly-deposited dielectric layer to a plasma before any additional layers are deposited. Incidence of a second defect type attributable to the presence of incompletely vaporized CVD liquid precursor material, is reduced by exposing the freshly-deposited dielectric layer to a plasma, and/or by continuing the flow of carrier gas through an injection valve for a period beyond the conclusion of the CVD step.

Description

    BACKGROUND OF THE INVENTION
  • Chemical vapor deposition (CVD) and other processing employed in the fabrication of semiconductor devices may utilize a number of gases or vaporized processing liquids. These gases, which may take the form of vaporized liquid precursors, are generated and supplied to a CVD chamber via a system of pipes or lines and vaporizing mechanisms known as a gas delivery system. Typically a vaporizing mechanism for vaporizing each processing liquid is coupled to a source of processing liquid and to a source of carrier gas. Each vaporizing mechanism and processing liquid source combination within a gas delivery system is referred to as a vaporization stage. Although a number of vaporizing mechanisms exist (e.g., bubblers, injection valves, etc.), most conventional gas delivery systems employ a plurality of injection valves for vaporizing processing liquids to be delivered to a CVD chamber.
  • A typical injection valve comprises a processing liquid inlet for receiving a pressurized processing liquid, a carrier gas inlet for receiving a pressurized inert carrier gas, and an outlet for delivering a vaporized processing liquid/carrier gas mixture. The injection valve is heated such that when the processing liquid is injected into the carrier gas, the heat and the low partial vapor pressure of the processing liquid in the carrier gas causes the processing liquid to vaporize. A high carrier gas pressure produces more processing liquid vaporization by lowering the partial vapor pressure of the processing liquid within the carrier gas. Accordingly, when designing a gas delivery system, maintenance of adequate carrier gas pressure is an important consideration, as is minimizing overall system size and complexity.
  • To achieve a low partial vapor pressure for each processing liquid while minimizing system size, conventional gas delivery systems are configured such that a carrier gas is delivered (via a mass flow controller) to a first injection valve, where it is used to vaporize a first processing liquid, forming a first vaporized processing liquid/carrier gas mixture.
  • While conventional approaches utilizing precursor liquids in the fabrication of semiconductor devices are effective, there is an ongoing need in the art to maintain high throughput and reduce the incidence of defects to as great an extent as possible.
  • BRIEF SUMMARY OF THE INVENTION
  • A variety of techniques may be employed, alone or in combination, to reduce the incidence of defects arising in dielectric stack structures formed by chemical vapor deposition (CVD). Incidence of a first defect type attributable to the presence of unreacted species during a CVD step is reduced by exposing a freshly-deposited dielectric layer to a plasma before depositing any additional layers. Incidence of a second defect type attributable to the presence of incompletely vaporized CVD liquid precursor material may also be reduced by exposing the freshly-deposited dielectric layer to a plasma, and/or by continuing the flow of carrier gas through an injection valve for a period of time beyond the conclusion of the CVD step.
  • An embodiment of a method in accordance with the present invention for reducing the incidence of defects in a stack of dielectric layers formed by chemical vapor deposition, comprises, flowing a liquid precursor material to an injection valve, vaporizing the liquid precursor material in a carrier gas flowed through the injection valve, and causing a reaction involving the vaporized liquid precursor material to deposit a first dielectric layer. The flow of liquid precursor material to the injection valve is halted at a first time, and then the flow of carrier gas to the injection valve is halted at a second time after the first time.
  • An embodiment of a method in accordance with the present invention for reducing the incidence of defects in a stack of dielectric layers, comprises, depositing a first dielectric layer by chemical vapor deposition, and exposing the freshly deposited dielectric layer to a plasma generated in a reactive gas to remove from a surface of the freshly deposited dielectric layer at least one of an incompletely vaporized liquid precursor material and an incompletely reacted material. A second dielectric layer is deposited over the first dielectric layer following exposure of the freshly deposited first dielectric layer to the plasma.
  • An embodiment of a substrate processing apparatus in accordance with the present invention comprises a processing chamber and a gas distribution system including an injection valve in fluid communication with a liquid precursor source and in fluid communication with a carrier gas source, the injection valve configured to deliver a flow of liquid precursor vaporized in the carrier gas to the processing chamber. An RF power system is configured to apply RF energy to generate a plasma in a gas in fluid communication with the processing chamber. A controller configured to control the gas delivery system and the RF power system. A memory is coupled to the controller, the memory comprising a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing apparatus. The computer-readable program includes (i) a first set of instructions for halting a flow of the liquid precursor material to the injection valve at the conclusion of a deposition step involving the vaporized liquid precursor material, and (ii) a second set of instructions for causing the RF power system to introduce the plasma into the processing chamber after the flow of liquid precursor to the injection valve has been halted.
  • An alternative embodiment of a substrate processing apparatus in accordance with the present invention comprises a processing chamber, and a gas distribution system including an injection valve in fluid communication with a liquid precursor source and in fluid communication with a carrier gas source, the injection valve configured to deliver a flow of liquid precursor vaporized in the carrier gas to the processing chamber. A controller is configured to control the gas delivery system. A memory is coupled to the controller, the memory comprising a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing apparatus. The computer-readable program includes (i) a first set of instructions for halting a flow of the liquid precursor material to the injection valve at the conclusion of a deposition step involving the vaporized liquid precursor material, and (ii) a second set of instructions for halting a flow of the carrier gas material to the injection valve after the flow of liquid precursor material to the injection valve has been halted.
  • A further understanding of the objects and advantages of the present invention can be made by way of reference to the ensuing detailed description taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a vertical, cross-sectional view of one embodiment of a chemical vapor deposition apparatus according to the present invention.
  • FIGS. 1B and 1C are exploded perspective views of parts of the CVD chamber depicted in FIG. 1A.
  • FIG. 1D is a simplified diagram of system monitor and CVD system in a multichamber system, which may include one or more chambers.
  • FIG. 1E shows an illustrative block diagram of the hierarchical control structure of the system control software, computer program, according to a specific embodiment.
  • FIG. 2 is a schematic diagram of a chemical vapor deposition system including an embodiment of a gas delivery system in accordance with the present invention.
  • FIG. 3 is a diagrammatic side elevational view of a generic vaporization stage comprising a conventional injection valve.
  • FIG. 4 is a top plan view of an automated tool for semiconductor device fabrication.
  • FIG. 5 shows a simplified cross-sectional sketch of a dielectric stack including defects of the first and second type.
  • FIG. 6 shows an electron microscopy image of a plan view of a defect of the first type.
  • FIG. 7 shows the results of atomic force microscopy analysis of a defect of the first type.
  • FIG. 8A shows an electron microscopy image of a plan view of a defect of the second type following deposition of a first type of an overlying layer.
  • FIG. 8B shows an electron microscopy image of a plan view of a defect of the second type following deposition of a second type of an overlying layer.
  • FIG. 8C shows an electron microscopy image of a plan view of a defect of the second type following deposition of a third type of an overlying layer.
  • FIGS. 9A and 9C show electron microscopy images of plan and cross-sectional views respectively, of a defect of the second type.
  • FIGS. 9B and 9D show electron microscopy images of plan and cross-sectional views respectively, of another defect of the second type.
  • FIG. 10 is a simplified flow chart depicting method steps in accordance with one embodiment of the present invention.
  • FIG. 11 is a simplified flow chart depicting method steps in accordance with an alternative embodiment of the present invention.
  • FIG. 12 is a simplified flow chart depicting method steps in accordance with another alternative embodiment of the present invention.
  • FIG. 13 plots detected defects on a logarithmic scale for a plurality of different processed wafers.
  • FIG. 14 plots detected defects on a logarithmic scale for three different lots of processed wafers.
  • FIG. 15A plots chamber pressure versus time at the conclusion of a nitride deposition step utilizing silane.
  • FIG. 15B plots silane flow versus time at the conclusion of the nitride deposition step shown in FIG. 15A.
  • FIG. 15C plots chamber pressure versus time at the conclusion of an oxide deposition step utilizing TEOS.
  • FIG. 15D plots TEOS flow versus time at the conclusion of the oxide deposition step shown in FIG. 15C.
  • FIG. 16 plots the number of defects of the second type for a plurality of different processed wafers.
  • FIG. 17A shows the results of a defect detection analysis of a first wafer processed without a post-deposition plasma treatment step.
  • FIG. 17B shows the results of a defect detection analysis of a wafer processed in the same manner as the wafer of FIG. 17A, but including a post-deposition plasma treatment step.
  • FIG. 17C shows the results of a defect detection analysis of a second wafer processed without a post-deposition plasma treatment step.
  • FIG. 17D shows the results of a defect detection analysis of a wafer processed in the same manner as the wafer of FIG. 17C, but including a post-deposition plasma treatment step.
  • DETAILED DESCRIPTION OF THE INVENTION
  • I. Defect Reduction
  • FIG. 5 shows a simplified cross-sectional sketch of a stack of dielectric layers that may be formed during the fabrication of a semiconductor device. Dielectric stack 500 comprises silicon oxide layer 504 having a thickness of about 10,000 Å that is formed over silicon 506. Silicon oxide layer 504 may typically be formed by chemical vapor deposition involving the reaction of tetraethyl orthosilicate (TEOS). TEOS is a liquid at room temperature, and is typically introduced into a deposition chamber by vaporization of the liquid precursor material in a carrier gas.
  • Silicon nitride layer 507 having a thickness of about 300 Å is next deposited over the silicon oxide layer 504. Fluorinated silicate glass (FSG) layer 508 having a thickness of about 2,500 Å is then formed over nitride layer 506. Finally, dielectric anti-reflective coating (DARC®) 510 having a thickness of about 600 Å is deposited over the FSG layer 508 to complete the dielectric stack 500.
  • FIG. 5 graphically illustrates the occurrence of two different types of defects during fabrication of the dielectric stack. A first defect type 512 exhibits a relatively short vertical profile and occurs with relatively high frequency. FIG. 6 is an electron micrograph of plan view of DARC layer 510 showing a defect of the first type. FIG. 7 shows results of atomic force microscopy of a defect of the first type.
  • The mechanism of formation of defects of the first type may involve the presence of incompletely reacted species on the surface of a deposited layer. For example, defect 512 in FIG. 5 may result from the presence of dangling Si bonds present on the surface of silicon oxide layer 504 of the dielectric stack 500. Defect 513 in FIG. 5 may result from the presence of dangling Si bonds present on the surface of silicon nitride layer 507 of the dielectric stack 500.
  • During subsequent deposition to form overlying layers in the stack, these incompletely reacted materials may serve as nucleation sites for unwanted reactions with reactants of subsequent CVD steps. Moreover, because reaction of less than 100% of the available materials is not uncommon, defects of the first type occur with relatively high frequency over the surface of the deposited layer.
  • FIG. 5 also graphically illustrates the occurrence of second defect type 514 in dielectric stack 500. Second defect type 514 is generally larger than the first defect type, and occurs with significantly lower frequency than defects of the first type. Second defect type 514 is attributable to the presence of incompletely vaporized CVD liquid precursor reactant on the surface of a deposited layer. Such non-vaporized residue in turn reacts with, and may be coated by, reactants in subsequent CVD processes.
  • The mechanism of formation for defects of the second type is evidenced by FIGS. 8A-C, which show electron microscopy images of plan views of a surface wherein the following layers, respectively, have been deposited over a silicon oxide layer: 300 Å SiN (FIG. 8A), 700 Å BLOk dielectric (FIG. 8B), and 3400 Å FSG (FIG. 8C). Each of the defects shown in FIGS. 8A-C exhibit an irregular, boulder-like appearance commonly associated with a reaction site bearing an overcoating of material from a subsequent deposition.
  • The mechanism of formation of defects of the second type is further evidenced by FIGS. 9A-D. FIGS. 9A and 9B show scanning electron microscopy images of plan views of two dielectric stacks bearing defects of the second type. FIGS. 9C and 9D show electron microscopy images of the defects of FIGS. 9C and 9D, following fluorine ion bombardment (FIB). FIGS. 9C and 9D reveal the presence of a void underlying the defects, a phenomena also suggestive of a reaction-type defect which blocks exposure of the underlying material to CVD reactants.
  • Defects of either the first type or the second type are undesirable during processing of semiconductor wafers. Defects of the second type may be large enough to alter the physical structure of a dielectric stack, thereby compromising performance of active electronic devices incorporating the stack. Defects of the first type are not generally large enough to disrupt the electronic physical or electrical character of active electronic devices fabricated from a dielectric stack. However, defects of the first type do occur in large numbers, overwhelming detection apparatuses and interfering with detection of less common but larger and more significant defects, for example those of the second type.
  • In accordance with embodiments of the present invention, various techniques may be employed to reduce the incidence of defects in dielectric stack structures formed by CVD. The frequency of occurrence of defects of the first type may be reduced by exposing a freshly-deposited dielectric layer to plasma before depositing any additional layers. The frequency of occurrence of defects of the second type may also be reduced by exposing the freshly-deposited dielectric layer to a plasma. Alternatively or in conjunction with a post-deposition plasma exposure step, defects of the second type may be reduced by maintaining flow of carrier gas through an injection valve for a period of time following the conclusion of a CVD step, thereby ensuring complete vaporization of liquid precursor CVD material.
  • A. Post-Deposition Plasma Treatment
  • In accordance with one embodiment of the present invention, the incidence of defects of either the first type or the second type may be reduced by exposing a freshly deposited dielectric layer to a plasma. This post-deposition plasma reacts with and consumes unreacted or incompletely vaporized materials remaining on the surface of the deposited layer. As a result, these materials are not available to interact with subsequent CVD materials to nucleate or otherwise create a defect in a subsequently deposited layer.
  • In certain instances, deposition of a layer of the dielectric stack may result from other than a plasma-assisted CVD process, such as thermal CVD or low pressure CVD (LPCVD). In such an approach, after deposition a plasma may be introduced into the processing chamber to remove material present on the surface of the freshly-deposited layer. Such a post-deposition plasma may be generated within the deposition chamber itself, or may be generated remotely and then flowed into the deposition chamber. Alternatively, the wafer bearing the freshly-deposited layer could be transferred to a second chamber for the post-deposition plasma exposure.
  • In other instances, deposition of a layer of the dielectric stack may result from a plasma-assisted CVD process such as plasma enhanced CVD (PECVD) or high density plasma CVD (HDPCVD). In such an approach, the plasma utilized during deposition may be maintained after deposition of the dielectric layer has been completed. Such a post-deposition plasma could be created from the same mixture of gases utilized during deposition, or could be created from a different mixture of gases, for example the reactant gases minus a silicon-containing reactant gas.
  • FIG. 10 is a simplified flow chart depicting method steps in accordance with one embodiment of the present invention for reducing defects in a processed semiconductor substrate. In step 1002, a substrate is disposed within a processing chamber. In step 1004, liquid precursor is flowed to an injection valve and a flow of gas through the injection valve is stabilized.
  • In step 1006, the stabilized gas flow is conveyed to the processing chamber to effect deposition of material on the substrate. Examples of carrier gases flowed into the chamber during this step include but are not limited to helium, argon, and nitrogen. Examples of reactive gases flowed into the chamber during this step include but are not limited to O2, N2O, and NH3.
  • At the conclusion of this deposition process, in step 1008 the flow of a liquid precursor to the vaporizer, and the flow of carrier gas through the vaporizer, may be halted at the same time.
  • In step 1010, the flow of gas to the processing chamber is resumed, and a plasma is introduced into the chamber. The gases flowed into the chamber during this post deposition plasma treatment step may include some or all of the reactive gases flowed during the deposition step, alone or in conjunction with a flow of carrier gas. In certain embodiments, the rate of flow of the silicon containing reactive gas may be reduced by 75% or more or halted entirely.
  • This post-deposition plasma may be generated directly in the processing chamber in situ, or may be remotely generated and then flowed into the chamber. The plasma within the processing chamber reacts with and removes unreacted or incompletely vaporized materials remaining on the surface of the deposited layer.
  • In step 1012, the plasma is extinguished and the processed substrate is removed from the chamber to allow for additional fabrication steps to take place.
  • TABLE 1 summarizes the state of different parameters during the various steps of the process shown in FIG. 10.
  • TABLE 1
    LIQUID
    PRECURSOR CARRIER PLASMA REACTIVE
    STEP NO. FLOW GAS FLOW GENERATOR GAS FLOW
    1002 OFF OFF OFF OFF
    1004 ON ON OFF ON
    1006 ON ON ON ON
    1008 OFF OFF OFF OFF
    1010 OFF ON or OFF ON ON
    1012 OFF OFF OFF OFF
  • The post-deposition plasma treatment just described need not require a separate step, but may instead be readily integrated into an existing plasma exposed step with resulting high throughput. FIG. 11 shows a simplified flow chart depicting method steps of such an embodiment.
  • In first step 1102 of method 1100, a substrate is disposed within a processing chamber. In step 1104, liquid precursor is flowed to an injection valve and a flow of gas through the injection valve is stabilized.
  • In step 1106 the stabilized gas flow is conveyed to the processing chamber and a plasma is introduced thereto to effect a plasma-assisted chemical vapor deposition of material on the substrate. At the conclusion of this deposition process, in step 1108 the flow of liquid precursor to the vaporizer is halted but the plasma continues to be introduced to the chamber, thereby completing reaction of any unreacted species remaining on the film that has just been deposited.
  • In step 1110, the introduction of plasma into the chamber is halted, and the flow of carrier gas into chamber is also halted. In step 1112, the substrate is removed from the processing chamber.
  • TABLE 2 summarizes the state of different parameters during the various steps of the process shown in FIG. 11.
  • TABLE 2
    LIQUID
    PRECURSOR CARRIER PLASMA REACTIVE
    STEP NO. FLOW GAS FLOW GENERATOR GAS FLOW
    1102 OFF OFF OFF OFF
    1104 ON ON OFF OFF
    1106 ON ON ON ON
    1108 OFF ON ON ON
    1110 OFF OFF OFF OFF
  • The plasma utilized in such a post-deposition treatment need not be maintained continuously within the processing chamber after deposition. In alternative embodiments, the post-deposition plasma treatment could be non-continuous, such that the plasma is halted at the end of the deposition process and then subsequently resumed in order to remove residual unreacted or incompletely vaporized material.
  • And while the specific example described in connection with FIGS. 10 and 11 illustrate a deposition process utilizing a vaporized liquid precursor as a reactant gas, this is not required by the present invention. A post-deposition plasma treatment step in accordance with embodiments of the present invention may also be utilized to reduce the incidence of defects of the first type resulting from incomplete reaction of gaseous CVD reactants not produced by vaporization of original liquid precursor materials.
  • B. Prolonged Carrier Gas Flow
  • As described above, defects of the second type may occur due to the presence of incompletely vaporized CVD liquid precursor reactant material on the surface of a deposited layer. Such incompletely vaporized liquid precursor material can be removed through post-deposition plasma treatment in the manner described above.
  • However, the incidence of defects of the second type may also be reduced by preventing incompletely vaporized liquid precursor material from forming on the wafer surface in the first place. Specifically, CVD liquid precursor reactants are generally introduced into the processing chamber by vaporization in a flow of a pressurized carrier gas. If the carrier gas flow is insufficient to carry precursor material into the deposition chamber in gas form, the vapor can recombine in the chamber to form a liquid droplet.
  • Conventionally, at the conclusion of CVD processes involving TEOS or other vaporized liquid precursors, the flow of all gases (including carrier gases) is halted at the same time. Where however, any amount of liquid precursor is flowed to an injection valve after the carrier gas flow has been halted, some amount of non-vaporized liquid TEOS may be injected directly into the chamber. It is this incompletely vaporized liquid precursor material which can subsequently react to create a defect of the second type.
  • Therefore, in accordance with alternative embodiments of the present invention, methods and apparatuses ensure that at the conclusion of a CVD process involving vaporized liquid precursors, the flow of carrier gas to a vaporizer continues for some period beyond the halting of flow of liquid precursor to the injection valve. This sequence of events ensures that any residual liquid precursor material remaining in the injection valve or in the flow lines is absorbed by the continuing flow of carrier gas, such that the precursor material enters the processing chamber in vapor rather than liquid form.
  • FIG. 12 is a simplified flow chart depicting method steps in accordance with an such an alternative embodiment of the present invention. In step 1202, a substrate is disposed within a processing chamber.
  • In step 1204, liquid precursor and carrier gas are flowed to an injection valve and the resulting gas flow is stabilized. In step 1206, the stabilized gas flow is conveyed to the processing chamber to effect deposition of material on the substrate. At the conclusion of this deposition process, in step 1208 the flow of a liquid precursor to the vaporizer is halted, with the flow of carrier gas through the vaporizer maintained. During this step, any residual liquid precursor material within the injection valve is vaporized to prevent its being flowed in liquid form to the processing chamber.
  • In step 1210, the flow of carrier gas through the injection valve to the processing chamber is halted. In step 1212, the processed wafer is removed from the chamber for further processing.
  • TABLE 3 summarizes the state of different parameters during the various steps of the process shown in FIG. 12.
  • TABLE 3
    LIQUID
    PRECURSOR CARRIER PLASMA REACTIVE
    STEP NO. FLOW GAS FLOW GENERATOR GAS FLOW
    1202 OFF OFF OFF OFF
    1204 ON ON OFF ON
    1206 ON ON ON ON
    1208 OFF ON OFF ON or OFF
    1210 OFF OFF OFF OFF
  • Various techniques may be employed alone or in combination to avoid introducing incompletely vaporized liquid precursor material into the processing chamber. One such approach utilizes a software recipe or subroutine to delay shutting off the carrier stream for a short period of time, typically 1-10 seconds, after the flow of liquid precursor has been halted. As discussed below in detail in connection with FIG. 1C, the tool processor typically includes a process gas control subroutine which governs operation of components delivering gases to the processing chamber. This process gas control subroutine could include commands allowing the carrier gas flow to be stopped only after a minimum time from halt of flow of liquid precursor to the injection valve.
  • Alternatively or in conjunction with the software approach described above, the apparatus of the gas delivery system could include a hardware configuration which automatically shuts off of the carrier gas stream for a short period, typically 1-10 seconds, after the flow of the liquid precursor to the vaporization stage has been halted.
  • Such a hardware-implemented delay could be based upon the physical operation of a valve positioned between the mass flow controller of the carrier gas and the injection valve. Alternatively, such a hardware-implemented delay could be based upon the physical operation of the mass flow controller of the carrier gas itself, for example by the use of a capacitance based hardware delay switch.
  • C. Experimental Results
  • FIG. 13 plots detected defects of the first type on a logarithmic scale for processed wafers bearing a dielectric stack comprising a 7800 Å-thick layer of fluorosilicate glass (FSG) deposited over a 300 Å-thick layer of CVD silicon nitride (SiN). The freshly deposited silicon nitride layer of the various lots of processed wafers were exposed to plasma for three seconds under the conditions summarized below in TABLE 4:
  • TABLE 4
    SILANE (SiH4) FLOW AMMONIA (NH3) FLOW
    RATE DURING POST- RATE DURING POST-
    DEPOSITION PLASMA DEPOSITION PLASMA
    TREATMENT OF SiN TREATMENT OF SiN
    LOT LAYER (sccm) LAYER (sccm)
    A 55 75
    B 5 75
    C 0 75
    D 55 0
    E 5 0
    F 0 0
    G 210 75
    RATE OF FLOW OF NITROGEN (N2) DURING ALL LOTS = 5000 sccm
  • FIG. 13 plots the rate of incidence of defects of the first type as detected by two different apparatuses. One tool utilized to detect defects of the first type is the SP1 tool manufactured by KLA-Tencor Corp. of San Jose, Calif. A second tool utilized to detect defects of the second type is the COMPASS® tool manufactured by Applied Materials Inc. of Santa Clara.
  • FIG. 13 shows the data for several different continuous plasma termination conditions. Lot G represents the case of no termination and uses the conventional deposition gas flow conditions for the three additional seconds of plasma exposure. The results of lots A and B show that by greatly reducing the silane concentration during the three second continuous plasma termination (compared to the standard case lot G), the incidence of defects is greatly reduced (by >99%). Without wishing to be bound by any particular theory, lower a silane concentration may lead to more complete reaction in the nitride, leaving less unreacted species on the material surface.
  • Wafers exposed to no silane in the termination step (lot C), would be expected to show the lowest defect counts. However, those results were inconsistent. This inconsistency was later attributed to operational characteristics of the Applied Materials Producer valve sequencing software and hardware. Where a Silane MFC is set to zero, the MFC may actually continue to flow an unpredictable amount of silane for several seconds. Not withstanding the nuances of the Applied Materials Producer valve sequencing software, the theoretical best practice is to halt silane flow completely during the continuous plasma termination step.
  • Lots D, E, and F show the defect reduction to be minimal if the NH3 is also turned off during the termination. This is because the NH3 is necessary in the termination plasma to complete the reaction on any dangling silicon bonds.
  • FIG. 14 shows that the duration of the post-deposition plasma exposure can affect the incidence of defects of the first type. FIG. 14 plots detected defects on a logarithmic scale for three different lots of twelve wafer pairs processed in a dual chamber tool. First and second lots A and B comprise wafers bearing the dielectric stack of FIG. 5, wherein the surface of the freshly deposited silicon oxide layer was exposed to plasma for five seconds after the deposition process, and the freshly deposited silicon nitride layer was exposed to plasma for three seconds after the deposition process. Third lot C comprises wafers bearing the dielectric stack of FIG. 5, wherein the surface of the freshly deposited oxide layer was exposed to plasma for ten seconds beyond the end of the deposition process, and the freshly deposited nitride layer was exposed to plasma for five seconds beyond the end of the deposition process.
  • FIG. 14 shows that in first lot A, two wafers (the wafer processed in the second chamber of pair 11, and the wafer processed in the first chamber of pair 12) experienced a large number (>1000) of defects. In second lot B, four wafers (the wafer processed in the second chamber of pair 15, the wafer processed in the first chamber of pair 23, and the wafers processed in the first and second chambers of pair 25) experienced a large number (˜1000 or higher) of defects. By contrast, in third lot C where the wafers were treated more extensively with plasma, none of the wafers exhibited such a large number of defects. FIG. 13 thus shows that the duration of post deposition plasma treatment may correlate with the resulting number of defects detected.
  • The beneficial effect of prolonged treatment to a post-deposition plasma may be related the characteristic flow of different materials flowed into the chamber. For example, FIG. 15A plots chamber pressure versus time at the conclusion of a nitride deposition step utilizing silane as a CVD reactant. FIG. 15B plots silane flow versus time at the conclusion of the nitride deposition step shown in FIG. 15A. FIG. 15C plots chamber pressure versus time at the conclusion of a CVD step deposition step utilizing vaporized TEOS as a CVD reactant. FIG. 1 5D plots TEOS flow versus time at the conclusion of the oxide deposition step shown in FIG. 15C. Comparison of FIGS. 15A-B with FIGS. 15C-D shows that vaporized TEOS material exhibits a substantially longer response time than vaporized silane, such that vaporized TEOS will continue to flow into the chamber for a period after the flow of TEOS has been instructed to be halted. This difference in response time would indicate longer post-deposition plasma exposure to remove the additional TEOS present within the chamber.
  • FIG. 16 shows that a post-deposition plasma exposure step may also be utilized to reduce the incidence of defects of the second type. FIG. 16 plots detected defects of the second type on a logarithmic scale for three different lots of processed wafers bearing the dielectric stack of FIG. 5. The wafers of first lot A include a conventionally deposited silicon oxide layer. The wafers of second lot B include a silicon oxide layer that was exposed to plasma following deposition. The wafers of third lot C include a silicon oxide layer that was deposited utilizing a flow of carrier gas continuing beyond the end of flow of liquid precursor to the injection valve, without any post-deposition plasma treatment. FIG. 16 shows that a rate of incidence of defects of the second type at about 100 or higher for all of the conventionally processed wafers in the first lot. However, for wafers of the second and third lots processed in accordance with embodiments of the present invention, the rate of incidence of defects of the second type was substantially lower (i.e. 100 or less). FIG. 16 thus shows either the post-deposition plasma treatment or continued carrier gas flow approaches is effective to reduce the incidence of defects of the second type.
  • This result is confirmed by FIGS. 17A-D, which show the results of utilizing a post-deposition plasma treatment on a processed wafer bearing the dielectric stack of FIG. 5. FIGS. 17A and 17C show defects detected for two different wafers processed with a continuing flow of carrier gas at the end of deposition, but lacking any post-deposition plasma treatment following deposition of silicon oxide. The wafers of both FIGS. 17A and 17C exhibit over 10,000 defects, many of which are of the more frequently first type.
  • FIGS. 17B and 17D show defects detected for two different wafers which did not include a continuing flow of carrier gas at the conclusion of deposition, but which did receive the post-deposition plasma treatment following silicon oxide deposition. The wafers of both FIGS. 17B and 17D exhibit dramatically fewer detected defects (45 defects in FIG. 17B, and 43 defects in FIG. 17D) as compared with the wafers of FIGS. 17A and 17B. The defect detection shown in FIGS. 17A-17D was performed utilizing a compass tool manufactured by Applied Materials, Inc. of Santa Clara, Calif., having a defect sensitivity of about 0.16 μm.
  • II. Exemplary Deposition System
  • One suitable CVD apparatus in which the method of the present invention can be carried out is shown in FIG. 1A, which are vertical, cross-sectional views of a CVD system 10, having a vacuum or processing chamber 15 that includes a chamber wall 15 a and chamber lid assembly 15 b. Chamber wall 15 a and chamber lid assembly 15 b are shown in exploded, perspective views in FIGS. 1B and 1C.
  • CVD system 10 contains a gas distribution manifold 11 for dispersing process gases to a substrate (not shown) that rests on a heated pedestal 12 centered within the process chamber. During processing, the substrate (e.g. a semiconductor wafer) is positioned on a flat (or slightly convex) surface 12 a of pedestal 12. The pedestal can be moved controllably between a lower loading/off-loading position (not shown) and an upper processing position (shown in FIG. 1A), which is closely adjacent to manifold 11. A centerboard (not shown) includes sensors for providing information on the position of the wafers.
  • Deposition and carrier gases are introduced into chamber 15 through perforated holes 13 b (FIG. 1C) of a conventional flat, circular gas distribution or faceplate 13 a. More specifically, deposition process gases flow into the chamber through the inlet manifold 11 (indicated by arrow 40 in FIG. 1A), through a conventional perforated blocker plate 42 and then through holes 13 b in gas distribution faceplate 13 a.
  • Before reaching the manifold, deposition and carrier gases are input from gas sources 7 a through gas supply lines 8 of gas delivery system 7 (FIG. 1A) into a mixing system 9 where they are combined and then sent to manifold 11. Generally, the supply line for each process gas includes (i) several safety shut-off valves (not shown) that can be used to automatically or manually shut-off the flow of process gas into the chamber, and (ii) mass flow controllers (also not shown) that measure the flow of gas through the supply line. When toxic gases (for example, ozone or halogenated gas) are used in the process, the several safety shut-off valves are positioned on each gas supply line in conventional configurations.
  • The deposition process performed in CVD system 10 can be either a thermal process or a plasma-enhanced process. In a plasma-enhanced process, an RF power supply 44 applies electrical power between the gas distribution faceplate 13 a and the pedestal so as to excite the process gas mixture to form a plasma within the cylindrical region between the faceplate 13 a and the pedestal. (This region will be referred to herein as the “reaction region”). Constituents of the plasma react to deposit a desired film on the surface of the semiconductor wafer supported on pedestal 12. RF power supply 44 is a mixed frequency RF power supply that typically supplies power at a high RF frequency (RF1) of 13.56 MHz and at a low RF frequency (RF2) of 360 KHz to enhance the decomposition of reactive species introduced into the vacuum chamber 15. In a thermal process, RF power supply 44 would not be utilized, and the process gas mixture thermally reacts to deposit the desired films on the surface of the semiconductor wafer supported on pedestal 12, which is resistively heated to provide thermal energy for the reaction.
  • During a plasma-enhanced deposition process, the plasma heats the entire process chamber 10, including the walls of the chamber body 15 a surrounding the exhaust passageway 23 and the shut-off valve 24. When the plasma is not turned on or during a thermal deposition process, a hot liquid is circulated through the walls 15 a of the process chamber to maintain the chamber at an elevated temperature. Fluids used to heat the chamber walls 15 a include the typical fluid types, i.e., water-based ethylene glycol or oil-based thermal transfer fluids. This heating beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.
  • The remainder of the gas mixture that is not deposited in a layer, including reaction products, is evacuated from the chamber by a vacuum pump (not shown). Specifically, the gases are exhausted through an annular, slot-shaped orifice 16 surrounding the reaction region and into an annular exhaust plenum 17. The annular slot 16 and the plenum 17 are defined by the gap between the top of the chamber's cylindrical side wall 15 a (including the upper dielectric lining 19 on the wall) and the bottom of the circular chamber lid 20. The 360° circular symmetry and uniformity of the slot orifice 16 and the plenum 17 are important to achieving a uniform flow of process gases over the wafer so as to deposit a uniform film on the wafer.
  • From the exhaust plenum 17, the gases flow underneath a lateral extension portion 21 of the exhaust plenum 17, past a viewing port (not shown), through a downward-extending gas passage 23, past a vacuum shut-off valve 24 (whose body is integrated with the lower chamber wall 15 a), and into the exhaust outlet 25 that connects to the external vacuum pump (not shown) through a foreline (also not shown).
  • The wafer support platter of the pedestal 12 (preferably aluminum, ceramic, or a combination thereof) is resistively-heated using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element runs adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal 12.
  • Typically, any or all of the chamber lining, gas inlet manifold faceplate, and various other reactor hardware are made out of material such as aluminum, anodized aluminum, or ceramic. An example of such a CVD apparatus is described in U.S. Pat. No. 5,558,717 entitled “CVD Processing Chamber,” issued to Zhao et al. The U.S. Pat. No. 5,558,717 patent is assigned to Applied Materials, Inc., the assignee of the present invention, and is hereby incorporated by reference.
  • A lift mechanism and motor (not shown) raises and lowers the heated pedestal assembly 12 and its wafer lift pins 12 b as wafers are transferred into and out of the body of the chamber by a robot blade (not shown) through an insertion/removal opening 26 in the side of the chamber 10. The motor raises and lowers pedestal 12 between a processing position 14 and a lower, wafer-loading position. The motor, valves or flow controllers connected to the supply lines 8, gas delivery system, throttle valve, RF power supply 44, and chamber and substrate heating systems are all controlled by a system controller 132 (FIG. 1A) over control lines 36, of which only some are shown. Controller 132 relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve and susceptor which are moved by appropriate motors under the control of controller 132.
  • In a preferred embodiment, the system controller includes a hard disk drive (memory 38), a floppy disk drive and a processor 37. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system 10 conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • System controller 132 controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer-readable medium such as a memory 38. Preferably, memory 38 is a hard disk drive, but memory 38 may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to operate controller 34.
  • The interface between a user and controller 132 is via a CRT monitor 50 a and light pen 50 b, shown in FIG. 1D, which is a simplified diagram of the system monitor and CVD system 10 in a substrate processing system, which may include one or more chambers. In the preferred embodiment two monitors 50 a are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The monitors 50 a simultaneously display the same information, but only one light pen 50 b is enabled. A light sensor in the tip of light pen 50 b detects light emitted by CRT display. To select a particular screen or function, the operator touches a designated area of the display screen and pushes the button on the pen 50 b. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and the display screen. Other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to light pen 50 b to allow the user to communicate with controller 132.
  • The process for depositing the film can be implemented using a computer program product that is executed by controller 132. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Windows™ library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
  • FIG. 1D is an illustrative block diagram of the hierarchical control structure of the system control software, computer program 70, according to a specific embodiment. Using the light pen interface, a user enters a process set number and process chamber number into a process selector subroutine 73 in response to menus or screens displayed on the CRT monitor. The process sets are predetermined sets of process parameters necessary to carry out specified processes, and are identified by predefined set numbers. The process selector subroutine 73 identifies (i) the desired process chamber and (ii) the desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the low frequency RF frequency, cooling gas pressure, and chamber wall temperature. These parameters are provided to the user in the form of a recipe, and are entered utilizing the light pen/CRT monitor interface.
  • The signals for monitoring the process are provided by the analog and digital input boards of the system controller, and the signals for controlling the process are output on the analog and digital output boards of CVD system 10.
  • A process sequencer subroutine 75 comprises program code for accepting the identified process chamber and set of process parameters from the process selector subroutine 73, and for controlling operation of the various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a user can enter multiple process set numbers and process chamber numbers, so the sequencer subroutine 75 operates to schedule the selected processes in the desired sequence. Preferably, the sequencer subroutine 75 includes a program code to perform the steps of (i) monitoring the operation of the process chambers to determine if the chambers are being used, (ii) determining what processes are being carried out in the chambers being used, and (iii) executing the desired process based on availability of a process chamber and type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, sequencer subroutine 75 takes into consideration the present condition of the process chamber being used in comparison with the desired process conditions for a selected process, or the “age” of each particular user entered request, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
  • Once the sequencer subroutine 75 determines which process chamber and process set combination is going to be executed next, the sequencer subroutine 75 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 77 a-c, which controls multiple processing tasks in a process chamber 15 according to the process set determined by the sequencer subroutine 75. For example, the chamber manager subroutine 77 a comprises program code for controlling sputtering and CVD process operations in the process chamber 15. The chamber manager subroutine 77 also controls execution of various chamber component subroutines that control operation of the chamber components necessary to carry out the selected process set. Examples of chamber component subroutines are substrate positioning subroutine 80, process gas control subroutine 83, pressure control subroutine 85, heater control subroutine 87, and plasma control subroutine 90. Those having ordinary skill in the art will readily recognize that other chamber control subroutines can be included depending on what processes are to be performed in the process chamber 15. In operation, the chamber manager subroutine 77 a selectively schedules or calls the process component subroutines in accordance with the particular process set being executed. The chamber manager subroutine 77 a schedules the process component subroutines much like the sequencer subroutine 75 schedules which process chamber 15 and process set are to be executed next. Typically, the chamber manager subroutine 77 a includes steps of monitoring the various chamber components, determining which components need to be operated based on the process parameters for the process set to be executed, and causing execution of a chamber component subroutine responsive to the monitoring and determining steps.
  • Operation of particular chamber component subroutines will now be described with reference to FIG. 1E. The substrate positioning subroutine 80 comprises program code for controlling chamber components that are used to load the substrate onto pedestal 12 and, optionally, to lift the substrate to a desired height in the chamber 15 to control the spacing between the substrate and the gas distribution manifold 11. When a substrate is loaded into the process chamber 15, pedestal 12 is lowered to receive the substrate, and thereafter, the susceptor 12 is raised to the desired height in the chamber, to maintain the substrate at a first distance or spacing from the gas distribution manifold during the CVD process. In operation, the substrate positioning subroutine 80 controls movement of pedestal 12 in response to process set parameters related to the support height that are transferred from the chamber manager subroutine 77 a.
  • The process gas control subroutine 83 has program code for controlling process gas composition and flow rates. The process gas control subroutine 83 controls the open/close position of the safety shut-off valves, and also ramps up/down the mass flow controllers to obtain the desired gas flow rate. The process gas control subroutine 83 is invoked by the chamber manager subroutine 77 a, as are all chamber component subroutines, and receives from the chamber manager subroutine process parameters related to the desired gas flow rates. Typically, the process gas control subroutine 83 operates by opening the gas supply lines and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from the chamber manager subroutine 77 a, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, the process gas control subroutine 83 includes steps for monitoring the gas flow rates for unsafe rates and for activating the safety shut-off valves when an unsafe condition is detected.
  • In some processes, an inert gas such as helium or argon is flowed into the chamber 15 to stabilize the pressure in the chamber before reactive process gases are introduced. For these processes, the process gas control subroutine 83 is programmed to include steps for flowing the inert gas into the chamber 15 for an amount of time necessary to stabilize the pressure in the chamber, and then the steps described above would be carried out. Additionally, when a process gas is to be vaporized from a liquid precursor, for example, TEOS, the process gas control subroutine 83 is written to include steps for bubbling a delivery gas, such as helium, through the liquid precursor in a bubbler assembly or introducing a carrier gas, such as helium or nitrogen, to a liquid injection system. When a bubbler is used for this type of process, the process gas control subroutine 83 regulates the flow of the delivery gas, the pressure in the bubbler, and the bubbler temperature in order to obtain the desired process gas flow rates. As discussed above, the desired process gas flow rates are transferred to the process gas control subroutine 83 as process parameters. Furthermore, the process gas control subroutine 83 includes steps for obtaining the necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, the delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared to the necessary values and adjusted accordingly.
  • As discussed above, in certain embodiments in accordance with the present invention, the process gas control subroutine may purposefully include a delay between the time that the flow of liquid precursor to the injection valve is halted, and the time that a flow of carrier gas to the injection valve is halted. This delay may assist in vaporizing residual liquid precursor, thereby preventing droplets from forming on the surface of the deposited layer. Desired process gas flow rates may be transferred to process gas control subroutine 83 as process parameters.
  • The pressure control subroutine 85 comprises program code for controlling the pressure in the chamber 15 by regulating the size of the opening of the throttle valve in the exhaust system of the chamber. The size of the opening of the throttle valve is set to control the chamber pressure to the desired level in relation to the total process gas flow, size of the process chamber, and pumping setpoint pressure for the exhaust system. When the pressure control subroutine 85 is invoked, the desired, or target, pressure level is received as a parameter from the chamber manager subroutine 77 a. The pressure control subroutine 85 operates to measure the pressure in the chamber 15 by reading one or more conventional pressure manometers connected to the chamber, to compare the measure value(s) to the target pressure, to obtain PID (proportional, integral, and differential) values from a stored pressure table corresponding to the target pressure, and to adjust the throttle valve according to the PID values obtained from the pressure table. Alternatively, the pressure control subroutine 85 can be written to open or close the throttle valve to a particular opening size to regulate the chamber 15 to the desired pressure.
  • The heater control subroutine 87 comprises program code for controlling the current to a heating unit that is used to heat the substrate 20. The heater control subroutine 87 is also invoked by the chamber manager subroutine 77 a and receives a target, or set-point, temperature parameter. The heater control subroutine 87 measures the temperature by measuring voltage output of a thermocouple located in pedestal 12, comparing the measured temperature to the set-point temperature, and increasing or decreasing current applied to the heating unit to obtain the set-point temperature. The temperature is obtained from the measured voltage by looking up the corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth-order polynomial. When an embedded loop is used to heat pedestal 12, the heater control subroutine 87 gradually controls a ramp up/down of current applied to the loop. Additionally, a built-in fail-safe mode can be included to detect process safety compliance, and can shut down operation of the heating unit if the process chamber 15 is not properly set up.
  • The plasma control subroutine 90 comprises program code for setting the low and high frequency RF power levels applied to the process electrodes in the chamber 15, and for setting the low frequency RF frequency employed. Similar to the previously described chamber component subroutines, the plasma control subroutine 90 is invoked by the chamber manager subroutine 77 a.
  • As described above, the plasma control subroutine 90 can include instructions for performing a post-deposition plasma treatment to remove defects of the first and second type from the surface of a deposited dielectric layer.
  • The above reactor description is mainly for illustrative purposes, and other plasma CVD equipment such as electron cyclotron resonance (ECR) plasma CVD devices, induction coupled RF high density plasma CVD devices, or the like may be employed. Additionally, variations of the above-described system, such as variations in pedestal design, heater design, RF power frequencies, location of RF power connections and others are possible. For example, the wafer could be supported by a susceptor and heated by quartz lamps. The layer and method for forming such a layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method.
  • III. Exemplary Gas Delivery System
  • FIG. 2 is a schematic diagram of an embodiment of a plasma enhanced chemical vapor deposition (PECVD) system 10 including a gas delivery system 7 in accordance with the present invention. Embodiments in accordance with the present invention are not limited to PECVD processing, and can also be utilized in conjunction with other types of CVD processing such as high density plasma chemical vapor deposition (HDP-CVD), subatmospheric chemical vapor deposition (SACVD), and thermal CVD.
  • In the example of FIG. 2, the processing chamber 12 is a CVD chamber configured to deposit silicon dioxide by flowing vaporized triethylphosphate (TEPO), tetraethyl orthosilicate (TEOS), and tetraethyl borate (TEB) into the processing chamber 12. However, embodiments in accordance with the present invention are not limited to this specific application, and may include two, four, or an even greater number of separate, devoted lines for delivering a variety of gases and vaporized liquids.
  • Gas delivery system 7 comprises three processing liquid vaporization stages 210 a-c in fluid communication with the processing chamber through devoted delivery lines 288 a-c respectively. First stage 210 a comprises a first injection valve 211 a coupled to a source of liquid TEB 225 a via a first liquid flow meter 223 a. Second stage 210 b comprises a second injection valve 211 b coupled to a source of liquid TEOS 225 b via a second liquid flow meter 223 b. Third stage 210 c comprises a third injection valve 211 c coupled to a source of liquid TEPO 225 c via a third liquid flow meter 223 c. Each source of processing liquid 225 a-c is coupled to a respective source of pressurized helium 229 a-c.
  • The gas delivery system of FIG. 2 supplies carrier gas to each vaporization stage through separate carrier gas sources 233 a-c controlled by separate, devoted mass flow controllers (MFCs) 239 a-c respectively. Each mass flow controller is in communication with the system controller 132, allowing for control over the mass flow controller, and for monitoring of the temperature of the mass flow controller for clog-detection purposes.
  • The carrier gas flowed from devoted carrier gas sources 233 a-c vaporizes processing liquid within stages 210 a-c of gas delivery system 7, respectively. Flow into and out of vaporization stages 210 a-c is controlled by valves positioned on the gas delivery lines both upstream and downstream of the vaporization stages. Specifically, upstream shut off valves 289 a-c control the flow of carrier gas through lines 288 a-c to vaporization stages 210 a-c, respectively. Final valves 290 a-c positioned downstream from vaporization stages 210 a-c respectively, govern the flow of the carrier gas/vaporized liquid mixture from vaporization stages 210 a-c to the mixing manifold. The outlet of the first devoted delivery line 288 a, the outlet of the second devoted delivery line 288 b, and the outlet of the third devoted delivery line 288 c, flow to the processing chamber.
  • During operation, an inert carrier gas such as helium flows from the gas sources 233 a-c into flow controllers 239 a-c respectively, and the flow controllers 239 a-c are set at a first flow rate. Within each vaporization stage 210 a-c, the processing liquid is vaporized as described with reference to FIG. 3 below. Thus, a mixture of vaporized TEB and helium flows from outlet 217 a of the first injection valve 211 a through final valve 290 a and divert valve 291 a to the processing chamber. A mixture of vaporized TEOS and helium flows from outlet 217 b of the second injection valve 211 b through final valve 290 b and divert valve 291 b to the processing chamber, and a mixture of vaporized TEPO and helium flows from outlet 217 c of the third injection valve 211 c through final valve 290 c and divert valve 291 c to the processing chamber. These mixtures then flow to the processing chamber 12 where the chamber pressure and temperature causes the TEB, TEOS and TEPO to react to form a doped silicon dioxide layer on a substrate (not shown) positioned within the processing chamber 12.
  • FIG. 3 is a diagrammatic side elevational view of a generic vaporization stage 210 of the gas delivery system 7 shown in FIG. 2. Vaporization stage 210 comprises a conventional injection valve 211 that comprises a processing liquid inlet 213 for inputting a processing liquid, a carrier gas inlet 215 for inputting an inert carrier gas, and an outlet 217 for outputting a vaporized processing liquid/carrier gas mixture. Within each injection valve 211, the processing liquid inlet 213 terminates at an orifice 219 leading to a central gas reaction area 221 where the processing liquid inlet 213, the carrier gas inlet 215, and the outlet 217 meet. The injection valve 211 is configured such that the relative sizes of the orifice 219 and the central region 221, and the pressures, flow rates and relative direction of the processing liquid and carrier gas flow cause a pressure drop within the central region 221, as is conventionally known in the art. This pressure drop causes processing liquid supplied to the processing liquid inlet 213 to vaporize as it passes from the processing liquid inlet 213, through the orifice 219 to the central region 221.
  • Outside the injection valve 211, the processing liquid inlet 213 is coupled to a liquid flow meter (LFM) 223 of the vaporization stage 210 which controls the flow rate of processing liquid traveling to the injection valve 211. The liquid flow meter 223 also is coupled via line 227 to a source of processing liquid 225 within the vaporization stage 210, which in turn is coupled to a source of pressurized helium 229.
  • In operation, the pressurized helium flow forces the processing liquid from the processing liquid source 225 through line 227 to the liquid flow meter 223. The liquid flow meter 223 controls the flow rate of the processing liquid as it travels from liquid flow meter 223 through the processing liquid inlet 213 and the orifice 219 to the central region 221 of the injection valve 211. A pressurized carrier gas, such as helium, flows through the carrier gas inlet 215 into the central region 221.
  • The processing liquid vaporizes and mixes with the carrier gas as the processing liquid enters the central region 221, due to the pressure decrease experienced as the processing liquid travels from the orifice 219 to the central region 221. The combined vaporized processing liquid/carrier gas flows from injection valve 211 via outlet 217.
  • FIG. 4 is a top plan view of an automated tool 243 for fabricating semiconductor devices. The tool 243 comprises a pair of load locks 245 a, 245 b, and a first wafer handler chamber 247 containing a first wafer handler 249. The first wafer handler chamber 247 is operatively coupled to the pair of load locks 245 a, 245 b and to a pair of pass-through chambers 251 a, 251 b. The pair of pass-through chambers 251 a,251 b are further coupled to a second wafer handler chamber 253 (e.g., a transfer chamber), containing a second wafer handler 255, and to a plurality of processing chambers 257, 259. Most importantly, the second wafer handler chamber 253 is coupled to the processing chamber 12 of FIG. 1A which is further coupled to the gas delivery system 7.
  • The entire tool 243 is controlled by a controller 132 (which comprises a microprocessor and a memory not shown in FIG. 4) having a program therein, which controls semiconductor wafer transfer among the load locks 245 a, 245 b, the pass-through chambers 251 a, 251 b, and the processing chambers 257, 259, 38, and which controls processing therein. As shown in FIG. 2, controller 132 is also in communication with various components of the gas delivery system 7, including mass flow controllers 239 a-c, final valves 290 a-c, and diversion valves 291 a-c.
  • The controller program and the overall configuration of the tool 243 is designed to ensure optimal productivity and throughput. This means that the tool is operated to produce processed wafers bearing as few defects as possible.
  • The foregoing description discloses only specific embodiments in accordance with the present invention, and modifications of the above disclosed apparatuses and methods falling within the scope of the invention will be apparent to those of ordinary skill in the art. For example, the various embodiments described above offer independent approaches to reducing the incidence of defects related to the presence of non-vaporized liquid precursor on a wafer. Therefore, it should be recognized that the above-referenced techniques may be employed alone or in combination in order to reduce defects.
  • Thus one embodiment of an apparatus in accordance with the present invention could employ both software instructions and a hardware delay switch or other mechanism to prolong the flow of carrier gas beyond the end of flow of liquid precursor material to the vaporizer. Similarly, the software/hardware approaches utilized to ensure continued flow of carrier gas after deposition may be combined with the post-deposition plasma treatment.
  • And while the specific embodiment shown and described above focuses upon delivery of three particular vaporized liquids (TEOS, TEB, and TEPO) to a processing chamber, the present invention is not limited to the delivery of any specific type of vaporized processing liquid. Other liquid processing materials which may be vaporized during the fabrication of semiconductor devices include, but are not limited to, titanium tetrachloride (TiCl4), trimethylsilane (SiH(CH3)3), tetramethylsilane (Si(CH3)4), tetramethylcyclotetrasiloxane (TOMCATS), octamethylcyclotetrasiloxane (OMCTS), dimethyldimethoxysilane (Z2DM), trimethyl phosphite (TMPI), trimethylphosphate (TMPO), trimethylborate (TMB), phosphorus oxychloride (POCl3), boron tribromide (BBr3), bis(tertiary-butylamino)silane (BTBAS), tantalum pentaethoxide (TAETO), tantalum tetraethoxide dimethylaminoethoxide (TAT-DMAE), tert-butylimino tris(diethylamino) tantalum (TBTDET), tetrakis-diethylamino titanium (TDEAT), and tetrakis-dimethylamino titanium (TDMAT).
  • Accordingly, while the present invention has been disclosed in connection with the preferred embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.

Claims (14)

1-7. (canceled)
8. A method of reducing incidences of defects in a stack of dielectric layers, the method comprising:
depositing a first dielectric layer by chemical vapor deposition;
exposing the freshly deposited dielectric layer to a plasma generated in a chemically reactive gas to remove from a surface-of the freshly deposited dielectric layer at least one of an incompletely vaporized liquid precursor material and an incompletely reacted material; and
depositing a second dielectric layer over the first dielectric layer following exposure of the freshly deposited dielectric layer to the plasma.
9. The method of claim 8 wherein the chemically reactant gas comprises a gas utilized during deposition of the first dielectric layer.
10. The method of claim 8 wherein the plasma is already present in a processing chamber as a result of deposition of the first dielectric layer.
11. The method of claim 8 wherein the plasma is introduced into a processing chamber after completion of deposition of the first layer.
12. The method of claim 8 wherein the plasma is introduced by generation within a processing chamber.
13. The method of claim 8 wherein the plasma is introduced by generation remote from the processing chamber followed by flowing into the processing chamber.
14. The method of claim 8 wherein the plasma is formed from a gas comprising ammonia.
15. The method of claim 8 wherein:
depositing the first layer comprises,
flowing a liquid precursor material to an injection valve,
vaporizing the liquid precursor material with a carrier gas flowed through the injection valve, and
causing a reaction involving the vaporized liquid precursor material to deposit the first dielectric layer; and
the method further comprises,
halting the flow of liquid precursor material to the injection valve at a first time, and
halting the flow of carrier gas to the injection valve at a second time after the first time.
16. The method of claim 15 wherein the plasma is formed in the carrier gas.
17. A substrate processing apparatus comprising:
a processing chamber;
a gas distribution system including an injection valve in fluid communication with a liquid precursor source and in fluid communication with a carrier gas source, the injection valve configured to deliver a flow of liquid precursor vaporized in the carrier gas to the processing chamber;
an RF power system configured to apply RF energy to generate a plasma in a gas in fluid communication with the processing chamber;
a controller configured to control the gas delivery system and the RF power system; and
a memory, coupled to the controller, comprising a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing apparatus, the computer-readable program including:
(i) a first set of instructions for halting a flow of the liquid precursor material to the injection valve at the conclusion of a deposition step involving the vaporized liquid precursor material, and
(ii) a second set of instructions for causing the RF power system to introduce the plasma into the processing chamber after the flow of liquid precursor to the injection valve has been halted.
18. The apparatus of claim 17 wherein the second set of instructions cause the RF power system to generate the plasma in ammonia gas.
19. A substrate processing apparatus comprising:
a processing chamber;
a gas distribution system including an injection valve in fluid communication with a liquid precursor source and in fluid communication with a carrier gas source, the injection valve configured to deliver a flow of liquid precursor vaporized in the carrier gas to the processing chamber;
a controller configured to control the gas delivery system; and
a memory, coupled to the controller, comprising a computer-readable medium having a computer-readable program embodied therein for directing operation of the substrate processing apparatus, the computer-readable program including:
(i) a first set of instructions for halting a flow of the liquid precursor material to the injection valve at the conclusion of a deposition step involving the vaporized liquid precursor material, and
(ii) a second set of instructions for halting a flow of the carrier gas material to the injection valve after the flow of liquid precursor material to the injection valve has been halted.
20. The apparatus of claim 19 wherein the second set of instructions cause the flow of carrier gas to flow to the injection valve for a period of between about 1-10 seconds after the flow of the precursor material to the injection valve has been halted.
US12/082,494 2003-08-27 2008-04-10 Methods and devices to reduce defects in dielectric stack structures Abandoned US20080257864A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/082,494 US20080257864A1 (en) 2003-08-27 2008-04-10 Methods and devices to reduce defects in dielectric stack structures

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/650,941 US7608300B2 (en) 2003-08-27 2003-08-27 Methods and devices to reduce defects in dielectric stack structures
US12/082,494 US20080257864A1 (en) 2003-08-27 2008-04-10 Methods and devices to reduce defects in dielectric stack structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/650,941 Continuation US7608300B2 (en) 2003-08-27 2003-08-27 Methods and devices to reduce defects in dielectric stack structures

Publications (1)

Publication Number Publication Date
US20080257864A1 true US20080257864A1 (en) 2008-10-23

Family

ID=34217273

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/650,941 Expired - Fee Related US7608300B2 (en) 2003-08-27 2003-08-27 Methods and devices to reduce defects in dielectric stack structures
US12/082,494 Abandoned US20080257864A1 (en) 2003-08-27 2008-04-10 Methods and devices to reduce defects in dielectric stack structures

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/650,941 Expired - Fee Related US7608300B2 (en) 2003-08-27 2003-08-27 Methods and devices to reduce defects in dielectric stack structures

Country Status (1)

Country Link
US (2) US7608300B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120181252A1 (en) * 2011-01-19 2012-07-19 Sumitomo Heavy Industries, Ltd. Plasma processing method and plasma processing apparatus
US20160208382A1 (en) * 2015-01-21 2016-07-21 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
JP2004288916A (en) * 2003-03-24 2004-10-14 Renesas Technology Corp Cvd apparatus
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
JP2006128370A (en) * 2004-10-28 2006-05-18 Tokyo Electron Ltd Film forming apparatus and metod, program, and recording medium
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20070271751A1 (en) * 2005-01-27 2007-11-29 Weidman Timothy W Method of forming a reliable electrochemical capacitor
JP5043684B2 (en) * 2005-01-27 2012-10-10 アプライド マテリアルズ インコーポレイテッド Ruthenium layer deposition apparatus and method
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP5200551B2 (en) * 2008-01-18 2013-06-05 東京エレクトロン株式会社 Vaporized raw material supply apparatus, film forming apparatus, and vaporized raw material supply method
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US9315894B2 (en) * 2011-03-30 2016-04-19 Asm Ip Holding B.V. Atomic layer deposition of metal phosphates and lithium silicates
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
GB201813467D0 (en) 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
US11180849B2 (en) * 2018-09-03 2021-11-23 Applied Materials, Inc. Direct liquid injection system for thin film deposition

Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5345079A (en) * 1992-03-10 1994-09-06 Mds Health Group Limited Apparatus and method for liquid sample introduction
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5484749A (en) * 1990-08-10 1996-01-16 Alcan-Tech Co., Inc. Manufacturing method of semiconductor device
US5536323A (en) * 1990-07-06 1996-07-16 Advanced Technology Materials, Inc. Apparatus for flash vaporization delivery of reagents
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5702532A (en) * 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
US5711816A (en) * 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5835677A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5925189A (en) * 1995-12-06 1999-07-20 Applied Materials, Inc. Liquid phosphorous precursor delivery apparatus
US5935283A (en) * 1996-12-31 1999-08-10 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6179277B1 (en) * 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
US6261374B1 (en) * 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6270859B2 (en) * 1996-07-12 2001-08-07 Applied Materials, Inc. Plasma treatment of titanium nitride formed by chemical vapor deposition
US6332470B1 (en) * 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner
US20020000195A1 (en) * 2000-04-10 2002-01-03 Won Bang Concentration profile on demand gas delivery system (individual divert delivery system)
US6345642B1 (en) * 1999-02-19 2002-02-12 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid path
US6349887B1 (en) * 1998-12-30 2002-02-26 Hyundai Electronics Industries Co., Ltd. Liquid delivery system
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6360685B1 (en) * 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6375753B1 (en) * 1999-02-19 2002-04-23 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid delivery line
US6374770B1 (en) * 1995-10-26 2002-04-23 Applied Materials, Inc. Apparatus for improving film stability of halogen-doped silicon oxide films
US6402126B2 (en) * 1996-10-02 2002-06-11 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US6409839B1 (en) * 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
US6418960B1 (en) * 1999-10-06 2002-07-16 Applied Materials, Inc. Ultrasonic enhancement for solvent purge of a liquid delivery system
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6789789B2 (en) * 2002-05-29 2004-09-14 Veeco Instruments Inc. High throughput vaporizer
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures

Patent Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5204314A (en) * 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5536323A (en) * 1990-07-06 1996-07-16 Advanced Technology Materials, Inc. Apparatus for flash vaporization delivery of reagents
US5711816A (en) * 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5484749A (en) * 1990-08-10 1996-01-16 Alcan-Tech Co., Inc. Manufacturing method of semiconductor device
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5345079A (en) * 1992-03-10 1994-09-06 Mds Health Group Limited Apparatus and method for liquid sample introduction
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5593741A (en) * 1992-11-30 1997-01-14 Nec Corporation Method and apparatus for forming silicon oxide film by chemical vapor deposition
US5702532A (en) * 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
US6374770B1 (en) * 1995-10-26 2002-04-23 Applied Materials, Inc. Apparatus for improving film stability of halogen-doped silicon oxide films
US5925189A (en) * 1995-12-06 1999-07-20 Applied Materials, Inc. Liquid phosphorous precursor delivery apparatus
US6270859B2 (en) * 1996-07-12 2001-08-07 Applied Materials, Inc. Plasma treatment of titanium nitride formed by chemical vapor deposition
US6402126B2 (en) * 1996-10-02 2002-06-11 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5835677A (en) * 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5935283A (en) * 1996-12-31 1999-08-10 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US6409839B1 (en) * 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6332470B1 (en) * 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner
US6179277B1 (en) * 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
US6360685B1 (en) * 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6261374B1 (en) * 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6349887B1 (en) * 1998-12-30 2002-02-26 Hyundai Electronics Industries Co., Ltd. Liquid delivery system
US6375753B1 (en) * 1999-02-19 2002-04-23 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid delivery line
US6345642B1 (en) * 1999-02-19 2002-02-12 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid path
US6418960B1 (en) * 1999-10-06 2002-07-16 Applied Materials, Inc. Ultrasonic enhancement for solvent purge of a liquid delivery system
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US20020000195A1 (en) * 2000-04-10 2002-01-03 Won Bang Concentration profile on demand gas delivery system (individual divert delivery system)
US6511923B1 (en) * 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6789789B2 (en) * 2002-05-29 2004-09-14 Veeco Instruments Inc. High throughput vaporizer
US7608300B2 (en) * 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120181252A1 (en) * 2011-01-19 2012-07-19 Sumitomo Heavy Industries, Ltd. Plasma processing method and plasma processing apparatus
US9105451B2 (en) * 2011-01-19 2015-08-11 Sumitomo Heavy Industries, Ltd. Plasma processing method and plasma processing apparatus
US20160208382A1 (en) * 2015-01-21 2016-07-21 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
US7608300B2 (en) 2009-10-27
US20050045099A1 (en) 2005-03-03

Similar Documents

Publication Publication Date Title
US20080257864A1 (en) Methods and devices to reduce defects in dielectric stack structures
US6223685B1 (en) Film to tie up loose fluorine in the chamber after a clean process
US5872065A (en) Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6149974A (en) Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US5807785A (en) Low dielectric constant silicon dioxide sandwich layer
US5862057A (en) Method and apparatus for tuning a process recipe to target dopant concentrations in a doped layer
US6395092B1 (en) Apparatus for depositing high deposition rate halogen-doped silicon oxide layer
US6566278B1 (en) Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US7087497B2 (en) Low-thermal-budget gapfill process
US5827785A (en) Method for improving film stability of fluorosilicate glass films
US6614181B1 (en) UV radiation source for densification of CVD carbon-doped silicon oxide films
US6153261A (en) Dielectric film deposition employing a bistertiarybutylaminesilane precursor
US6449521B1 (en) Decontamination of a plasma reactor using a plasma after a chamber clean
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6733955B1 (en) Methods for forming self-planarized dielectric layer for shallow trench isolation
US5990000A (en) Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6374831B1 (en) Accelerated plasma clean
US6523494B1 (en) Apparatus for depositing low dielectric constant oxide film
US20040163590A1 (en) In-situ health check of liquid injection vaporizer
US20040083962A1 (en) Clog-resistant gas delivery system
WO1999016930A1 (en) Method and apparatus for deposition of carbon
EP0822585A2 (en) Stress control by fluorination of silica film
US6204174B1 (en) Method for high rate deposition of tungsten
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION