US20080270863A1 - Methods of synchronous digital operation and scan based testing of an integrated circuit using negative edge flip-flops for muxscan and edge clock compatible lssd - Google Patents

Methods of synchronous digital operation and scan based testing of an integrated circuit using negative edge flip-flops for muxscan and edge clock compatible lssd Download PDF

Info

Publication number
US20080270863A1
US20080270863A1 US12/168,210 US16821008A US2008270863A1 US 20080270863 A1 US20080270863 A1 US 20080270863A1 US 16821008 A US16821008 A US 16821008A US 2008270863 A1 US2008270863 A1 US 2008270863A1
Authority
US
United States
Prior art keywords
input
latch
clock
output
master latch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/168,210
Inventor
David E. Lackey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/168,210 priority Critical patent/US20080270863A1/en
Publication of US20080270863A1 publication Critical patent/US20080270863A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details

Definitions

  • the present invention relates to latches that provide clock edge-triggered system behavior and improved methods of testing, particularly in LSSD testing.
  • a first aspect of the present invention is a flip-flop, comprising: a master latch having an input and a clock pin; a slave latch having an output, a first clock pin and a second clock pin, the slave latch connected to the to the master latch; a first AND gate having a first input, an inverted second input and an output, the output of the first AND gate connected to the first clock pin of the master latch; a second AND gate having a first input, an inverted second input and an output, the output of the second AND gate connected to the second input of the first AND gate and to the first clock pin of the slave latch.
  • a second aspect of the present invention is a method of synchronous digital operation and scan based testing of an integrated circuit, comprising: providing a flip-flop comprising: a master latch having an input and a clock pin; a slave latch having an output, a first clock pin and a second clock pin, the slave latch connected to the master latch; and capturing data presented at the input of the master latch and transferring data stored in the master latch to the slave latch in response to a negative edge of a first clock signal on the clock pin of the master latch; launching data stored in the slave latch to the output of the slave latch in response to the negative edge of the first clock signal; and capturing data presented at the input of the master latch in response to a positive edge of a second clock signal on the clock pin of the master latch.
  • FIG. 1 is a schematic of an exemplary LSSD scan chain utilizing flip-flops according to embodiments of the present invention
  • FIG. 2 is a schematic diagram of first flip-flop according to a first embodiment of the present invention
  • FIG. 3 is a schematic diagram of a second flip-flop according to a second embodiment of the present invention.
  • FIG. 4A is an equivalent circuit and FIG. 4B is a timing diagram of the first flip-flop of FIG. 2 under normal operating conditions;
  • FIG. 5A is an equivalent circuit under test conditions
  • FIG. 5B is a timing diagram during scan chain loading
  • FIG. 5C is a timing diagram during test of the first flip-flop of FIG. 2 ;
  • FIG. 6A is an equivalent circuit and FIG. 6B is a timing diagram of the second flip-flop of FIG. 3 under normal operating conditions;
  • FIG. 7A is an equivalent circuit under test conditions
  • FIG. 7B is a timing diagram during scan chain loading
  • FIG. 7C is a timing diagram during test of the second flip-flop of FIG. 3 ;
  • FIG. 8A is an equivalent circuit under at speed test conditions and FIG. 8B is a timing diagram during at speed test of the first flip-flop of FIG. 2 or the second flip-flop of FIG. 3 .
  • a negative edge of a signal is defined as the falling edge of the signal (e.g. the transition from a logical one to a logical zero).
  • a logical zero on a signal is equivalent to a “low” on the signal and a logical one is equivalent to a “high” on the signal.
  • a clock period is the time duration of adjacent high and low assertions. For the purposes of the present invention, a clock signal is asserted when it is in the high state.
  • FIG. 1 is a schematic of an exemplary LSSD scan chain utilizing latches according to embodiments of the present invention.
  • an exemplary scan chain 100 includes a set of flip-flops 105 connected in series.
  • Each flip-flop 105 includes at least one clock input pin (C), a scan input pin (I) a data input pin (D) and an output pin (Q).
  • the output of each flip-flop is connected to the scan input of the next flip-flop 105 in the series except the output of the last flip-flop 105 is connected to a scan out pin.
  • the scan input of the first flip-flop 105 in the series is connected to a scan-in pin.
  • Logic circuits 110 that perform the normal functions of the integrated circuit chip are connected between the output and input of two different flip-flops 105 .
  • flip-flops 105 are set to transmit signals between their data inputs to their data outputs.
  • a vector of test data (typically a series of logical ones (1) and logical zeros (0)) is serially loaded into flip-flops 105 of through the scan in pin, the data passed from the data output of one flip-flop 105 to the data input of another flip-flop 105 through logic circuits 110 , and then resultant vector is serially unloaded from flip-flops 105 san chain through the scan out pin.
  • LSSD scan chains may include any number of flip-flops 105 and scan chains having several thousand flip-flops 105 is not unusual. Likewise, more than two flip-flops 105 may be connected to the same logic circuit 110 . While all flip-flops 105 may be identical, generally all logic circuit 110 are not identical.
  • FIG. 2 is a schematic diagram of flip-flop according to a first embodiment of the present invention.
  • a single-port mux-driven negative edge triggered gate flip-flop (MNG) 105 A comprises a master/slave latch having a master (L 1 ) section and a slave (L 2 ) section, a multiplexer (MUX), a first AND gate A 1 and a second AND gate A 2 .
  • the MUX has a scan input pin (I) and a data input pin (D) and is responsive to a scan enable signal (SE). The output of the MUX is connected to the single data input pin of L 1 .
  • first AND gate A 1 is connected to a single clock pin of L 1 and the output of second AND gate A 2 is connected to a first clock pin of L 2 .
  • a first clock signal (B CLK) is connected to a second clock pin of L 2 .
  • a second clock signal (C 1 CLK) is connected to a first input of first AND gate A 1 .
  • the output of second AND gate A 2 is also connected to a second and inverted input of first AND gate A 1 .
  • a third clock signal (C 2 CLK) is connected to a first input of second AND gate A 2 and a fourth clock signal (E CLK) is connected to a second and inverted input of second AND gate A 2 .
  • the test signals are SE, C 1 CLK, C 2 CLK, B CLK and I.
  • the system (normal operation) signals are D, Q and E CLK.
  • C 1 CLK clocks scan data I (or system data D) into L 1
  • C 2 CLK clocks L 2 from L 1 and B CLK shifts data in L 1 of a previous latch into L 2 of the next sequential L 1 for scan shifting (loading the scan test vector into the scan chains).
  • FIG. 3 is a schematic diagram of flip-flop according to a second embodiment of the present invention.
  • a dual-port negative edge triggered gate flip-flop (LNG) 105 A comprises a master/slave latch having a master (L 1 ) section and a slave (L 2 ) section, a first AND gate A 1 and a second AND gate A 2 .
  • L 1 has a scan input pin (I) and a data input pin (D).
  • the output of first AND gate A 1 is connected to a first clock input pin of L 1 and the output of second AND gate A 2 is connected to a first clock pin of L 2 .
  • a first clock signal (B CLK) is connected to a second clock pin of L 2 .
  • a second clock signal (C 1 CLK) is connected to a first input of first AND gate A 1 .
  • the output of second AND gate A 2 is also connected to a second and inverted input of first AND gate A 1 .
  • a third clock signal (C 2 CLK) is connected to a first input of second AND gate A 2 and a fourth clock signal (E CLK) is connected to a second and inverted input of second AND gate A 2 .
  • a fifth clock signal (A CLK) is connected to a second clock pin of L 1 .
  • the test signals are C 1 CLK, C 2 CLK, B CLK, A CLK and I.
  • the system signals are D, Q and E CLK.
  • a CLK clocks scan data I into L 1
  • C 1 CLK clocks system data D into L 1 .
  • FIG. 4A is an equivalent circuit and FIG. 4B is a timing diagram of the first flip-flop of FIG. 2 under normal operating conditions.
  • MNG 105 A of FIG. 2 reduces an equivalent circuit MNG 105 A 1 comprising L 1 having a D input pin and a clock pin connected to E CLK and L 2 having a Q output and a clock pin connected to E CLK.
  • Data D 1 , D 2 , D 3 . . . is transferred from input pin D to output pin Q on the negative edge of E CLK.
  • FIG. 5A is an equivalent circuit under test conditions
  • FIG. 5B is a timing diagram during scan chain loading
  • FIG. 5C is a timing diagram during test of the first flip-flop of FIG. 2
  • MNG 105 A of FIG. 2 reduces to an equivalent circuit MNG 105 A 2 comprising the first AND gate A 1 , L 1 , L 2 and MUX.
  • the output of first AND gate A 1 is connected to the clock pin of L 1
  • C 2 CLK is connected the second and inverted input of first AND gate A 1 and to the first clock input of L 2
  • C 1 CLK is connected to the first input of first AND gate A 1
  • B CLK is connected to the second clock input of L 2
  • the MUX is connected to the data input of L 1 and has a scan input pin (I) and data input pin (D) and is responsive to scan enable signal (SE).
  • FIG. 6A is an equivalent circuit and FIG. 6B is a timing diagram of the second flip-flop of FIG. 3 under normal operating conditions.
  • LNG 105 A of FIG. 2 reduces to an equivalent circuit LNG 105 B 1 comprising L 1 having a D input pin and a clock pin connected to E CLK and L 2 having a Q output and an inverted clock pin connected to E CLK.
  • Data D 1 , D 2 , D 3 . . . is transferred from input pin D to output pin Q on the negative edge of E CLK.
  • FIG. 7A is an equivalent circuit under test conditions
  • FIG. 7C is a timing diagram during scan chain loading
  • FIG. 7C is a timing diagram during test of the second flip-flop of FIG. 3 .
  • CLK E “don't care.”
  • LNG 105 B of FIG. 3 reduces to an equivalent circuit LNG 105 B 2 comprising the first AND gate A 1 , L 1 , and L 2 .
  • the output of first AND gate A 1 is connected to the first clock pin of L 1
  • C 2 CLK is connected the second and inverted input of first AND gate A 1 and to the first clock input of L 2
  • C 1 CLK is connected to the first input of first AND gate A 1 .
  • a CLK is connected to the second clock input of L 1 and B CLK is connected to the second clock input of L 2 .
  • FIG. 8A is an equivalent circuit under at speed test conditions and FIG. 8B is a timing diagram during at speed test of the first flip-flop of FIG. 2 or the second flip-flop of FIG. 3 .
  • MNG/LNG 105 A 3 / 105 B 3 comprising the an AND gate A 3 , an inverter I 1 , L 1 , and L 2 .
  • the output of AND gate A 3 is connected to the first clock pin of L 1
  • E CLK is connected the second input of AND gate A 3 and to the first clock input of L 2
  • C 1 CLK is connected to the first input of AND gate A 3 .
  • E CLK is also connected to the inverted first clock input pin of L 2 .
  • E CLK is ANDed with C 1 CLK to produce the signal at L 1 CLK INPUT.
  • the test clock C I CLK has a frequency of half that of system CLK C 1 .
  • L 1 will latch data when E CLK is a logical one and L 2 will latch data when E CLK is a logical zero.
  • L 1 will latch data when L 1 CLK INPUT is a logical one and L 2 will latch data when E CLK is a logical zero. Therefore C 1 can be used to selectively cycle E CLK while L 1 CLK is or is not pulsed high in concert with E CLK.
  • the embodiments of the present invention provide a scan-based testing methodology that overcomes the need for external circuitry and reduces the burden on the designer as well as a methodology for at-speed testing.

Abstract

A method of synchronous digital operation and scan based testing of an integrated circuit using a flip-flop. The method including: providing a flip-flop comprising: a master latch having an input and a clock pin; and a slave latch having an output, a first clock pin and a second clock pin; capturing data presented at said input of said master latch and transferring data stored in said master latch to said slave latch in response to a negative edge of a first clock signal on said clock pin of said master latch; launching data stored in said slave latch to said output of said slave latch in response to said negative edge of said first clock signal; and capturing data presented at said input of said master latch in response to a positive edge of a second clock signal on said clock pin of said master latch.

Description

  • This Application is a continuation of U.S. patent application Ser. No. 11/276,768 filed on Mar. 14, 2006.
  • FIELD OF THE INVENTION
  • The present invention relates to latches that provide clock edge-triggered system behavior and improved methods of testing, particularly in LSSD testing.
  • BACKGROUND OF THE INVENTION
  • Traditional positive and negative edge triggered scan design requires precise control of the time scan and actual data is presented to and transferred from the latches of scan chains. These requirements create a burden in the chip design cycle, in that the chip designer must ensure that all signals in the scan chain path and data path arrive at the latch after the clock edge arrives. This is generally accomplished using external circuitry. Thus the present methodologies are time-consuming to implement and utilize relatively complicated circuitry. Therefore, there is a need for a methodology that overcomes the need for external circuitry and reduces the burden on the designer.
  • SUMMARY OF THE INVENTION
  • A first aspect of the present invention is a flip-flop, comprising: a master latch having an input and a clock pin; a slave latch having an output, a first clock pin and a second clock pin, the slave latch connected to the to the master latch; a first AND gate having a first input, an inverted second input and an output, the output of the first AND gate connected to the first clock pin of the master latch; a second AND gate having a first input, an inverted second input and an output, the output of the second AND gate connected to the second input of the first AND gate and to the first clock pin of the slave latch.
  • A second aspect of the present invention is a method of synchronous digital operation and scan based testing of an integrated circuit, comprising: providing a flip-flop comprising: a master latch having an input and a clock pin; a slave latch having an output, a first clock pin and a second clock pin, the slave latch connected to the master latch; and capturing data presented at the input of the master latch and transferring data stored in the master latch to the slave latch in response to a negative edge of a first clock signal on the clock pin of the master latch; launching data stored in the slave latch to the output of the slave latch in response to the negative edge of the first clock signal; and capturing data presented at the input of the master latch in response to a positive edge of a second clock signal on the clock pin of the master latch.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:
  • FIG. 1 is a schematic of an exemplary LSSD scan chain utilizing flip-flops according to embodiments of the present invention;
  • FIG. 2 is a schematic diagram of first flip-flop according to a first embodiment of the present invention;
  • FIG. 3 is a schematic diagram of a second flip-flop according to a second embodiment of the present invention;
  • FIG. 4A is an equivalent circuit and FIG. 4B is a timing diagram of the first flip-flop of FIG. 2 under normal operating conditions;
  • FIG. 5A is an equivalent circuit under test conditions, FIG. 5B is a timing diagram during scan chain loading and FIG. 5C is a timing diagram during test of the first flip-flop of FIG. 2;
  • FIG. 6A is an equivalent circuit and FIG. 6B is a timing diagram of the second flip-flop of FIG. 3 under normal operating conditions;
  • FIG. 7A is an equivalent circuit under test conditions, FIG. 7B is a timing diagram during scan chain loading and FIG. 7C is a timing diagram during test of the second flip-flop of FIG. 3; and
  • FIG. 8A is an equivalent circuit under at speed test conditions and FIG. 8B is a timing diagram during at speed test of the first flip-flop of FIG. 2 or the second flip-flop of FIG. 3.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In LSSD testing, an integrated circuit chip having logic circuits is fabricated with scan chains that allow testing of the integrated circuit chip logic circuits. A negative edge of a signal is defined as the falling edge of the signal (e.g. the transition from a logical one to a logical zero). A logical zero on a signal is equivalent to a “low” on the signal and a logical one is equivalent to a “high” on the signal. A clock period is the time duration of adjacent high and low assertions. For the purposes of the present invention, a clock signal is asserted when it is in the high state.
  • FIG. 1 is a schematic of an exemplary LSSD scan chain utilizing latches according to embodiments of the present invention. In FIG. 1 an exemplary scan chain 100 includes a set of flip-flops 105 connected in series. Each flip-flop 105 includes at least one clock input pin (C), a scan input pin (I) a data input pin (D) and an output pin (Q). The output of each flip-flop is connected to the scan input of the next flip-flop 105 in the series except the output of the last flip-flop 105 is connected to a scan out pin. The scan input of the first flip-flop 105 in the series is connected to a scan-in pin. Logic circuits 110 that perform the normal functions of the integrated circuit chip are connected between the output and input of two different flip-flops 105.
  • In normal operating mode, flip-flops 105 are set to transmit signals between their data inputs to their data outputs. In test mode, a vector of test data (typically a series of logical ones (1) and logical zeros (0)) is serially loaded into flip-flops 105 of through the scan in pin, the data passed from the data output of one flip-flop 105 to the data input of another flip-flop 105 through logic circuits 110, and then resultant vector is serially unloaded from flip-flops 105 san chain through the scan out pin.
  • While six flip-flops 105 are illustrated in FIG. 1, it should be understood, that LSSD scan chains may include any number of flip-flops 105 and scan chains having several thousand flip-flops 105 is not unusual. Likewise, more than two flip-flops 105 may be connected to the same logic circuit 110. While all flip-flops 105 may be identical, generally all logic circuit 110 are not identical.
  • FIG. 2 is a schematic diagram of flip-flop according to a first embodiment of the present invention. In FIG. 2, a single-port mux-driven negative edge triggered gate flip-flop (MNG) 105A comprises a master/slave latch having a master (L1) section and a slave (L2) section, a multiplexer (MUX), a first AND gate A1 and a second AND gate A2. The MUX has a scan input pin (I) and a data input pin (D) and is responsive to a scan enable signal (SE). The output of the MUX is connected to the single data input pin of L1. The output of first AND gate A1 is connected to a single clock pin of L1 and the output of second AND gate A2 is connected to a first clock pin of L2. A first clock signal (B CLK) is connected to a second clock pin of L2. A second clock signal (C1 CLK) is connected to a first input of first AND gate A1. The output of second AND gate A2 is also connected to a second and inverted input of first AND gate A1. A third clock signal (C2 CLK) is connected to a first input of second AND gate A2 and a fourth clock signal (E CLK) is connected to a second and inverted input of second AND gate A2.
  • The test signals are SE, C1 CLK, C2 CLK, B CLK and I. The system (normal operation) signals are D, Q and E CLK. C1 CLK clocks scan data I (or system data D) into L1, C2 CLK clocks L2 from L1 and B CLK shifts data in L1 of a previous latch into L2 of the next sequential L1 for scan shifting (loading the scan test vector into the scan chains).
  • FIG. 3 is a schematic diagram of flip-flop according to a second embodiment of the present invention. In FIG. 2, a dual-port negative edge triggered gate flip-flop (LNG) 105A comprises a master/slave latch having a master (L1) section and a slave (L2) section, a first AND gate A1 and a second AND gate A2. L1 has a scan input pin (I) and a data input pin (D). The output of first AND gate A1 is connected to a first clock input pin of L1 and the output of second AND gate A2 is connected to a first clock pin of L2. A first clock signal (B CLK) is connected to a second clock pin of L2. A second clock signal (C1 CLK) is connected to a first input of first AND gate A1. The output of second AND gate A2 is also connected to a second and inverted input of first AND gate A1. A third clock signal (C2 CLK) is connected to a first input of second AND gate A2 and a fourth clock signal (E CLK) is connected to a second and inverted input of second AND gate A2. A fifth clock signal (A CLK) is connected to a second clock pin of L1. The test signals are C1 CLK, C2 CLK, B CLK, A CLK and I. The system signals are D, Q and E CLK. A CLK clocks scan data I into L1, C1 CLK clocks system data D into L1. FIG. 4A is an equivalent circuit and FIG. 4B is a timing diagram of the first flip-flop of FIG. 2 under normal operating conditions. For normal operation the test signals are held inactive, SE=0, C1 CLK=1, C2=CLK=1, B CLK=0 and I=“don't care.” “Don't care” can be a logical one or a logical zero. Under normal operating conditions MNG 105A of FIG. 2 reduces an equivalent circuit MNG 105A1 comprising L1 having a D input pin and a clock pin connected to E CLK and L2 having a Q output and a clock pin connected to E CLK. Data D1, D2, D3 . . . is transferred from input pin D to output pin Q on the negative edge of E CLK.
  • FIG. 5A is an equivalent circuit under test conditions, FIG. 5B is a timing diagram during scan chain loading and FIG. 5C is a timing diagram during test of the first flip-flop of FIG. 2. For test operations CLK E=“don't care.” Under test conditions MNG 105A of FIG. 2 reduces to an equivalent circuit MNG 105A2 comprising the first AND gate A1, L1, L2 and MUX. The output of first AND gate A1 is connected to the clock pin of L1, C2 CLK is connected the second and inverted input of first AND gate A1 and to the first clock input of L2, and C1 CLK is connected to the first input of first AND gate A1. B CLK is connected to the second clock input of L2. The MUX is connected to the data input of L1 and has a scan input pin (I) and data input pin (D) and is responsive to scan enable signal (SE).
  • In FIG. 5B, during scan chain loading (and unloading) SE=1, I1, I2 . . . is loaded into L1 when C1 CLK is asserted (C1 CLK=1) and transferred to L2 when B CLK is asserted (B CLK=1).
  • In FIG. 5C, during testing (launch/capture) SE=0, B CLK=0, test data D1, D2 . . . is launched into the logic circuits from L1 when C2 CLK is asserted (C2 CLK=1) and captured by L2 from the logic circuits when C1 CLK is asserted (C1 CLK=1).
  • FIG. 6A is an equivalent circuit and FIG. 6B is a timing diagram of the second flip-flop of FIG. 3 under normal operating conditions. For normal operation the test signals are held inactive C1 CLK=1, C2=CLK=1, B CLK=0, A CLK=0 and I=“don't care.” Under normal operating conditions LNG 105A of FIG. 2 reduces to an equivalent circuit LNG 105B1 comprising L1 having a D input pin and a clock pin connected to E CLK and L2 having a Q output and an inverted clock pin connected to E CLK. Data D1, D2, D3 . . . is transferred from input pin D to output pin Q on the negative edge of E CLK.
  • FIG. 7A is an equivalent circuit under test conditions, FIG. 7C is a timing diagram during scan chain loading and FIG. 7C is a timing diagram during test of the second flip-flop of FIG. 3. For test operations CLK E=“don't care.” Under test conditions LNG 105B of FIG. 3 reduces to an equivalent circuit LNG 105B2 comprising the first AND gate A1, L1, and L2. The output of first AND gate A1 is connected to the first clock pin of L1, C2 CLK is connected the second and inverted input of first AND gate A1 and to the first clock input of L2, and C1 CLK is connected to the first input of first AND gate A1. A CLK is connected to the second clock input of L1 and B CLK is connected to the second clock input of L2.
  • In FIG. 7B, during scan chain loading (and unloading) I1, I2 . . . is loaded into L1 when C1 CLK is asserted (C1 CLK=1) and transferred to L2 when B CLK is asserted (B CLK=1).
  • In FIG. 7C, during testing (launch/capture) SE=0, B CLK=0, test data D1, D2 . . . is launched into the logic circuits from L1 when C2 CLK is asserted (C2 CLK=1) and captured by L2 from the logic circuits when C1 CLK is asserted (C1 CLK=1).
  • FIG. 8A is an equivalent circuit under at speed test conditions and FIG. 8B is a timing diagram during at speed test of the first flip-flop of FIG. 2 or the second flip-flop of FIG. 3. At speed testing means test data is cycled through the logic circuits at normal operational speeds rather than at test speeds. Typically test clocks C1 CK, C2 CLK, B CLK and A CLK run at lower frequencies than system E CLK. At speed testing utilizes E CLK for shifting test data from L1 to L2 rather than the C1 CLK and the C2 CLK. For at speed test operations A CLK=0 and B CLK=0. Under test conditions MNG 105A of FIG. 2 and LNG 105B of FIG. 3 both reduce to an equivalent circuit MNG/LNG 105A3/105B3 comprising the an AND gate A3, an inverter I1, L1, and L2. The output of AND gate A3 is connected to the first clock pin of L1, E CLK is connected the second input of AND gate A3 and to the first clock input of L2, and C1 CLK is connected to the first input of AND gate A3. E CLK is also connected to the inverted first clock input pin of L2.
  • In FIG. 8B, E CLK is ANDed with C1 CLK to produce the signal at L1 CLK INPUT. In this example the test clock C I CLK has a frequency of half that of system CLK C1. In system mode L1 will latch data when E CLK is a logical one and L2 will latch data when E CLK is a logical zero. In test mode, L1 will latch data when L1 CLK INPUT is a logical one and L2 will latch data when E CLK is a logical zero. Therefore C1 can be used to selectively cycle E CLK while L1 CLK is or is not pulsed high in concert with E CLK. This allows at-speed testing whereby (1) a test pattern scanned into L1 latches of flip-flops 105 of scan chain 100 (see FIG. 1) while C1 is held low to block L1 CLK, (2) will be launched by L2 latches of flip-flops 105 into combinational logic in response to first negative pulse on E CLK, and (3) the test pattern results will be captured into the L1 latches in response to both the next positive pulse on E CLK and by bringing C2 high prior to this next positive pulse.
  • Thus the embodiments of the present invention provide a scan-based testing methodology that overcomes the need for external circuitry and reduces the burden on the designer as well as a methodology for at-speed testing.
  • The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore, it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention.

Claims (9)

1. A method of synchronous digital operation and scan based testing of an integrated circuit, comprising:
providing a flip-flop comprising:
a master latch having an input and a clock pin; and
a slave latch having an output, a first clock pin and a second clock pin, said slave latch connected to said master latch;
capturing data presented at said input of said master latch and transferring data stored in said master latch to said slave latch in response to a negative edge of a first clock signal on said clock pin of said master latch;
launching data stored in said slave latch to said output of said slave latch in response to said negative edge of said first clock signal; and
capturing data presented at said input of said master latch in response to a positive edge of a second clock signal on said clock pin of said master latch.
2. The method of claim 1, further including:
loading data stored in said master latch into said slave latch in response to a third clock signal on said second clock pin of said slave latch or a fourth clock signal on said first clock pin of slave latch.
3. The method of claim 2, said flip-flop further including:
a first AND gate having a first input, an inverted second input and an output, said output of said first AND gate connected to said first clock pin of said master latch, said second clock signal connected to said first input of said first AND gate; and
a second AND gate having a first input, an inverted second input and an output, said output of said second AND gate connected to said second input of said first AND gate and to said first clock pin of said slave latch, said forth clock signal connected to said first input of said second AND gate, said first clock signal is connected to said second input of said second AND gate; and
said third clock signal connected to said second clock pin of said slave latch;
4. The method of claim 1, further including disabling input to and output from said master latch in response to said second clock signal.
5. The method of claim 1, further including selectively blocking said first clock signal with said second clock signal.
6. The method of claim 1, wherein:
selectively switching said input of said master latch to either a scan-chain input or to an output of another flip-flop or to a logic circuit of an integrated circuit in response to a control signal.
7. The method of claim 6, wherein said selectively switching said input of said master latch flip-flop is performed with a multiplexer having a first input, a second input, a control input and an output, said output of said multiplexer connected to said input of said master latch, said first input of said multiplexer connected to either said scan-chain input or to said output of said another flip-flop and said second input of said multiplexer connected to said logic circuit of said integrated circuit.
8. The method of claim 1, said master latch further including an additional input and an additional clock input.
9. The method of claim 8, wherein:
said input of said master latch is connected to either a scan-chain input or to an output of another flip-flop;
said additional input of said master latch is connected to a logic circuit of said integrated circuit, said flip-flop and said integrated circuit on a same integrated circuit chip; and
capturing data presented on said additional input of said master latch in response to a fifth clock signal on said additional pin of said master latch.
US12/168,210 2006-03-14 2008-07-07 Methods of synchronous digital operation and scan based testing of an integrated circuit using negative edge flip-flops for muxscan and edge clock compatible lssd Abandoned US20080270863A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/168,210 US20080270863A1 (en) 2006-03-14 2008-07-07 Methods of synchronous digital operation and scan based testing of an integrated circuit using negative edge flip-flops for muxscan and edge clock compatible lssd

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/276,768 US7484149B2 (en) 2006-03-14 2006-03-14 Negative edge flip-flops for muxscan and edge clock compatible LSSD
US12/168,210 US20080270863A1 (en) 2006-03-14 2008-07-07 Methods of synchronous digital operation and scan based testing of an integrated circuit using negative edge flip-flops for muxscan and edge clock compatible lssd

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/276,768 Continuation US7484149B2 (en) 2006-03-14 2006-03-14 Negative edge flip-flops for muxscan and edge clock compatible LSSD

Publications (1)

Publication Number Publication Date
US20080270863A1 true US20080270863A1 (en) 2008-10-30

Family

ID=38519416

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/276,768 Expired - Fee Related US7484149B2 (en) 2006-03-14 2006-03-14 Negative edge flip-flops for muxscan and edge clock compatible LSSD
US12/167,470 Abandoned US20080270861A1 (en) 2006-03-14 2008-07-03 Negative edge flip-flops for muxscan and edge clock compatible lssd
US12/168,210 Abandoned US20080270863A1 (en) 2006-03-14 2008-07-07 Methods of synchronous digital operation and scan based testing of an integrated circuit using negative edge flip-flops for muxscan and edge clock compatible lssd

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/276,768 Expired - Fee Related US7484149B2 (en) 2006-03-14 2006-03-14 Negative edge flip-flops for muxscan and edge clock compatible LSSD
US12/167,470 Abandoned US20080270861A1 (en) 2006-03-14 2008-07-03 Negative edge flip-flops for muxscan and edge clock compatible lssd

Country Status (1)

Country Link
US (3) US7484149B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7895491B2 (en) * 2006-05-04 2011-02-22 Broadcom Corp. Integrated circuit with low-power built-in self-test logic
US7843218B1 (en) * 2009-10-28 2010-11-30 Freescale Semiconductor, Inc. Data latch with structural hold
US8555121B2 (en) * 2010-02-16 2013-10-08 Apple Inc. Pulse dynamic logic gates with LSSD scan functionality
US8484523B2 (en) * 2010-03-23 2013-07-09 Freescale Semiconductor, Inc. Sequential digital circuitry with test scan
FR2961043B1 (en) * 2010-06-04 2012-07-20 St Microelectronics Sa DOUBLE FRONT REGISTER AND CONTROL THROUGH A CLOCK
US8564351B2 (en) * 2011-08-01 2013-10-22 Texas Instruments Incorporated Clock phase compensation for adjusted voltage circuits
CN104038184B (en) * 2013-07-03 2016-10-05 浙江工商大学 A kind of QETFF circuit unit based on CMOS technology
US9941867B1 (en) * 2016-09-20 2018-04-10 Altera Corporation Circuit and method for universal pulse latch

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6300809B1 (en) * 2000-07-14 2001-10-09 International Business Machines Corporation Double-edge-triggered flip-flop providing two data transitions per clock cycle
US6445236B1 (en) * 2001-08-16 2002-09-03 International Business Machines Corporation Master-slave flip-flop circuit with embedded hold function and method for holding data in a master-slave flip-flop circuit
US6567943B1 (en) * 2000-04-07 2003-05-20 International Business Machines Corporation D flip-flop structure with flush path for high-speed boundary scan applications
US20030218488A1 (en) * 2002-05-24 2003-11-27 Ishwardutt Parulkar Scan capable dual edge-triggered state element for application of combinational and sequential scan test patterns
US6693460B2 (en) * 2001-09-05 2004-02-17 Nec Electronics Corporation Scan flip-flop and semiconductor integrated circuit device
US6785855B2 (en) * 2001-11-13 2004-08-31 Sun Microsystems, Inc. Implementation of an assertion check in ATPG models
US20050050418A1 (en) * 2003-08-28 2005-03-03 International Business Machines Corporation Method and apparatus for generating signal transitions used for testing an electronic device
US7038494B2 (en) * 2002-10-17 2006-05-02 Stmicroelectronics Limited Scan chain element and associated method
US20070022339A1 (en) * 2005-07-01 2007-01-25 Branch Charles M Digital design component with scan clock generation
US7353441B2 (en) * 2004-04-07 2008-04-01 Kabushiki Kaisha Toshiba Flip flop circuit and apparatus using a flip flop circuit

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2953435B2 (en) * 1997-06-09 1999-09-27 日本電気株式会社 Delay test method and flip-flop used in the delay test method
JPH11352191A (en) 1998-06-11 1999-12-24 Mitsubishi Electric Corp Scan flip-flop circuit, scan test circuit and semiconductor integrated circuit
AU1913500A (en) * 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP3328229B2 (en) 1999-06-29 2002-09-24 エヌイーシーマイクロシステム株式会社 Clock tree circuit
JP2003057307A (en) 2001-08-16 2003-02-26 Sony Corp Scanning flip-flop circuit, and method of designing scan
US7200784B2 (en) * 2003-01-24 2007-04-03 On-Chip Technologies, Inc. Accelerated scan circuitry and method for reducing scan test data volume and execution time
US7243279B2 (en) 2003-08-26 2007-07-10 International Business Machines Corporation Method for separating shift and scan paths on scan-only, single port LSSD latches

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6567943B1 (en) * 2000-04-07 2003-05-20 International Business Machines Corporation D flip-flop structure with flush path for high-speed boundary scan applications
US6300809B1 (en) * 2000-07-14 2001-10-09 International Business Machines Corporation Double-edge-triggered flip-flop providing two data transitions per clock cycle
US6445236B1 (en) * 2001-08-16 2002-09-03 International Business Machines Corporation Master-slave flip-flop circuit with embedded hold function and method for holding data in a master-slave flip-flop circuit
US6693460B2 (en) * 2001-09-05 2004-02-17 Nec Electronics Corporation Scan flip-flop and semiconductor integrated circuit device
US6785855B2 (en) * 2001-11-13 2004-08-31 Sun Microsystems, Inc. Implementation of an assertion check in ATPG models
US20030218488A1 (en) * 2002-05-24 2003-11-27 Ishwardutt Parulkar Scan capable dual edge-triggered state element for application of combinational and sequential scan test patterns
US7038494B2 (en) * 2002-10-17 2006-05-02 Stmicroelectronics Limited Scan chain element and associated method
US20050050418A1 (en) * 2003-08-28 2005-03-03 International Business Machines Corporation Method and apparatus for generating signal transitions used for testing an electronic device
US7353441B2 (en) * 2004-04-07 2008-04-01 Kabushiki Kaisha Toshiba Flip flop circuit and apparatus using a flip flop circuit
US20070022339A1 (en) * 2005-07-01 2007-01-25 Branch Charles M Digital design component with scan clock generation

Also Published As

Publication number Publication date
US20080270861A1 (en) 2008-10-30
US7484149B2 (en) 2009-01-27
US20070220382A1 (en) 2007-09-20

Similar Documents

Publication Publication Date Title
US20080270863A1 (en) Methods of synchronous digital operation and scan based testing of an integrated circuit using negative edge flip-flops for muxscan and edge clock compatible lssd
US9599672B2 (en) Integrated circuit with scan chain having dual-edge triggered scannable flip flops and method of operating thereof
US7543207B2 (en) Full scan solution for latched-based design
US6539491B1 (en) Method and apparatus for implementing IEEE 1149.1 compliant boundary scan
JP4091957B2 (en) Testable integrated circuit including multiple clock generators
US20110099442A1 (en) Enhanced control in scan tests of integrated circuits with partitioned scan chains
US6961886B2 (en) Diagnostic method for structural scan chain designs
US20050005217A1 (en) Test standard interfaces and architectures
US8055965B2 (en) Semiconductor integrated circuit and method of testing the same
US7721170B2 (en) Apparatus and method for selectively implementing launch off scan capability in at speed testing
US8689067B1 (en) Control of clock gate cells during scan testing
US11549983B2 (en) 3D tap and scan port architectures
EP1971871B1 (en) Reduced pin count scan chain implementation
US5530706A (en) Non-destructive sampling of internal states while operating at normal frequency
US8819508B2 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
JPH07202645A (en) Technology and method of asynchronous scan design
US20080086665A1 (en) Semiconductor integrated circuit and testing method
US9568551B1 (en) Scan wrapper circuit for integrated circuit
US6271700B1 (en) Semiconductor integrated circuit having scan path
US7237164B1 (en) Area optimized edge-triggered flip-flop for high-speed memory dominated design
US20030188243A1 (en) Method and apparatus for delay fault testing
US6380724B1 (en) Method and circuitry for an undisturbed scannable state element
US7689897B2 (en) Method and device for high speed testing of an integrated circuit
US6380785B2 (en) Method and apparatus for eliminating shoot-through events during master-slave flip-flop scan operations
US20170146600A1 (en) Scan Logic For Circuit Designs With Latches And Flip-Flops

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE