US20080272089A1 - Monitoring etching of a substrate in an etch chamber - Google Patents

Monitoring etching of a substrate in an etch chamber Download PDF

Info

Publication number
US20080272089A1
US20080272089A1 US12/217,529 US21752908A US2008272089A1 US 20080272089 A1 US20080272089 A1 US 20080272089A1 US 21752908 A US21752908 A US 21752908A US 2008272089 A1 US2008272089 A1 US 2008272089A1
Authority
US
United States
Prior art keywords
substrate
chamber
etch
radiation
wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/217,529
Inventor
Michael Grimbergen
Shaoher X. Pan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/217,529 priority Critical patent/US20080272089A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GRIMBERGEN, MICHAEL, PAN, SHAOHER X.
Publication of US20080272089A1 publication Critical patent/US20080272089A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Definitions

  • Embodiments of the present invention relates to monitoring processing of a substrate in a processing chamber.
  • RIE reactive ion etching
  • DRAM memory circuits are fabricated from semiconductor wafers using deep trench technology.
  • a single DRAM memory cell consists of a capacitive storage cell and a switching element (i.e., a MOSFET transistor).
  • Information in the form of electrical charge
  • Information is passed on to other circuitry when the switching element is activated.
  • very deep (on the order of 3-20 mm) channels or trenches must be formed in a semiconducting substrate in order to create the capacitive storage cells. Otherwise, the information is not sustained (i.e., the electrical charge “leaks out” of the storage cell).
  • Such trench etch circuits are formed by etching away different layers of insulating material deposited upon the substrate and the substrate itself in various steps. For example, first a photoresist mask is placed over an insulating layer or film (silicon dioxide or other similar material). The mask contains a desired circuit pattern to be etched into the insulating layer. It is important that etching of the insulating layer stop at the point where the substrate (silicon or other similar composition) is first revealed at the bottom of the trench. In a next step, the remaining portion of the photoresist mask is removed via an ashing operation so as to not remove any of the remaining insulating film or improperly etch the substrate.
  • insulating layer or film silicon dioxide or other similar material
  • a more involved chemical process etches a trench into the substrate material while continuously redepositing the insulating layer material so as to not attack the original insulating layer defining the circuit pattern. It can easily be seen that if the etch process during any one step exceeds the predetermined endpoint, the substrate, insulating layer and/or resultant circuit pattern may be damaged. As such, these systems rely upon some type of in situ measurement to determine the progressive depth of the etch process. In situ measurement provides greater control of the etch process and improves uniformity over a batch of processed wafers.
  • the phase shift between a first beam reflected off the mask pattern and the beam reflected off an etched portion of the wafer is measured and compared to a predetermined phase shift that corresponds to the desired etch depth.
  • a predetermined phase shift that corresponds to the desired etch depth.
  • the minimum etch depth is limited by the wavelength of the light source used in the monitor.
  • Another technique for measuring etch depth is ellipsometry, which measures the change in polarization of light upon reflection of the light from a surface.
  • the error in etch depth detection in systems that use randomly polarized laser beams instead of linearly polarized beams is too great to be useful.
  • In situ etch depth monitoring is of particular interest in systems where plasma excitation coils are used.
  • a system is the Decoupled Plasma Source (DPS) system manufactured by Applied Materials, Inc. of Santa Clara, Calif.
  • DPS Decoupled Plasma Source
  • RF power applied to a coil configuration atop a process chamber assists in creating the plasma that performs the etch process.
  • the RF power may inductively couple into the neighboring monitoring equipment thereby corrupting the monitoring signals.
  • in situ monitoring of etch depth in a high power RF environment is inadequate and prone to severe inaccuracy.
  • a method of etching a substrate in a chamber having a wall and detecting an endpoint of the etching process comprises the steps of: providing a substrate in the chamber, etching a channel or trench in the substrate by coupling energy through the wall of the chamber to energize an etch gas in the chamber, detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the wall and evaluating the detected radiation to monitor the depth of etching of the channel or trench being etched on the substrate.
  • An etching apparatus for etching a substrate comprising an etch chamber, substrate support pedestal upon which a substrate can be retained, energy source to couple energy to an etch gas in the chamber to form a plasma to etch a channel or trench in the substrate and process monitoring assembly to monitor a depth of the channel or trench being etched in the etch chamber.
  • the process monitoring assembly comprises a signal sensor capable of detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the window in the wall.
  • FIG. 1 depicts a schematic representation of a high power RF etch chamber
  • FIG. 2 depicts a partially sectional, perspective view of the upper portion the etch chamber
  • FIG. 3 depicts a schematic representation of a high power RF etch chamber containing a second embodiment of an apparatus
  • FIG. 4 depicts a partially sectional, perspective view of the upper portion of the etch chamber containing a second embodiment of the apparatus
  • FIG. 5 depicts a schematic representation of an etch chamber containing a third embodiment of the apparatus.
  • FIG. 6 depicts a schematic representation of an etch chamber containing a fourth embodiment of the apparatus.
  • An apparatus for performing direct, in situ monitoring of processes such as etch depth of and thin film deposition upon a semiconductor wafer within a semiconductor wafer processing system.
  • identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • the apparatus provides for measurement of a variety of emissia or reflected light dependent upon chamber conditions and monitoring apparatus preferences and parameters. Specifically, the apparatus is used for monitoring the depth of various types of etch processes from within a dome temperature control enclosure of a Metal Etch Decoupled Plasma Source (DPS) chamber manufactured by Applied Materials of Santa Clara, Calif.
  • DPS Metal Etch Decoupled Plasma Source
  • a dome temperature control enclosure and apparatus of the Metal Etch DPS chamber is disclosed in U.S. patent application Ser. No. 08/767,071, filed Dec. 16, 1996, and is herein incorporated by reference.
  • the processes that can be monitored include but are not limited to gate etch, recess etch, deep trench and shallow trench isolation for the production of DRAM memory and logic circuits.
  • FIG. 1 depicts a schematic representation of the apparatus in its operating environment, e.g., measuring etch depth as a semiconductor wafer is etched.
  • a process chamber 100 is defined by sidewalls 102 , a bottom 104 and a dome 106 .
  • the chamber 100 houses a substrate support pedestal 108 upon which a substrate (i.e., a semiconductor wafer) 110 is retained.
  • An etch process is performed on the wafer 110 to create a desired integrated circuit pattern or the like.
  • temperature control of the dome 106 is critical to proper etching of the wafer.
  • an additional enclosure 114 is defined by sidewalls 113 and cover 112 above the dome 106 . Within this enclosure 114 is a temperature control apparatus 116 .
  • a temperature control apparatus 116 maintains the temperature of the dome 106 within a preferred, optimum operating range.
  • the enclosure 114 also houses a device for monitoring the processing, e.g., depth of the etch process that occurs at the wafer surface.
  • a collimating assembly 126 is disposed above the dome 106 .
  • a signal source 118 and signal sensor 120 are connected to the collimating assembly 126 via a transmission cable 128 . While a fiber optic cable is a preferred device for connecting the signal source 118 and signal sensor 120 to the collimating assembly 126 , any suitable transmission cable may be used.
  • the combination of the collimating assembly 126 , the signal source 118 , signal sensor 120 and transmission cable 128 comprise a monitoring assembly 121 .
  • a second, bifurcated end of the fiber optic cable has two branches.
  • a first branch 127 of the bifurcated end of the fiber optic cable is attached to the signal source 118 and a second branch 129 is attached to the signal detector 120 . Both the signal source 118 and signal detector 120 are outside the enclosure 114 .
  • a first, single end of a fiber optic cable extends through an opening 130 in the sidewall 113 of the enclosure 114 and is attached to the collimating assembly 126 .
  • the transmission cable 128 and collimating assembly 126 may be provided with shielding elements 134 and 136 respectively to avoid RF power (explained in greater detail below) and excessive temperature from coupling into or effecting these devices.
  • the devices are manufactured from non-conductive materials such as high temperature plastics, ceramics and the like or are a combination of shielded and non-conductive components.
  • the top of the dome defines an apex 123 .
  • An opening 122 is bored into the dome 106 proximate the apex 123 .
  • a window 124 is placed in the opening 122 .
  • the window 124 is a slab of transparent material having a low refractive index so as to prevent excessive refraction of an optical beam. Materials such as quartz and sapphire can be used to create the window.
  • Fused silica is also a viable window material because it has a higher transmissibility of ultraviolet light than ordinary glass.
  • the window 124 and dome 106 are machined to high tolerances so as to create a flush mounting surface.
  • the opening 122 in the dome 106 has a flange thereby providing a supporting lip 138 upon which the window rests.
  • the window may be permanently adhered to the dome or removable therefrom. If the window is permanently adhered to the dome, an adhesive is used along the supporting lip 138 of the dome 106 to affix the window 124 and maintain chamber conditions. Alternately, the window 124 is fused or welded to the opening 122 . If the window is removable, both the window and the opening are specially prepared. Specifically, the supporting lip 138 and the window 124 are polished. The two polished surfaces are sealed with an O-ring (not shown) placed between the supporting lip 138 and the window 124 . As such, an air-tight seal is formed when a vacuum produced in the chamber 100 draws the window 124 down onto the supporting lip 138 . In one version, the window 124 is permanently affixed to the dome 106 . A permanent window is affixed to the opening during manufacture of the dome and is constructed of a material that is specific to the type of monitoring apparatus used in the enclosure. For example, a laser interferometer is used in combination with a window comprised of sapphire.
  • the signal source 118 is an optical source capable of emitting an optical beam of sufficient wavelength, frequency and amplitude to propagate through the chamber processing environment without excessive levels of signal degradation or interference.
  • a low pressure, mercury-based plasma lamp operating in the 185-700 nm range is used as the signal source.
  • cadmium, zinc or other plasma-based or laser-based lamps may be used for the signal source in place of the mercury-based plasma lamp.
  • An optical beam from the signal source 118 travels through the first branch 127 of the bifurcated end of the fiber optic cable 128 to the collimating assembly 126 , through the window 124 and onto the wafer 110 .
  • a relatively large (i.e., approximately 1 square inch diameter) area of the wafer encompassing at least one entire die pattern being etched is illuminated by the optical beam. As such, a larger area is available for etch depth monitoring which provides greater accuracy in determining the overall etch rate of the wafer.
  • the signal sensor 120 is an optical sensor capable of receiving reflected beams from the wafer 110 that have propagated through the chamber processing environment.
  • the signal sensor is a narrow band (approximately 2 nm) monochromator with a silicon photodiode or photomultiplier.
  • the signal sensor is a photomultiplier with a narrow band (approximately 2 nm) optical filter placed in front of the photomultiplier.
  • the optical filter's multiple layers of dielectric film function as a band pass filter. That is, desired wavelengths of reflected beams from the wafer pass through the optical filter while all over wavelengths are screened out. For example, light from the plasma within the chamber does not enter the photomultiplier.
  • a reflected beam from the wafer 110 propagates through the process chamber 100 , window 124 , collimating assembly 126 , into the fiber optic cable 128 and exiting at the second branch 129 of the bifurcated end of the fiber optic cable 128 and into the signal sensor 120 .
  • the signal sensor 120 processes the reflected signal into an etch rate signal that may be passed on to a computer (not shown) for additional processing, display device (not shown) to depict progress of wafer processing or the like.
  • the signal detector 120 may be a CCD camera to form part of an image relay system.
  • FIG. 6 depicts a simplified schematic representation of an alternate embodiment whereby the signal source 118 and signal detector 120 comprise a single monitoring unit 600 .
  • the signal source 118 and signal detector 120 are oriented at an angle of 90° from one another with a beamsplitter 602 and additional lens assembly 604 acting as a signal relay interface.
  • the monitoring unit 600 may then be connected to the collimating assembly 126 via a non-bifurcated fiber optic cable 606 or other similar transmission cable. This type of configuration is especially useful when using short wavelength light as the signal source.
  • FIG. 2 depicts a detailed partial sectional, perspective view of the enclosure 114 .
  • the enclosure 114 is bounded by a cylindrical sidewall 113 extending vertically from a circumferential edge of the dome 106 to the cover 112 .
  • a portion of the temperature control apparatus 116 extends from an inner wall 202 of the enclosure 114 towards the center terminating at an annular lip 204 .
  • Other portions of the temperature control device have been omitted from the figure for clarity.
  • a support bracket 132 is secured to the dome 106 and circumscribes the opening 122 .
  • the collimating assembly is attached to the support bracket 132 to support the collimating assembly 126 above the window 124 .
  • the support bracket is fabricated from a high temperature plastic such as Ultem® (a registered trademark of General Electric).
  • the dome 106 also defines a surface 212 that supports an RF antenna 210 .
  • a single length of a conductor i.e., a copper coil
  • the antenna coil covers approximately 2 ⁇ 3 of the support surface 212 .
  • the antenna 210 is coupled to a high power RF power source (not shown) for the purpose of ionizing a process gas into a plasma in the process chamber 100 .
  • the antenna 210 and the RF source form a decoupled plasma source.
  • the dome 106 is opaque quartz or a ceramic such as alumina.
  • Such materials are substantially transparent to infrared wavelengths that are produced by lamps within the temperature control unit. The heat produced by these emissions are used to heat the chamber environment.
  • the dome 106 is permeable to the magnetic fields from the antenna 210 which control and enhance plasma characteristics. Proximate the apex 123 of the dome 104 , the opening 122 is formed. As such, the beams from and to the collimating assembly 126 pass through the opening 122 and into and out of the process chamber 100 .
  • one apparatus embodiment has a permanently affixed window.
  • the window is removable from the dome.
  • a removable window adds flexibility to the apparatus in that different types of signal sources and sensors can be used in the same chamber.
  • a chamber using a low pressure, mercury-based plasma lamp and a sapphire window can be retooled to accept a laser interferometer and a quartz window.
  • the material chosen for the window is based upon the wavelength of the beams used in the monitoring assembly. Although mercury lamps, laser interferometers and X-rays are discussed, any type of optical beam equipment can be used.
  • any type of material besides sapphire and quartz can be used for the window to optimize transmission of the beams, refraction index and general operation of the device.
  • quartz is more etch resistant than sapphire, but sapphire has a lower cost and different transmission bandwidth than sapphire.
  • FIGS. 3 and 4 depict a further embodiment of the inventive apparatus.
  • FIG. 3 depicts a schematic representation of the etch chamber 100 with enclosure 114 and temperature control apparatus 116 similar to that depicted in FIG. 1 .
  • the signal source 118 and signal detector 120 are mounted inside the enclosure 114 .
  • the signal source 118 and signal detector 120 are provided with shielding 136 from RF sources and excessive temperatures and are disposed directly above the collimating assembly 126 .
  • the signal source 118 and signal detector 120 are mounted to the collimating assembly 126 .
  • the collimating assembly 126 can also be shielded and held secure to temperature control apparatus 116 via support bracket 132 .
  • This all-internal configuration is also shown in a partially sectional perspective view in FIG. 4 .
  • this configuration provides an elegant and highly simplified solution to in-situ measurement. Specifically, no external components are used in this embodiment thus eliminating the need for a fiber optic (or similar signal transmission) cable and for the additional hole ( 130 of FIGS. 1 and 2 ) in the enclosure.
  • FIG. 5 depicts an alternate embodiment of the monitoring assembly 500 whereby the signal source 118 and signal detector 120 are oriented at an angle of 90° from each other with a modified collimating assembly functioning as a relay interface between the signal source 118 and signal detector 120 .
  • the modified collimating assembly contains additional optical devices (i.e., folding mirrors and/or lens 502 ) to properly direct the signal source and reflected beams. From this discussion one skilled in the art can design such an internal monitoring assembly in a variety of configurations. In the spirit and scope of this specification the monitoring assembly is in no way limited to the described configurations.
  • the signal source, signal detector, collimating assembly and attendant hardware can be arranged in any configuration necessary to create a monitoring assembly that is totally internal to the enclosure or similar chamber top surface.
  • an optical measurement apparatus is created that is capable of in-situ monitoring of the etching process. Specifically, an optical beam from the source 118 propagates through the window 124 and chamber 100 to the wafer surface. An incident beam reflects from the wafer surface, propagates back through the window 124 , collimating assembly 126 , transmission cable 128 and is detected by the sensor 120 . Since the monitoring assembly 121 is shielded and/or positioned away from the antenna coils, interference or RF power coupling is minimized. All or part of the monitoring assembly may also be in close proximity to heat lamps which are part of the temperature control apparatus. Reducing thermal expansion of monitoring components is important so as to reduce the likelihood of misalignment of the apparatus.
  • the shielding element 130 can be fashioned as sleeve surrounding the transmission cable 128 fabricated from polyetheretherketone.
  • the shielding element 136 can be a metallic plate with a painted or otherwise applied dielectric coating.
  • the above described apparatus is not limited to use inside an enclosure above a wafer process chamber.
  • the window or opening in which the window is fitted need to be part of or affixed to a dome shaped top surface.
  • the top surface may be flat, concave or any configuration suitable for sealing the process chamber.
  • the window 124 and opening 122 need not be at the apex of the dome or similar top surface as they can be off center. Additionally, there need not be only one window and corresponding opening. There may be a plurality of openings in the top surface each covered by a separate window or all covered by a single plate disposed above the top surface.
  • the apparatus provides a process chamber having a dome circumscribed by an antenna, the dome having an opening, an enclosure disposed above the chamber, a process monitoring assembly disposed proximate said dome and a window covering the opening.
  • the process monitoring assembly consists of a signal source, a signal detector, a collimating assembly and a transmission cable having a first end and a second end whereby the first end is connected to the collimating assembly and the second end is connected to the signal source and the signal detector.
  • a portion of the apparatus supports the process monitoring assembly to establish a line-of-sight from the monitoring assembly, through the window to a substrate (i.e., a semiconductor wafer).
  • the window can be permanently affixed to the opening or removable.
  • the monitoring apparatus can be located totally within the enclosure or a portion of it can be outside of the enclosure.
  • a method of fabricating the exemplary apparatus comprises boring an opening proximate an apex in the dome, positioning the process monitoring assembly in proximity to the dome so as to allow a line-of-sight from the process monitoring assembly to a wafer, and covering the opening with a window.
  • the window is permanently affixed or removable dependent upon the type of process monitoring apparatus being used in the system.
  • process measurement and monitoring is conducted without encountering interference from high power energy sources proximate the chamber.
  • the monitoring assembly is positioned away from RF power sources that can arbitrarily couple power into the monitoring assembly.
  • the line-of-sight feature of the subject apparatus simplifies the overall design and allows retrofitting of chambers not previously using such in-situ monitoring devices.
  • the apparatus further provides versatility since the removable window allows interchanging different types of monitoring apparatus. Specifically, plasma-based lamps, laser interferometers, X-ray emitters and the like are optimized by selecting different types of window material (i.e., sapphire, quartz and the like) through which monitoring beams propagate.

Abstract

A substrate etching apparatus comprises a chamber having a wall with a window, substrate support pedestal, energy source, and monitoring assembly with signal sensor capable of detecting reflected radiation from the substrate from directly above the substrate after the radiation propagates through the window in the wall. An etching method comprises the steps of: providing a substrate in a chamber, etching a channel or trench in the substrate by coupling energy through the wall of the chamber to energize an etch gas in the chamber, detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the wall and evaluating the detected radiation to monitor the depth of etching of the channel or trench being etched on the substrate.

Description

    CROSS-REFERENCE
  • This application is a continuation of U.S. patent application Ser. No. 09/595,778, filed on Jun. 16, 2006, which is a divisional of U.S. patent application Ser. No. 08/944,240, filed on Oct. 6, 1997, which issued as U.S. Pat. No. 6,129,807 on Oct. 10, 2000, both of which are incorporated by reference herein in their entireties.
  • BACKGROUND
  • Embodiments of the present invention relates to monitoring processing of a substrate in a processing chamber.
  • Semiconductor processing systems that perform “dry” etching of semiconductor wafers via plasmic gases, also known as reactive ion etching (RIE) require constant monitoring. While it is possible to predefine the etch parameters and allow the systems to perform the etch process unmonitored, conditions within the systems can change over time. Minute changes in the composition or pressure of an etch gas or process chamber or wafer temperature creates undesirable etch results.
  • For example, DRAM memory circuits are fabricated from semiconductor wafers using deep trench technology. A single DRAM memory cell consists of a capacitive storage cell and a switching element (i.e., a MOSFET transistor). Information (in the form of electrical charge) stored in the cell is passed on to other circuitry when the switching element is activated. Essentially very deep (on the order of 3-20 mm) channels or trenches must be formed in a semiconducting substrate in order to create the capacitive storage cells. Otherwise, the information is not sustained (i.e., the electrical charge “leaks out” of the storage cell).
  • Such trench etch circuits are formed by etching away different layers of insulating material deposited upon the substrate and the substrate itself in various steps. For example, first a photoresist mask is placed over an insulating layer or film (silicon dioxide or other similar material). The mask contains a desired circuit pattern to be etched into the insulating layer. It is important that etching of the insulating layer stop at the point where the substrate (silicon or other similar composition) is first revealed at the bottom of the trench. In a next step, the remaining portion of the photoresist mask is removed via an ashing operation so as to not remove any of the remaining insulating film or improperly etch the substrate. In a next step, a more involved chemical process etches a trench into the substrate material while continuously redepositing the insulating layer material so as to not attack the original insulating layer defining the circuit pattern. It can easily be seen that if the etch process during any one step exceeds the predetermined endpoint, the substrate, insulating layer and/or resultant circuit pattern may be damaged. As such, these systems rely upon some type of in situ measurement to determine the progressive depth of the etch process. In situ measurement provides greater control of the etch process and improves uniformity over a batch of processed wafers.
  • There has been some success in the art of developing in situ etch depth measuring systems that utilize optical emission spectroscopy to monitor light emissions from the plasma as the etch process progresses. One such system is disclosed in U.S. Pat. No. 5,308,414 to O'Neill et al. Such a system monitors the optical emission intensity of the plasma in a narrow band as well as a wide band and generates signals indicative of the spectral intensity of the plasma. When the signals diverge, a termination signal is generated thereby terminating the etch process. Other techniques include the use of laser interferometry, beamsplitters and diffraction gratings to measure the phase shift of a laser beam reflected from two closely spaced surfaces. For example, the phase shift between a first beam reflected off the mask pattern and the beam reflected off an etched portion of the wafer is measured and compared to a predetermined phase shift that corresponds to the desired etch depth. Unfortunately such monitoring and measuring systems are plagued by inadequate signal to noise ratios. Additionally, the minimum etch depth is limited by the wavelength of the light source used in the monitor. Another technique for measuring etch depth is ellipsometry, which measures the change in polarization of light upon reflection of the light from a surface. Unfortunately, the error in etch depth detection in systems that use randomly polarized laser beams instead of linearly polarized beams is too great to be useful.
  • In situ etch depth monitoring is of particular interest in systems where plasma excitation coils are used. Such a system is the Decoupled Plasma Source (DPS) system manufactured by Applied Materials, Inc. of Santa Clara, Calif. For example, RF power applied to a coil configuration atop a process chamber assists in creating the plasma that performs the etch process. However, the RF power may inductively couple into the neighboring monitoring equipment thereby corrupting the monitoring signals. As such, in situ monitoring of etch depth in a high power RF environment is inadequate and prone to severe inaccuracy.
  • Therefore, a need exists in the art for an apparatus for performing direct, in situ measurement of etch depth in a high power RF environment as well as monitoring other processed performed by a semiconductor wafer processing system.
  • SUMMARY
  • A method of etching a substrate in a chamber having a wall and detecting an endpoint of the etching process comprises the steps of: providing a substrate in the chamber, etching a channel or trench in the substrate by coupling energy through the wall of the chamber to energize an etch gas in the chamber, detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the wall and evaluating the detected radiation to monitor the depth of etching of the channel or trench being etched on the substrate.
  • An etching apparatus for etching a substrate is provided, the apparatus comprising an etch chamber, substrate support pedestal upon which a substrate can be retained, energy source to couple energy to an etch gas in the chamber to form a plasma to etch a channel or trench in the substrate and process monitoring assembly to monitor a depth of the channel or trench being etched in the etch chamber. The process monitoring assembly comprises a signal sensor capable of detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the window in the wall.
  • DRAWINGS
  • These features, aspects and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings, which illustrate examples of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features, where:
  • FIG. 1 depicts a schematic representation of a high power RF etch chamber;
  • FIG. 2 depicts a partially sectional, perspective view of the upper portion the etch chamber;
  • FIG. 3 depicts a schematic representation of a high power RF etch chamber containing a second embodiment of an apparatus;
  • FIG. 4 depicts a partially sectional, perspective view of the upper portion of the etch chamber containing a second embodiment of the apparatus;
  • FIG. 5 depicts a schematic representation of an etch chamber containing a third embodiment of the apparatus; and
  • FIG. 6 depicts a schematic representation of an etch chamber containing a fourth embodiment of the apparatus.
  • DESCRIPTION
  • An apparatus is provided for performing direct, in situ monitoring of processes such as etch depth of and thin film deposition upon a semiconductor wafer within a semiconductor wafer processing system. To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
  • The apparatus provides for measurement of a variety of emissia or reflected light dependent upon chamber conditions and monitoring apparatus preferences and parameters. Specifically, the apparatus is used for monitoring the depth of various types of etch processes from within a dome temperature control enclosure of a Metal Etch Decoupled Plasma Source (DPS) chamber manufactured by Applied Materials of Santa Clara, Calif. A dome temperature control enclosure and apparatus of the Metal Etch DPS chamber is disclosed in U.S. patent application Ser. No. 08/767,071, filed Dec. 16, 1996, and is herein incorporated by reference. The processes that can be monitored include but are not limited to gate etch, recess etch, deep trench and shallow trench isolation for the production of DRAM memory and logic circuits.
  • FIG. 1 depicts a schematic representation of the apparatus in its operating environment, e.g., measuring etch depth as a semiconductor wafer is etched. Specifically, a process chamber 100 is defined by sidewalls 102, a bottom 104 and a dome 106. The chamber 100 houses a substrate support pedestal 108 upon which a substrate (i.e., a semiconductor wafer) 110 is retained. An etch process is performed on the wafer 110 to create a desired integrated circuit pattern or the like. During the etch process, temperature control of the dome 106 is critical to proper etching of the wafer. As such, an additional enclosure 114 is defined by sidewalls 113 and cover 112 above the dome 106. Within this enclosure 114 is a temperature control apparatus 116. A temperature control apparatus 116 maintains the temperature of the dome 106 within a preferred, optimum operating range.
  • Additionally, the enclosure 114 also houses a device for monitoring the processing, e.g., depth of the etch process that occurs at the wafer surface. Specifically, a collimating assembly 126 is disposed above the dome 106. A signal source 118 and signal sensor 120 are connected to the collimating assembly 126 via a transmission cable 128. While a fiber optic cable is a preferred device for connecting the signal source 118 and signal sensor 120 to the collimating assembly 126, any suitable transmission cable may be used. The combination of the collimating assembly 126, the signal source 118, signal sensor 120 and transmission cable 128 comprise a monitoring assembly 121.
  • In one version, a second, bifurcated end of the fiber optic cable has two branches. A first branch 127 of the bifurcated end of the fiber optic cable is attached to the signal source 118 and a second branch 129 is attached to the signal detector 120. Both the signal source 118 and signal detector 120 are outside the enclosure 114. A first, single end of a fiber optic cable extends through an opening 130 in the sidewall 113 of the enclosure 114 and is attached to the collimating assembly 126. The transmission cable 128 and collimating assembly 126 may be provided with shielding elements 134 and 136 respectively to avoid RF power (explained in greater detail below) and excessive temperature from coupling into or effecting these devices. Alternately, the devices are manufactured from non-conductive materials such as high temperature plastics, ceramics and the like or are a combination of shielded and non-conductive components.
  • The top of the dome defines an apex 123. An opening 122 is bored into the dome 106 proximate the apex 123. To maintain the integrity of the chamber conditions during wafer processing, a window 124 is placed in the opening 122. Preferably, the window 124 is a slab of transparent material having a low refractive index so as to prevent excessive refraction of an optical beam. Materials such as quartz and sapphire can be used to create the window. Fused silica is also a viable window material because it has a higher transmissibility of ultraviolet light than ordinary glass. Ideally, the window 124 and dome 106 are machined to high tolerances so as to create a flush mounting surface. Specifically, the opening 122 in the dome 106 has a flange thereby providing a supporting lip 138 upon which the window rests.
  • The window may be permanently adhered to the dome or removable therefrom. If the window is permanently adhered to the dome, an adhesive is used along the supporting lip 138 of the dome 106 to affix the window 124 and maintain chamber conditions. Alternately, the window 124 is fused or welded to the opening 122. If the window is removable, both the window and the opening are specially prepared. Specifically, the supporting lip 138 and the window 124 are polished. The two polished surfaces are sealed with an O-ring (not shown) placed between the supporting lip 138 and the window 124. As such, an air-tight seal is formed when a vacuum produced in the chamber 100 draws the window 124 down onto the supporting lip 138. In one version, the window 124 is permanently affixed to the dome 106. A permanent window is affixed to the opening during manufacture of the dome and is constructed of a material that is specific to the type of monitoring apparatus used in the enclosure. For example, a laser interferometer is used in combination with a window comprised of sapphire.
  • As described above, a wide angle, line-of-sight measurement can be taken as the wafer is being processed. In another embodiment, the signal source 118 is an optical source capable of emitting an optical beam of sufficient wavelength, frequency and amplitude to propagate through the chamber processing environment without excessive levels of signal degradation or interference. Preferably, a low pressure, mercury-based plasma lamp operating in the 185-700 nm range is used as the signal source. Alternately, cadmium, zinc or other plasma-based or laser-based lamps may be used for the signal source in place of the mercury-based plasma lamp. An optical beam from the signal source 118 travels through the first branch 127 of the bifurcated end of the fiber optic cable 128 to the collimating assembly 126, through the window 124 and onto the wafer 110. A relatively large (i.e., approximately 1 square inch diameter) area of the wafer encompassing at least one entire die pattern being etched is illuminated by the optical beam. As such, a larger area is available for etch depth monitoring which provides greater accuracy in determining the overall etch rate of the wafer.
  • The signal sensor 120 is an optical sensor capable of receiving reflected beams from the wafer 110 that have propagated through the chamber processing environment. Preferably, the signal sensor is a narrow band (approximately 2 nm) monochromator with a silicon photodiode or photomultiplier. In an alternate embodiment, the signal sensor is a photomultiplier with a narrow band (approximately 2 nm) optical filter placed in front of the photomultiplier. The optical filter's multiple layers of dielectric film function as a band pass filter. That is, desired wavelengths of reflected beams from the wafer pass through the optical filter while all over wavelengths are screened out. For example, light from the plasma within the chamber does not enter the photomultiplier. This type of filtering greatly enhances the signal-to-noise ratio of the reflected beams. Specifically, a reflected beam from the wafer 110 propagates through the process chamber 100, window 124, collimating assembly 126, into the fiber optic cable 128 and exiting at the second branch 129 of the bifurcated end of the fiber optic cable 128 and into the signal sensor 120. The signal sensor 120 processes the reflected signal into an etch rate signal that may be passed on to a computer (not shown) for additional processing, display device (not shown) to depict progress of wafer processing or the like. Alternately, the signal detector 120 may be a CCD camera to form part of an image relay system.
  • The above described monitoring assembly 121 need not be designed from separate components interconnected by a transmission cable. FIG. 6 depicts a simplified schematic representation of an alternate embodiment whereby the signal source 118 and signal detector 120 comprise a single monitoring unit 600. Specifically, the signal source 118 and signal detector 120 are oriented at an angle of 90° from one another with a beamsplitter 602 and additional lens assembly 604 acting as a signal relay interface. The monitoring unit 600 may then be connected to the collimating assembly 126 via a non-bifurcated fiber optic cable 606 or other similar transmission cable. This type of configuration is especially useful when using short wavelength light as the signal source.
  • FIG. 2 depicts a detailed partial sectional, perspective view of the enclosure 114. Specifically, the enclosure 114 is bounded by a cylindrical sidewall 113 extending vertically from a circumferential edge of the dome 106 to the cover 112. A portion of the temperature control apparatus 116 extends from an inner wall 202 of the enclosure 114 towards the center terminating at an annular lip 204. Other portions of the temperature control device have been omitted from the figure for clarity. A support bracket 132 is secured to the dome 106 and circumscribes the opening 122. The collimating assembly is attached to the support bracket 132 to support the collimating assembly 126 above the window 124. Preferably the support bracket is fabricated from a high temperature plastic such as Ultem® (a registered trademark of General Electric).
  • Aside from forming the lower extremity of the enclosure 114, the dome 106 also defines a surface 212 that supports an RF antenna 210. Specifically, a single length of a conductor (i.e., a copper coil) is positioned at the circumference of the dome 106 and coiled radially inward. The antenna coil covers approximately ⅔ of the support surface 212. The antenna 210 is coupled to a high power RF power source (not shown) for the purpose of ionizing a process gas into a plasma in the process chamber 100. The antenna 210 and the RF source form a decoupled plasma source. Preferably, the dome 106 is opaque quartz or a ceramic such as alumina. Such materials are substantially transparent to infrared wavelengths that are produced by lamps within the temperature control unit. The heat produced by these emissions are used to heat the chamber environment. As such, the dome 106 is permeable to the magnetic fields from the antenna 210 which control and enhance plasma characteristics. Proximate the apex 123 of the dome 104, the opening 122 is formed. As such, the beams from and to the collimating assembly 126 pass through the opening 122 and into and out of the process chamber 100.
  • As discussed earlier, one apparatus embodiment has a permanently affixed window. In an alternate embodiment of the apparatus, the window is removable from the dome. A removable window adds flexibility to the apparatus in that different types of signal sources and sensors can be used in the same chamber. For example, a chamber using a low pressure, mercury-based plasma lamp and a sapphire window can be retooled to accept a laser interferometer and a quartz window. The material chosen for the window is based upon the wavelength of the beams used in the monitoring assembly. Although mercury lamps, laser interferometers and X-rays are discussed, any type of optical beam equipment can be used. Similarly, any type of material besides sapphire and quartz can be used for the window to optimize transmission of the beams, refraction index and general operation of the device. For example, quartz is more etch resistant than sapphire, but sapphire has a lower cost and different transmission bandwidth than sapphire. Although methods of permanently and removably affixing the window to the dome are discussed any means for affixing the window to the dome can be used to optimize the ability to retool the chamber or obtain adequate measurements from the monitoring assembly.
  • FIGS. 3 and 4 depict a further embodiment of the inventive apparatus. Specifically, FIG. 3 depicts a schematic representation of the etch chamber 100 with enclosure 114 and temperature control apparatus 116 similar to that depicted in FIG. 1. However, in this embodiment, the signal source 118 and signal detector 120 are mounted inside the enclosure 114. The signal source 118 and signal detector 120 are provided with shielding 136 from RF sources and excessive temperatures and are disposed directly above the collimating assembly 126. Specifically, the signal source 118 and signal detector 120 are mounted to the collimating assembly 126. As indicated previously, the collimating assembly 126 can also be shielded and held secure to temperature control apparatus 116 via support bracket 132. This all-internal configuration is also shown in a partially sectional perspective view in FIG. 4. As can be seen from either figure, this configuration provides an elegant and highly simplified solution to in-situ measurement. Specifically, no external components are used in this embodiment thus eliminating the need for a fiber optic (or similar signal transmission) cable and for the additional hole (130 of FIGS. 1 and 2) in the enclosure.
  • The signal source 118 and signal detector 120 need not be disposed in a side-by-side arrangement. For example, FIG. 5 depicts an alternate embodiment of the monitoring assembly 500 whereby the signal source 118 and signal detector 120 are oriented at an angle of 90° from each other with a modified collimating assembly functioning as a relay interface between the signal source 118 and signal detector 120. The modified collimating assembly contains additional optical devices (i.e., folding mirrors and/or lens 502) to properly direct the signal source and reflected beams. From this discussion one skilled in the art can design such an internal monitoring assembly in a variety of configurations. In the spirit and scope of this specification the monitoring assembly is in no way limited to the described configurations. The signal source, signal detector, collimating assembly and attendant hardware can be arranged in any configuration necessary to create a monitoring assembly that is totally internal to the enclosure or similar chamber top surface.
  • With the configuration as described, an optical measurement apparatus is created that is capable of in-situ monitoring of the etching process. Specifically, an optical beam from the source 118 propagates through the window 124 and chamber 100 to the wafer surface. An incident beam reflects from the wafer surface, propagates back through the window 124, collimating assembly 126, transmission cable 128 and is detected by the sensor 120. Since the monitoring assembly 121 is shielded and/or positioned away from the antenna coils, interference or RF power coupling is minimized. All or part of the monitoring assembly may also be in close proximity to heat lamps which are part of the temperature control apparatus. Reducing thermal expansion of monitoring components is important so as to reduce the likelihood of misalignment of the apparatus. Such misalignment can lead to erroneous signal detection. The shielding element 130 can be fashioned as sleeve surrounding the transmission cable 128 fabricated from polyetheretherketone. The shielding element 136 can be a metallic plate with a painted or otherwise applied dielectric coating. Additionally, the improved apparatus requires no major retooling of existing chamber components. The design increases flexibility by allowing use of different types of process monitoring equipment in the same chamber.
  • The above described apparatus is not limited to use inside an enclosure above a wafer process chamber. Nor does the window or opening in which the window is fitted need to be part of or affixed to a dome shaped top surface. The top surface may be flat, concave or any configuration suitable for sealing the process chamber. The window 124 and opening 122 need not be at the apex of the dome or similar top surface as they can be off center. Additionally, there need not be only one window and corresponding opening. There may be a plurality of openings in the top surface each covered by a separate window or all covered by a single plate disposed above the top surface. In such a configuration having a plurality of openings and windows, there can be a single source providing illumination at all openings or a plurality of sources providing illumination to a group of openings or to each opening individually. Accordingly, there can be a single detector receiving reflected beams passing through all of the openings. Alternately, there can be a plurality of detectors receiving reflected signals from a group of openings or from each opening individually.
  • Thus, the disadvantages associated with the prior art are overcome by the present apparatus for performing direct, in situ monitoring of a process in a semiconductor wafer processing system. In one example, the apparatus provides a process chamber having a dome circumscribed by an antenna, the dome having an opening, an enclosure disposed above the chamber, a process monitoring assembly disposed proximate said dome and a window covering the opening. Further, the process monitoring assembly consists of a signal source, a signal detector, a collimating assembly and a transmission cable having a first end and a second end whereby the first end is connected to the collimating assembly and the second end is connected to the signal source and the signal detector. A portion of the apparatus supports the process monitoring assembly to establish a line-of-sight from the monitoring assembly, through the window to a substrate (i.e., a semiconductor wafer). The window can be permanently affixed to the opening or removable. The monitoring apparatus can be located totally within the enclosure or a portion of it can be outside of the enclosure.
  • A method of fabricating the exemplary apparatus comprises boring an opening proximate an apex in the dome, positioning the process monitoring assembly in proximity to the dome so as to allow a line-of-sight from the process monitoring assembly to a wafer, and covering the opening with a window. The window is permanently affixed or removable dependent upon the type of process monitoring apparatus being used in the system.
  • With the method and apparatus as disclosed, process measurement and monitoring is conducted without encountering interference from high power energy sources proximate the chamber. Specifically, the monitoring assembly is positioned away from RF power sources that can arbitrarily couple power into the monitoring assembly. Additionally, the line-of-sight feature of the subject apparatus simplifies the overall design and allows retrofitting of chambers not previously using such in-situ monitoring devices. The apparatus further provides versatility since the removable window allows interchanging different types of monitoring apparatus. Specifically, plasma-based lamps, laser interferometers, X-ray emitters and the like are optimized by selecting different types of window material (i.e., sapphire, quartz and the like) through which monitoring beams propagate.
  • While the present invention has been described in considerable detail with reference to certain preferred versions, many other versions should be apparent to those of ordinary skill in the art. For example, other configurations of the process monitoring assembly should be apparent to those of ordinary skill in the art. In addition, the assembly may be used in other types of chambers than those used to illustrate the invention. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein.

Claims (35)

1. A method of etching a substrate in a chamber having a wall and detecting an endpoint of the etching process, the method comprising:
(a) providing a substrate in the chamber;
(b) etching a channel or trench in the substrate by coupling energy through the wall of the chamber to energize an etch gas in the chamber;
(c) detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the wall; and
(d) evaluating the detected radiation to monitor the depth of etching of the channel or trench being etched on the substrate.
2. A method according to claim 1 comprising coupling energy to the etch gas by inductive coupling.
3. A method according to claim 2 comprising inductively coupling energy through a substantial portion of a ceiling of the process chamber.
4. A method according to claim 3 comprising coupling energy by powering a multi-turn antenna that is non-vertical.
5. A method according to claim 1 comprising detecting radiation comprising an optical beam.
6. A method according to claim 1 comprising detecting radiation passing through a window in the wall.
7. A method according to claim 1 wherein (d) comprises detecting radiation propagating through the wall in a line-of-sight view of the substrate in the process chamber.
8. A method according to claim 1 further comprising directing radiation onto the substrate surface from directly above the surface of the substrate.
9. A method according to claim 1 comprising collimating the detected radiation and evaluating the detected collimated radiation to monitor a depth of the trench being etched on the substrate.
10. An etching apparatus for etching a substrate, the apparatus comprising:
(a) an etch chamber comprising a wall having a window;
(b) substrate support pedestal in the etch chamber, upon which a substrate can be retained;
(c) an energy source to couple energy to an etch gas in the chamber to form a plasma to etch a channel or trench in the substrate; and
(b) a process monitoring assembly to monitor a depth of the channel or trench being etched in the etch chamber, the process monitoring assembly comprising a signal sensor capable of detecting radiation reflected from the substrate from directly above the substrate after the radiation propagates through the window in the wall.
11. An apparatus according to claim 10 wherein the signal sensor monitors an optical emission intensity of the plasma.
12. An apparatus according to claim 10 wherein the signal sensor generates a plurality of signals indicative of the spectral intensity of the plasma.
13. An apparatus according to claim 10 wherein the signal sensor detects an optical beam that is reflected from the surface of the substrate.
14. An apparatus according to claim 10 wherein the energy source comprises an antenna proximate to the etch chamber.
15. An apparatus according to claim 14 wherein the antenna covers a ceiling of the etch chamber.
16. An apparatus according to claim 14 wherein the antenna is a multi-turn, non-vertical antenna.
17. An apparatus according to claim 10 wherein the process monitoring assembly comprises a collimating assembly.
18. An apparatus according to claim 17 wherein a signal source and signal sensor are connected to the collimating assembly via a transmission cable.
19. An apparatus according to claim 10 wherein the energy source comprises (i) a multi-turn antenna covering the wall of the etch chamber, and (ii) a cathode within the chamber.
20. An apparatus according to claim 19 wherein the wall of the process chamber is flat and the multi-turn antenna at least partially covers the flat wall.
21. An apparatus according to claim 10 wherein the wall of the process chamber comprises a ceiling.
22. An apparatus according to claim 21 wherein the ceiling comprises a ceramic.
23. An apparatus according to claim 10 wherein the ceramic comprises alumina or silica.
24. An apparatus according to claim 10 wherein the signal sensor monitors radiation reflected from two spaced apart surfaces on the substrate.
25. An apparatus according to claim 10 wherein the signal sensor monitors radiation reflected from two spaced apart surfaces on the substrate which include a surface of a mask pattern and a channel or trench being etched of the substrate.
26. An apparatus according to claim 10 wherein the source of the radiation comprises the plasma, and wherein the process monitoring assembly monitors an optical emission intensity of the plasma in a wide band to generate a plurality of signals indicative of the spectral intensity of the plasma.
27. An apparatus according to claim 10 wherein the process monitoring assembly generates a termination signal to terminate an etch process being conducted in the chamber when the signals diverge.
28. An apparatus according to claim 10 wherein the source of radiation comprises a signal source.
29. An apparatus according to claim 28 wherein the signal source comprises an optical source capable of emitting an optical beam.
30. An apparatus according to claim 28 wherein the signal source is connected to the signal sensor via one end of a fiber-optic cable that is bifurcated into first and second branches, the first branch comprising an end that is attached to the signal source, and the second branch comprising an end that is attached to the signal sensor.
31. An apparatus according to claim 28 wherein the signal source comprises at least one of the following:
(i) a plasma lamp operating in the nm range;
(ii) a plasma lamp operating in the 185-700 nm range;
32. An apparatus according to claim 10 wherein the process monitoring assembly comprises at least one of:
(i) a narrow band monochromator;
(ii) a CCD system;
(iii) a spectroscopy system; or
(iv) a laser interferometer.
33. An apparatus according to claim 10 wherein the window comprises quartz or fused silica.
34. An apparatus according to claim 10 comprising a plurality of separate windows in the walls of the process chamber, each window provided to receive a radiation reflected from the substrate.
35. An apparatus according to claim 34 comprising a plurality of sensors.
US12/217,529 1997-10-06 2008-07-02 Monitoring etching of a substrate in an etch chamber Abandoned US20080272089A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/217,529 US20080272089A1 (en) 1997-10-06 2008-07-02 Monitoring etching of a substrate in an etch chamber

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/944,240 US6129807A (en) 1997-10-06 1997-10-06 Apparatus for monitoring processing of a substrate
US09/595,778 US7632419B1 (en) 1997-10-06 2000-06-16 Apparatus and method for monitoring processing of a substrate
US12/217,529 US20080272089A1 (en) 1997-10-06 2008-07-02 Monitoring etching of a substrate in an etch chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/595,778 Continuation US7632419B1 (en) 1997-10-06 2000-06-16 Apparatus and method for monitoring processing of a substrate

Publications (1)

Publication Number Publication Date
US20080272089A1 true US20080272089A1 (en) 2008-11-06

Family

ID=25481050

Family Applications (4)

Application Number Title Priority Date Filing Date
US08/944,240 Expired - Lifetime US6129807A (en) 1997-10-06 1997-10-06 Apparatus for monitoring processing of a substrate
US09/595,778 Expired - Fee Related US7632419B1 (en) 1997-10-06 2000-06-16 Apparatus and method for monitoring processing of a substrate
US11/561,403 Abandoned US20070068456A1 (en) 1997-10-06 2006-11-19 Monitoring processing of a substrate in a processing chamber
US12/217,529 Abandoned US20080272089A1 (en) 1997-10-06 2008-07-02 Monitoring etching of a substrate in an etch chamber

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US08/944,240 Expired - Lifetime US6129807A (en) 1997-10-06 1997-10-06 Apparatus for monitoring processing of a substrate
US09/595,778 Expired - Fee Related US7632419B1 (en) 1997-10-06 2000-06-16 Apparatus and method for monitoring processing of a substrate
US11/561,403 Abandoned US20070068456A1 (en) 1997-10-06 2006-11-19 Monitoring processing of a substrate in a processing chamber

Country Status (3)

Country Link
US (4) US6129807A (en)
JP (1) JP2001519596A (en)
WO (1) WO1999018594A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100150427A1 (en) * 2008-12-15 2010-06-17 Inotera Memories, Inc. Portable wafer inspection system
US20100224321A1 (en) * 2009-03-05 2010-09-09 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20110036818A1 (en) * 2009-08-13 2011-02-17 National Taiwan University Optical etching device for laser machining
WO2023043763A1 (en) * 2021-09-17 2023-03-23 Lam Research Corporation Metrology enclosure including spectral reflectometry system for plasma processing system using direct-drive radiofrequency power supply

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849470B1 (en) 1996-11-08 2005-02-01 Matsushita Electric Industrial Co., Ltd. Apparatus and method for optical evaluation, apparatus and method for manufacturing semiconductor device, method of controlling apparatus for manufacturing semiconductor device, and semiconductor device
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6535779B1 (en) * 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6306246B1 (en) * 2000-01-14 2001-10-23 Advanced Micro Devices, Inc. Dual window optical port for improved end point detection
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US7234862B2 (en) * 2000-10-13 2007-06-26 Tokyo Electron Limited Apparatus for measuring temperatures of a wafer using specular reflection spectroscopy
TW472336B (en) * 2001-02-21 2002-01-11 Promos Technologies Inc Method for controlling etching depth
US6562248B1 (en) * 2001-03-26 2003-05-13 Advanced Micro Devices, Inc. Active control of phase shift mask etching process
US6574525B1 (en) 2002-03-25 2003-06-03 Lsi Logic Corporation In situ measurement
TWI225667B (en) * 2002-03-25 2004-12-21 Adaptive Plasma Tech Corp Plasma etching method and apparatus for manufacturing a semiconductor device
US6762849B1 (en) 2002-06-19 2004-07-13 Novellus Systems, Inc. Method for in-situ film thickness measurement and its use for in-situ control of deposited film thickness
US6654659B1 (en) * 2002-06-24 2003-11-25 Advanced Micro Drvices, Inc. Quartz crystal monitor wafer for lithography and etch process monitoring
AU2003263746A1 (en) * 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analysis of semiconductor process parameters
AU2003247538A1 (en) * 2002-07-03 2004-01-23 Tokyo Electron Limited Method and apparatus for non-invasive measurement and analys of semiconductor plasma parameters
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US6879744B2 (en) * 2003-01-07 2005-04-12 Georgi A. Atanasov Optical monitoring of thin film deposition
US7126131B2 (en) * 2003-01-16 2006-10-24 Metrosol, Inc. Broad band referencing reflectometer
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US7394551B2 (en) * 2003-01-16 2008-07-01 Metrosol, Inc. Vacuum ultraviolet referencing reflectometer
US8564780B2 (en) * 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7804059B2 (en) * 2004-08-11 2010-09-28 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20080129986A1 (en) 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US7630859B2 (en) * 2007-05-01 2009-12-08 Verity Instruments, Inc. Method and apparatus for reducing the effects of window clouding on a viewport window in a reactive environment
US20090219537A1 (en) * 2008-02-28 2009-09-03 Phillip Walsh Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths
CA2745365C (en) * 2008-12-23 2013-01-08 J.J. Mackay Canada Limited Low power wireless parking meter and parking meter network
US7904273B2 (en) * 2009-02-16 2011-03-08 International Business Machines Corporation In-line depth measurement for thru silicon via
US8153987B2 (en) 2009-05-22 2012-04-10 Jordan Valley Semiconductors Ltd. Automated calibration methodology for VUV metrology system
US8232115B2 (en) * 2009-09-25 2012-07-31 International Business Machines Corporation Test structure for determination of TSV depth
JP2013519211A (en) 2010-02-09 2013-05-23 エナジェティック・テクノロジー・インコーポレーテッド Laser-driven light source
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
CA3178279A1 (en) 2011-03-03 2012-09-03 J.J. Mackay Canada Limited Parking meter with contactless payment
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US9347132B2 (en) 2011-04-29 2016-05-24 Applied Materials, Inc. Optical endpoint detection system
US8742287B2 (en) * 2011-12-15 2014-06-03 Guardian Industries Corp. Lighting solution for apparatuses for vacuum insulating glass (VIG) unit tip-off, and/or associated methods
TWI582837B (en) * 2012-06-11 2017-05-11 應用材料股份有限公司 Melt depth determination using infrared interferometric technique in pulsed laser annealing
US20160071749A1 (en) * 2014-09-05 2016-03-10 Applied Materials, Inc. Upper dome for epi chamber
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
USD813059S1 (en) 2016-02-24 2018-03-20 J.J. Mackay Canada Limited Parking meter
CN106935467B (en) * 2015-12-31 2018-11-06 中微半导体设备(上海)有限公司 A kind of inductively coupled plasma processor
US9978621B1 (en) 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
JP2020517112A (en) * 2017-04-14 2020-06-11 イオニアー エルエルシーIoneer, Llc Method and system for measuring plasma emission in a plasma processing reactor
US11922756B2 (en) 2019-01-30 2024-03-05 J.J. Mackay Canada Limited Parking meter having touchscreen display
CA3031936A1 (en) 2019-01-30 2020-07-30 J.J. Mackay Canada Limited Spi keyboard module for a parking meter and a parking meter having an spi keyboard module

Citations (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751643A (en) * 1972-05-23 1973-08-07 Ibm System for performing spectral analyses under computer control
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
US4134851A (en) * 1977-09-16 1979-01-16 Gte Laboratories Incorporated Aluminophosphate luminescent glass
US4198261A (en) * 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4208240A (en) * 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4283137A (en) * 1978-03-31 1981-08-11 Canon Kabushiki Kaisha Image scanning system
US4493745A (en) * 1984-01-31 1985-01-15 International Business Machines Corporation Optical emission spectroscopy end point detection in plasma etching
US4577940A (en) * 1984-12-19 1986-03-25 Allied Corporation Moire microscope
US4579623A (en) * 1983-08-31 1986-04-01 Hitachi, Ltd. Method and apparatus for surface treatment by plasma
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US4611919A (en) * 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
US4680084A (en) * 1984-08-21 1987-07-14 American Telephone And Telegraph Company, At&T Bell Laboratories Interferometric methods and apparatus for device fabrication
US4795879A (en) * 1987-04-13 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Method of processing materials using an inductively coupled plasma
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4859277A (en) * 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
US4953982A (en) * 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US4972072A (en) * 1988-10-03 1990-11-20 Tritec Industries, Inc. System for detecting a film layer on an object
US4974227A (en) * 1989-10-16 1990-11-27 Nippon Seiko Kabushiki Kaisha Low-pressure mercury resonance radiation source
US4975141A (en) * 1990-03-30 1990-12-04 International Business Machines Corporation Laser ablation for plasma etching endpoint detection
US5002631A (en) * 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5270792A (en) * 1990-03-28 1993-12-14 Blue Sky Research, Inc. Dynamic lateral shearing interferometer
US5298110A (en) * 1991-06-06 1994-03-29 Lsi Logic Corporation Trench planarization techniques
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5372673A (en) * 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5392124A (en) * 1993-12-17 1995-02-21 International Business Machines Corporation Method and apparatus for real-time, in-situ endpoint detection and closed loop etch process control
US5399229A (en) * 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
US5403433A (en) * 1992-07-15 1995-04-04 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US5406080A (en) * 1989-03-31 1995-04-11 Fraunhofer Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Process for monitoring ion-assisted processing procedures on wafers and an apparatus for carrying out the same
US5445705A (en) * 1994-06-30 1995-08-29 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5451289A (en) * 1994-06-30 1995-09-19 International Business Machines Corporation Fixture for in-situ noncontact monitoring of wet chemical etching with passive wafer restraint
US5467883A (en) * 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
US5472508A (en) * 1991-08-09 1995-12-05 Saxena; Arjun N. Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5536359A (en) * 1993-09-20 1996-07-16 Hitachi, Ltd. Semiconductor device manufacturing apparatus and method with optical monitoring of state of processing chamber
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5622635A (en) * 1993-01-19 1997-04-22 International Business Machines Corporation Method for enhanced inductive coupling to plasmas with reduced sputter contamination
US5640270A (en) * 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5648847A (en) * 1992-01-16 1997-07-15 Applied Materials, Inc. Method and apparatus for normalizing a laser beam to a reflective surface
US5654903A (en) * 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5658418A (en) * 1995-03-31 1997-08-19 International Business Machines Corporation Apparatus for monitoring the dry etching of a dielectric film to a given thickness in an integrated circuit
US5691540A (en) * 1996-04-30 1997-11-25 Ibm Corporation Assembly for measuring a trench depth parameter of a workpiece
US5706084A (en) * 1995-09-14 1998-01-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Modulated source interferometry with combined amputude & frequency modulation
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5716451A (en) * 1995-08-17 1998-02-10 Tokyo Electron Limited Plasma processing apparatus
US5728253A (en) * 1993-03-04 1998-03-17 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5747380A (en) * 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
US5752002A (en) * 1995-06-12 1998-05-12 Sand Microelectronics, Inc. Method and apparatus for performance optimization of integrated circuit designs
US5754296A (en) * 1995-03-20 1998-05-19 Kansas State University Research Foundation Ellipsometric microscope
US5770097A (en) * 1994-12-23 1998-06-23 International Business Machines Corporation Control of etch selectivity
US5792272A (en) * 1995-07-10 1998-08-11 Watkins-Johnson Company Plasma enhanced chemical processing reactor and method
US5807761A (en) * 1995-07-24 1998-09-15 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5834375A (en) * 1996-08-09 1998-11-10 Industrial Technology Research Institute Chemical-mechanical polishing planarization monitor
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5847885A (en) * 1997-06-18 1998-12-08 New Focus, Inc. Wide range cylindrical mirror mount with radial clamp
US5858259A (en) * 1987-04-27 1999-01-12 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method
US5961850A (en) * 1995-03-20 1999-10-05 Hitachi, Ltd. Plasma processing method and apparatus
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5985092A (en) * 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6172752B1 (en) * 1996-08-04 2001-01-09 Matsushita Electric Industrial Co., Ltd. Method and apparatus for simultaneously interferometrically measuring optical characteristics in a noncontact manner
US6323595B1 (en) * 1998-02-17 2001-11-27 Kabushiki Kaisha Toshiba High frequency discharging method and apparatus, and high frequency processing apparatus
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6449038B1 (en) * 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
US6535779B1 (en) * 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6559942B2 (en) * 2000-10-23 2003-05-06 Applied Materials Inc. Monitoring substrate processing with optical emission and polarized reflected radiation
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
US6652710B2 (en) * 1997-05-12 2003-11-25 Applied Materials, Inc Process monitoring apparatus and method
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
US6813534B2 (en) * 1998-07-10 2004-11-02 Zhifeng Sui Endpoint detection in substrate fabrication processes
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5833836A (en) * 1981-08-24 1983-02-28 Hitachi Ltd Plasma asher and apparatus
JPH0691045B2 (en) 1986-03-03 1994-11-14 日電アネルバ株式会社 Etching monitor-device
JPH02288228A (en) * 1989-04-28 1990-11-28 Hitachi Ltd Dry etching and device therefor
JPH0810634B2 (en) * 1990-06-01 1996-01-31 インターナショナル・ビジネス・マシーンズ・コーポレイション Microwave-fed material / plasma processing system
JPH05299387A (en) * 1992-04-22 1993-11-12 Nippon Steel Corp Plasma processor
JPH0773997A (en) 1993-06-30 1995-03-17 Kobe Steel Ltd Plasma cvd device and cvd processing method employing the device and cleaning method for inside of the device
JPH0786179A (en) * 1993-09-10 1995-03-31 Hitachi Ltd Plasma processing system
JPH0824082A (en) 1994-07-12 1996-01-30 Fukunaga Shoten:Kk Hanger for backrest of chair
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JPH09171995A (en) 1995-12-18 1997-06-30 Sony Corp Chamber for vacuum processing device

Patent Citations (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751643A (en) * 1972-05-23 1973-08-07 Ibm System for performing spectral analyses under computer control
US3879597A (en) * 1974-08-16 1975-04-22 Int Plasma Corp Plasma etching device and process
US4134851A (en) * 1977-09-16 1979-01-16 Gte Laboratories Incorporated Aluminophosphate luminescent glass
US4198261A (en) * 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4283137A (en) * 1978-03-31 1981-08-11 Canon Kabushiki Kaisha Image scanning system
US4208240A (en) * 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4579623A (en) * 1983-08-31 1986-04-01 Hitachi, Ltd. Method and apparatus for surface treatment by plasma
US4493745A (en) * 1984-01-31 1985-01-15 International Business Machines Corporation Optical emission spectroscopy end point detection in plasma etching
US4611919A (en) * 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
US4680084A (en) * 1984-08-21 1987-07-14 American Telephone And Telegraph Company, At&T Bell Laboratories Interferometric methods and apparatus for device fabrication
US4577940A (en) * 1984-12-19 1986-03-25 Allied Corporation Moire microscope
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4795879A (en) * 1987-04-13 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Method of processing materials using an inductively coupled plasma
US5858259A (en) * 1987-04-27 1999-01-12 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method
US4859277A (en) * 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
US4953982A (en) * 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US4972072A (en) * 1988-10-03 1990-11-20 Tritec Industries, Inc. System for detecting a film layer on an object
US5406080A (en) * 1989-03-31 1995-04-11 Fraunhofer Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Process for monitoring ion-assisted processing procedures on wafers and an apparatus for carrying out the same
US4974227A (en) * 1989-10-16 1990-11-27 Nippon Seiko Kabushiki Kaisha Low-pressure mercury resonance radiation source
US5002631A (en) * 1990-03-09 1991-03-26 At&T Bell Laboratories Plasma etching apparatus and method
US5270792A (en) * 1990-03-28 1993-12-14 Blue Sky Research, Inc. Dynamic lateral shearing interferometer
US4975141A (en) * 1990-03-30 1990-12-04 International Business Machines Corporation Laser ablation for plasma etching endpoint detection
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5298110A (en) * 1991-06-06 1994-03-29 Lsi Logic Corporation Trench planarization techniques
US5472508A (en) * 1991-08-09 1995-12-05 Saxena; Arjun N. Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5648847A (en) * 1992-01-16 1997-07-15 Applied Materials, Inc. Method and apparatus for normalizing a laser beam to a reflective surface
US5403433A (en) * 1992-07-15 1995-04-04 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US5467883A (en) * 1992-12-14 1995-11-21 At&T Corp. Active neural network control of wafer attributes in a plasma etch process
US5308414A (en) * 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5622635A (en) * 1993-01-19 1997-04-22 International Business Machines Corporation Method for enhanced inductive coupling to plasmas with reduced sputter contamination
US5372673A (en) * 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US5728253A (en) * 1993-03-04 1998-03-17 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5565114A (en) * 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
US5399229A (en) * 1993-05-13 1995-03-21 Texas Instruments Incorporated System and method for monitoring and evaluating semiconductor wafer fabrication
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5536359A (en) * 1993-09-20 1996-07-16 Hitachi, Ltd. Semiconductor device manufacturing apparatus and method with optical monitoring of state of processing chamber
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5392124A (en) * 1993-12-17 1995-02-21 International Business Machines Corporation Method and apparatus for real-time, in-situ endpoint detection and closed loop etch process control
US5980767A (en) * 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5451289A (en) * 1994-06-30 1995-09-19 International Business Machines Corporation Fixture for in-situ noncontact monitoring of wet chemical etching with passive wafer restraint
US5445705A (en) * 1994-06-30 1995-08-29 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US5456788A (en) * 1994-06-30 1995-10-10 International Business Machines Corporation Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5770097A (en) * 1994-12-23 1998-06-23 International Business Machines Corporation Control of etch selectivity
US5961850A (en) * 1995-03-20 1999-10-05 Hitachi, Ltd. Plasma processing method and apparatus
US5754296A (en) * 1995-03-20 1998-05-19 Kansas State University Research Foundation Ellipsometric microscope
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5658418A (en) * 1995-03-31 1997-08-19 International Business Machines Corporation Apparatus for monitoring the dry etching of a dielectric film to a given thickness in an integrated circuit
US5752002A (en) * 1995-06-12 1998-05-12 Sand Microelectronics, Inc. Method and apparatus for performance optimization of integrated circuit designs
US5792272A (en) * 1995-07-10 1998-08-11 Watkins-Johnson Company Plasma enhanced chemical processing reactor and method
US5807761A (en) * 1995-07-24 1998-09-15 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US5716451A (en) * 1995-08-17 1998-02-10 Tokyo Electron Limited Plasma processing apparatus
US5706084A (en) * 1995-09-14 1998-01-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Modulated source interferometry with combined amputude & frequency modulation
US5654903A (en) * 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5747380A (en) * 1996-02-26 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Robust end-point detection for contact and via etching
US5640270A (en) * 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
US6159297A (en) * 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US5691540A (en) * 1996-04-30 1997-11-25 Ibm Corporation Assembly for measuring a trench depth parameter of a workpiece
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6172752B1 (en) * 1996-08-04 2001-01-09 Matsushita Electric Industrial Co., Ltd. Method and apparatus for simultaneously interferometrically measuring optical characteristics in a noncontact manner
US5834375A (en) * 1996-08-09 1998-11-10 Industrial Technology Research Institute Chemical-mechanical polishing planarization monitor
US5985092A (en) * 1996-12-17 1999-11-16 United Microelectronics Corp. Endpoint detection system
US6652710B2 (en) * 1997-05-12 2003-11-25 Applied Materials, Inc Process monitoring apparatus and method
US5847885A (en) * 1997-06-18 1998-12-08 New Focus, Inc. Wide range cylindrical mirror mount with radial clamp
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US7632419B1 (en) * 1997-10-06 2009-12-15 Applied Materials, Inc. Apparatus and method for monitoring processing of a substrate
US6323595B1 (en) * 1998-02-17 2001-11-27 Kabushiki Kaisha Toshiba High frequency discharging method and apparatus, and high frequency processing apparatus
US6535779B1 (en) * 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6406924B1 (en) * 1998-04-17 2002-06-18 Applied Materials, Inc. Endpoint detection in the fabrication of electronic devices
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6712927B1 (en) * 1998-06-11 2004-03-30 Applied Materials Inc. Chamber having process monitoring window
US6835275B1 (en) * 1998-06-11 2004-12-28 Michael N. Grimbergen Reducing deposition of process residues on a surface in a chamber
US6813534B2 (en) * 1998-07-10 2004-11-02 Zhifeng Sui Endpoint detection in substrate fabrication processes
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6449038B1 (en) * 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
US6559942B2 (en) * 2000-10-23 2003-05-06 Applied Materials Inc. Monitoring substrate processing with optical emission and polarized reflected radiation
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6843881B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Detecting chemiluminescent radiation in the cleaning of a substrate processing chamber
US6784110B2 (en) * 2002-10-01 2004-08-31 Jianping Wen Method of etching shaped features on a substrate
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
US7652774B2 (en) * 2002-11-01 2010-01-26 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100150427A1 (en) * 2008-12-15 2010-06-17 Inotera Memories, Inc. Portable wafer inspection system
US20100224321A1 (en) * 2009-03-05 2010-09-09 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20100276391A1 (en) * 2009-03-05 2010-11-04 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US20110036818A1 (en) * 2009-08-13 2011-02-17 National Taiwan University Optical etching device for laser machining
WO2023043763A1 (en) * 2021-09-17 2023-03-23 Lam Research Corporation Metrology enclosure including spectral reflectometry system for plasma processing system using direct-drive radiofrequency power supply

Also Published As

Publication number Publication date
US6129807A (en) 2000-10-10
US7632419B1 (en) 2009-12-15
US20070068456A1 (en) 2007-03-29
JP2001519596A (en) 2001-10-23
WO1999018594A1 (en) 1999-04-15

Similar Documents

Publication Publication Date Title
US7632419B1 (en) Apparatus and method for monitoring processing of a substrate
US8158526B2 (en) Endpoint detection for photomask etching
KR100932574B1 (en) Endpoint detection for photomask etching
US8009938B2 (en) Advanced process sensing and control using near infrared spectral reflectometry
EP0735565B1 (en) Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
TWI437634B (en) A plasma processing device and an optical detection device
US20080099435A1 (en) Endpoint detection for photomask etching
US20080099436A1 (en) Endpoint detection for photomask etching
US20030173029A1 (en) Plasma processing apparatus
KR100959659B1 (en) Plasma processing apparatus
TWI828781B (en) Method and processing chamber for eliminating internal reflections in an interferometric endpoint detection system
US6547458B1 (en) Optimized optical system design for endpoint detection
WO2001024255A9 (en) Interferometric method for endpointing plasma etch processes
US10892145B2 (en) Substrate processing apparatus, substrate processing method, and method of fabricating semiconductor device using the same
CN110867364A (en) Plasma monitoring device and plasma processing system
KR102117089B1 (en) Apparatus for detecting plasma light and system for analyzing state of plasma with the apparatus
KR100290750B1 (en) End point detection method and apparatus of plasma treatment
TW202223972A (en) Method and apparatus for monitoring a plasma process
KR100263406B1 (en) Method and device for detecting the end point of plasma process
KR100963518B1 (en) Device of detecting process in wafer by plasma
US20230207293A1 (en) Apparatus for treating substrate and method for treating substrate
KR20040009959A (en) Improved EPD system and plasma etching apparatus equipped with the same
JP3901429B2 (en) Plasma processing equipment
KR20070091461A (en) Plasma etching apparatus having detection window
KR19990010377A (en) Etch end point detection device and detection method using the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GRIMBERGEN, MICHAEL;PAN, SHAOHER X.;REEL/FRAME:021251/0402

Effective date: 19980203

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION