US20080286982A1 - Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor - Google Patents

Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor Download PDF

Info

Publication number
US20080286982A1
US20080286982A1 US11/748,783 US74878307A US2008286982A1 US 20080286982 A1 US20080286982 A1 US 20080286982A1 US 74878307 A US74878307 A US 74878307A US 2008286982 A1 US2008286982 A1 US 2008286982A1
Authority
US
United States
Prior art keywords
chamber
gas
pedestal
wafer
wafer support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/748,783
Other versions
US7691755B2 (en
Inventor
Shijian Li
Lily L. Pang
Majeed A. Foad
Seon-Mee Cho
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/748,783 priority Critical patent/US7691755B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PANG, LILY L., FOAD, MAJEED A., LI, SHIJIAN, CHO, SEON-MEE
Priority to JP2008127472A priority patent/JP2009004755A/en
Priority to CN2008100975877A priority patent/CN101308784B/en
Priority to KR1020080045088A priority patent/KR100993104B1/en
Priority to TW097117849A priority patent/TW200915396A/en
Publication of US20080286982A1 publication Critical patent/US20080286982A1/en
Application granted granted Critical
Publication of US7691755B2 publication Critical patent/US7691755B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Definitions

  • Plasma immersion ion implantation of a semiconductor wafer is typically used to form P—N junctions in the wafer surface.
  • the plasma immersion ion implantation (P3i) process is faster or more productive than other implantation processes.
  • ion energy at the wafer surface must relatively high, which can be accomplished by applying a sufficiently high RF bias power to the wafer, or to an electrode within the wafer support pedestal.
  • the P3i reactor chamber is typically constructed of aluminum components whose surfaces are anodized to provide some protection and from plasma in the chamber.
  • the high ion energy of the plasma during ion implantation produces ion bombardment of the metallic chamber components, removing metal particles that vaporize into the plasma to spread throughout the chamber and deposit on the wafer.
  • the high ion energy is attained by coupling RF bias power to the wafer at a sufficient level to create a plasma bias voltage on the order of tens or hundreds of kilovolts.
  • Such metal contamination of the wafer can produce defects in the devices formed on the wafer surface.
  • the method includes providing a gas distribution ring with plural gas injection orifices on a periphery of a wafer support pedestal, the orifices facing radially outwardly from the wafer support pedestal. Silicon-containing gas is introduced through the gas distribution orifices of the ring to establish a radially outward flow pattern of the silicon-containing gas.
  • the reactor includes pairs of conduit ports in the ceiling adjacent the side wall at opposing sides thereof and respective external conduits generally spanning the diameter of the chamber and coupled to respective pairs of the ports.
  • the method further includes injecting oxygen gas through the conduit ports into the chamber to establish an axially downward flow pattern of oxygen gas in the chamber.
  • RF power is coupled into the interior of each of the conduits to generate a toroidal plasma current of Si x O y species passing through the chamber to deposit a seasoning layer of a Si x O y material on surfaces within the chamber, while leaving the pedestal without a wafer so as to expose a wafer support surface of the pedestal.
  • a wafer is placed on the pedestal.
  • Ion implantation precursor gases are introduced into the chamber through a gas distribution plate that extends across the ceiling.
  • Plasma immersion ion implantation is performed by coupling RF power into the interior of each of the conduits to generate a toroidal plasma of implant species current passing through the chamber so as to implant the implant species into the wafer.
  • the method can further include applying RF bias power to an electrode underlying the wafer to produce a plasma sheath bias voltage on the order of kilovolts.
  • FIG. 1 is a cut-away side view of a plasma reactor in accordance with one aspect.
  • FIG. 2 is a cut-away top view corresponding to FIG. 1 .
  • FIG. 3 is a simplified diagram corresponding to FIG. 1 showing the gas flow pattern by species.
  • FIG. 4 is a graph depicting the variations in stochiometry of a seasoning layer controlled in accordance with the gas flow patterns of FIG. 3 .
  • FIG. 5 is a diagram depicting a process performed by the reactor of FIG. 1 .
  • the chamber interior surfaces can be coated with a non-metallic “seasoning” film prior to the plasma immersion ion implantation and prior to introduction of the wafer into the chamber.
  • the ideal thickness of the seasoning film is readily determined using empirical methods. Typically, the minimum thickness is on the order of 1000 ⁇ , although better result are obtained at more ideal thicknesses, such as 2000 ⁇ .
  • the seasoning film must be removed following the P3i process and thereafter replaced because some of the film is removed—or its thickness reduced—in a non-uniform manner during the P3i process, so that it may not offer adequate protection from metal contamination during a subsequent P3i step.
  • the seasoning film removal step can be carried out by filling the chamber with seasoning-removal gas species obtained from an external (“downstream”) plasma source.
  • gases may be corrosive species such as fluorine-containing compounds, for example.
  • the seasoning film is deposited using a high density plasma enhanced chemical vapor deposition (HDPCVD) process by introducing a silicon-containing gas (e.g., silane) and oxygen gas into the reactor chamber and igniting a plasma. Radicals, neutrals and/or ions of silicon-oxygen compounds are formed in the plasma, which deposit on the interior chamber surfaces to form a thin film or coating of SiO 2 and/or Si x O y , for example.
  • the problem is that the thickness of the seasoning film is highly non-uniform because of non-uniformities in gas flow, non-uniform RF power or field distribution throughout the chamber and obstructions offered by some mechanical features in the chamber interior.
  • the minimum required seasoning film thickness e.g., 1000 ⁇
  • an excessive seasoning film thickness e.g., 12,000 ⁇
  • the post-implant seasoning removal process exposes the chamber interior surfaces where the seasoning film was thinnest (1000 ⁇ ) well before removal of the thicker (12,000 ⁇ ) portions of the seasoning film.
  • the chamber surfaces first exposed during the post-implant seasoning removal step are therefore attacked by the cleaning gases during the remainder of the cleaning step, shortening the life of those components and increasing the operating cost of the reactor.
  • the removal rate during the P3i step is not uniform, because the P3i plasma is concentrated primarily in the wafer-ceiling gap or process zone.
  • bottom-facing surfaces of some chamber components, such as radial struts supporting the wafer pedestal receive the thickest coating.
  • these surfaces face away from the main plasma (i.e., away from the wafer-ceiling gap) and therefore receive little ion bombardment, and are therefore the least reduced in thickness during the P3i step. Having started out with the greatest seasoning thickness and then having been attacked the least during the P3i step, such surfaces bear a disproportionately thick seasoning film and are therefore difficult to clean without undue exposure of other chamber surfaces to corrosive cleaning gases.
  • FIG. 1 illustrates a toroidal source plasma reactor for plasma immersion ion implantation having gas distribution features that solve the foregoing problems. These gas distribution features are used during the pre-implant seasoning deposition step to form a seasoning film throughout the chamber interior that is highly uniform. Some of the features may be used to control the stochiometry of the seasoning film to achieve desired characteristics, as will be discussed herein.
  • the reactor of FIG. 1 includes a cylindrical chamber 100 defined by a cylindrical side wall 102 , a ceiling 104 and a floor 106 .
  • a wafer support pedestal 108 includes an electrostatic chuck 110 having a wafer support surface for holding a semiconductor wafer 112 .
  • the ceiling 104 has two pairs of openings 114 to which respective mutually orthogonal external reentrant conduits 116 , 118 are coupled. Each conduit 116 , 118 completes a closed reentrant path for an oscillating plasma current passing through the process region defined by the gap between the pedestal 108 and the ceiling 104 .
  • the ceiling 104 is a gas distribution plate having an array of gas injection orifices 120 facing the chamber interior and an interior gas distribution manifold 122 .
  • the manifold 122 may be divided into radially inner and outer portions 122 a, 122 b, to establish independent inner and outer gas injection zones (or groups) 124 a, 124 b of the orifices 122 .
  • a pair of separately controlled gas supplies 126 a, 126 b are coupled to the inner and outer manifolds 122 a, 122 b.
  • a pair of plasma RF source power generators 128 , 130 are coupled to apply RF power to the interiors of respective ones of the conduits 116 , 118 via respective impedance matches 132 , 134 and power applicators 136 , 138 .
  • Each power applicator 136 , 138 may be of the same structure which consists of a magnetically permeable core or ring 140 wrapped around the respective conduit 116 or 118 , and a conductive coil 142 wrapped around the ring 140 .
  • the electrostatic chuck (ESC) 110 consists of a conductive electrode 110 a and an insulator layer 110 b in which the electrode 110 a is contained.
  • An RF bias power generator 142 is coupled to the ESC electrode 110 a through an impedance match 144 .
  • a D.C. chuck voltage supply 146 is coupled to the ESC electrode 110 a.
  • the pedestal 108 is supported on three radial struts 150 - 1 , 150 - 2 , 150 - 3 , best shown in FIG. 2 , that extend inwardly from the side wall 102 and underneath the pedestal 108 .
  • an implant species precursor gas such as a boron fluoride or a boron hydride in the case of a boron implantation step
  • plasma source power is applied by the generators 128 , 130 to produce an oscillating closed plasma current in the reentrant path through the process region overlying the wafer.
  • an ion implantation process gas supply 180 is coupled to the inner and outer gas manifolds 122 a, 122 b of the gas distribution plate 104 .
  • the RF generator 142 applies bias power to the ESC 110 to control ion energy and (hence) implant depth.
  • the gas distribution plate 104 is optimized for uniform gas distribution across the surface of the wafer or wafer support surface of the ESC 110 , but is not structured for uniform gas distribution throughout the chamber interior. Therefore, the gas distribution plate 104 is not, by itself, suitable for use in depositing the seasoning film throughout the chamber.
  • the gas distribution features that provide for a uniform seasoning film in the chamber include a center array of gas injection orifices 202 along the side wall of the pedestal 108 for injecting the silicon-containing gas.
  • the center array of orifices 202 is formed in a hollow gas distribution ring 200 supported on the side wall of the pedestal 108 and extending around the periphery of the pedestal.
  • the pedestal 108 supports an electrostatic chuck (ESC) 110 .
  • the ESC includes a conductive base 204 underlying the insulating layer 110 .
  • the base 204 may include internal features for utilities such as coolant passages and backside gas flow passages (not shown).
  • the gas distribution ring 200 is attached to the outer periphery of the base 204 .
  • the gas injection orifices 202 on the ring 200 may be oriented at an angle A relative to the horizontal wafer plane so as to inject the silicon-containing gas at an upward direction. This promotes better deposition on upward facing surfaces of interior chamber features, such as the wafer support surface of the ESC 110 and the top surfaces of the radial struts 150 , for example.
  • a silicon-containing (e.g., silane) gas supply 206 is connected to the hollow interior of the gas distribution ring 200 .
  • the oxygen gas is injected during the seasoning film deposition step through the four conduit ports 114 in the ceiling 104 .
  • conduit injection orifices 210 inject gas into the conduits 116 , 118 near each of the conduit ports 114 .
  • An oxygen gas supply 212 is coupled to each of the conduit injection orifices. Oxygen injection through the conduit ports 114 promotes a more oxygen-rich gas mixture near the sides of the chamber and, therefore, a more silicon-rich gas mixture over the center of the chamber, i.e., over the wafer support surface of the ESC 110 . This is because the conduit ports 114 are all located near the periphery of the ceiling 104 .
  • the wafer 112 is absent and no implant process gases are supplied to the gas distribution plate 104 . Therefore, the gas distribution plate 104 is available for use during the seasoning film deposition step.
  • the gas distribution plate 104 may be exploited during the seasoning film deposition to control the thickness distribution and the stochiometry distribution of the seasoning layer.
  • a further increase in the silicon content of the gases over the center of the chamber (over the pedestal 108 ) can be realized by injecting the silicon-containing gas (silane) through the center (inner) gas distribution zone 124 of the gas distribution plate 104 .
  • the inner zone gas supply 126 a stores silane, for example.
  • oxygen gas could be injected through the outer gas injection zone 124 b of the gas distribution plate 104 .
  • the outer zone gas supply 126 b stores oxygen gas.
  • the proportion of silicon to oxygen in the center and periphery of the chamber is controlled or affected by the different gas flow rates to the inner and outer zones 122 a, 122 b as well as the gas flow rates to the conduit injection orifices 210 and to the gas distribution ring 200 .
  • FIG. 3 summarizes the foregoing gas flows by species from each of the gas injection elements of the reactor of FIG. 1 .
  • the position (e.g., axial height) of the gas distribution ring 200 and the angle A of the orifices 202 of the gas distribution ring 200 can be adjusted to achieve a desired uniformity of the coating of Si x O y .
  • Oxygen and SiH4 can be supplied at a controlled rate to the distribution ring 200 for injection through the orifices 202 in order to control the stochiometry of the coating or seasoning deposition.
  • the foregoing features solve the problem non-uniform seasoning deposition.
  • the seasoning thickness varied from a minimum of about 1000 ⁇ on some upward facing surfaces to a maximum of about 12,000 ⁇ on some downward facing surfaces
  • the invention produces a much smaller variation in seasoning thickness, permitting us to establish a much greater minimum thickness (of about 2000 ⁇ ) without exceeding a maximum of about 3000-4000 ⁇ .
  • This greater minimum thickness is achieved in a much shorter deposition time, while the removal step is performed very quickly, thus increasing throughput.
  • FIG. 4 is a graph depicting a desired radial distribution of Si—O stochiometry of the seasoning layer that can be controlled with the foregoing gas distribution features.
  • the stochiometry of the Si x O y seasoning film i.e., the ratio x:y
  • the advantage is that a material with a higher conductivity is provided where it is needed, i.e., on the ESC 110 .
  • the higher conductivity of the silicon-rich mixture covering the ESC 110 enables the electric charge on the wafer to be removed more rapidly during de-chucking of the wafer, leading the better throughput or productivity.
  • the electrostatic clamping force holding the wafer to the ESC 110 is more rapidly removed when the D.C. chucking voltage source is switched off to dechuck the wafer.
  • the proportion (x) of silicon is maximum over the pedestal 108 while the proportion (y) of oxygen is minimum in the same area. The relationship is reversed at the periphery, as indicated in the graph of FIG. 4 .
  • a nominal value for x is 1 while a nominal value for y is 2.
  • the stochiometric variation illustrated in the graph of FIG. 4 between the radially inner and outer regions of the deposited seasoning film is increased by increasing the flow of the silicon-containing gas to the center (e.g., through the gas distribution plate 104 or through the gas distribution ring 200 ) relative to the oxygen gas flow to the periphery (e.g., through the conduit ports 114 ).
  • FIG. 5 depicts a process involving pre-implant seasoning deposition, plasma immersion ion implantation and post-implant cleaning or seasoning removal.
  • the entire cycle begins with no wafer on the pedestal 108 (block 250 of FIG. 5 ).
  • a silicon-containing gas e.g., silane
  • gas injection orifices 202 around the side of the pedestal 108 (block 252 ) and oxygen gas is injected through the ceiling ports 114 of the external reentrant conduits 116 , 118 (block 254 ).
  • An optional step (block 256 ) is to inject either silane or oxygen through ceiling gas distribution plate 104 .
  • the flow rate is adjusted to achieve about a 2-10% enhancement of the silicon content of the seasoning layer deposited on the ESC 110 over the nominal 1:2 silicon-to-oxygen ratio of silicon dioxide.
  • a plasma is generated (by applying RF power either to the applicators 136 , 138 or to the ESC electrode 110 a, to deposit a Si x O y seasoning film on chamber interior surfaces (block 258 ).
  • An optional step (block 260 ) is to adjust gas flow (e.g., either silane or oxygen) through ceiling gas distribution plate 104 to achieve desired enrichment of silicon proportion (x:y) of seasoning film on the wafer support surface of the electrostatic chuck, in accordance with FIG. 4 .
  • seasoning deposition process is stopped after desired seasoning film thickness (e.g., 2000 ⁇ ) has been reached (block 262 ).
  • a wafer is placed onto the pedestal 108 (block 264 ).
  • Plasma immersion ion implantation is performed (block 266 ) by introducing an implant species-containing process gas and applying RF source power to the conduit RF power applicators 136 , 138 .
  • ion energy may be controlled by applying RF bias power to the ESC electrode 110 a from the generator 142 .
  • wafer is removed (block 268 ).
  • the seasoning film is removed (block 270 ) by introducing a seasoning layer etch gas from a downstream plasma source, for example.

Abstract

A method is provided for performing plasma immersion ion implantation with a highly uniform seasoning film on the interior of a reactor chamber having a ceiling and a cylindrical side wall and a wafer support pedestal facing the ceiling. The method includes providing a gas distribution ring with plural gas injection orifices on a periphery of a wafer support pedestal, the orifices facing radially outwardly from the wafer support pedestal. Silicon-containing gas is introduced through the gas distribution orifices of the ring to establish a radially outward flow pattern of the silicon-containing gas. The reactor includes pairs of conduit ports in the ceiling adjacent the side wall at opposing sides thereof and respective external conduits generally spanning the diameter of the chamber and coupled to respective pairs of the ports. The method further includes injecting oxygen gas through the conduit ports into the chamber to establish an axially downward flow pattern of oxygen gas in the chamber. RF power is coupled into the interior of each of the conduits to generate a toroidal plasma current of SixOy species passing through the chamber to deposit a seasoning layer of a SixOy material on surfaces within the chamber, while leaving the pedestal without a wafer so as to expose a wafer support surface of the pedestal.

Description

    BACKGROUND
  • Plasma immersion ion implantation of a semiconductor wafer is typically used to form P—N junctions in the wafer surface. The plasma immersion ion implantation (P3i) process is faster or more productive than other implantation processes. In order to attain a requisite implantation or junction depth, ion energy at the wafer surface must relatively high, which can be accomplished by applying a sufficiently high RF bias power to the wafer, or to an electrode within the wafer support pedestal. The P3i reactor chamber is typically constructed of aluminum components whose surfaces are anodized to provide some protection and from plasma in the chamber. One problem is that the high ion energy of the plasma during ion implantation produces ion bombardment of the metallic chamber components, removing metal particles that vaporize into the plasma to spread throughout the chamber and deposit on the wafer. The high ion energy is attained by coupling RF bias power to the wafer at a sufficient level to create a plasma bias voltage on the order of tens or hundreds of kilovolts. Such metal contamination of the wafer can produce defects in the devices formed on the wafer surface.
  • SUMMARY OF THE INVENTION
  • A method is provided for performing plasma immersion ion implantation with a highly uniform seasoning film on the interior of a reactor chamber having a ceiling and a cylindrical side wall and a wafer support pedestal facing the ceiling. The method includes providing a gas distribution ring with plural gas injection orifices on a periphery of a wafer support pedestal, the orifices facing radially outwardly from the wafer support pedestal. Silicon-containing gas is introduced through the gas distribution orifices of the ring to establish a radially outward flow pattern of the silicon-containing gas. The reactor includes pairs of conduit ports in the ceiling adjacent the side wall at opposing sides thereof and respective external conduits generally spanning the diameter of the chamber and coupled to respective pairs of the ports. The method further includes injecting oxygen gas through the conduit ports into the chamber to establish an axially downward flow pattern of oxygen gas in the chamber. RF power is coupled into the interior of each of the conduits to generate a toroidal plasma current of SixOy species passing through the chamber to deposit a seasoning layer of a SixOy material on surfaces within the chamber, while leaving the pedestal without a wafer so as to expose a wafer support surface of the pedestal. Upon completion of seasoning layer deposition, a wafer is placed on the pedestal. Ion implantation precursor gases are introduced into the chamber through a gas distribution plate that extends across the ceiling. Plasma immersion ion implantation is performed by coupling RF power into the interior of each of the conduits to generate a toroidal plasma of implant species current passing through the chamber so as to implant the implant species into the wafer. The method can further include applying RF bias power to an electrode underlying the wafer to produce a plasma sheath bias voltage on the order of kilovolts.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited embodiments of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a cut-away side view of a plasma reactor in accordance with one aspect.
  • FIG. 2 is a cut-away top view corresponding to FIG. 1.
  • FIG. 3 is a simplified diagram corresponding to FIG. 1 showing the gas flow pattern by species.
  • FIG. 4 is a graph depicting the variations in stochiometry of a seasoning layer controlled in accordance with the gas flow patterns of FIG. 3.
  • FIG. 5 is a diagram depicting a process performed by the reactor of FIG. 1.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In order to minimize or prevent metal contamination from occurring during the P3i process, the chamber interior surfaces can be coated with a non-metallic “seasoning” film prior to the plasma immersion ion implantation and prior to introduction of the wafer into the chamber. The ideal thickness of the seasoning film, at which metal contamination is reduced below specified limits, is readily determined using empirical methods. Typically, the minimum thickness is on the order of 1000 Å, although better result are obtained at more ideal thicknesses, such as 2000 Å. The seasoning film must be removed following the P3i process and thereafter replaced because some of the film is removed—or its thickness reduced—in a non-uniform manner during the P3i process, so that it may not offer adequate protection from metal contamination during a subsequent P3i step. This is particularly true of cases in which the ion implantation plasma is formed of a gas including a fluoride compound of the ion implantation species. The seasoning film removal step can be carried out by filling the chamber with seasoning-removal gas species obtained from an external (“downstream”) plasma source. Such gases may be corrosive species such as fluorine-containing compounds, for example.
  • The seasoning film is deposited using a high density plasma enhanced chemical vapor deposition (HDPCVD) process by introducing a silicon-containing gas (e.g., silane) and oxygen gas into the reactor chamber and igniting a plasma. Radicals, neutrals and/or ions of silicon-oxygen compounds are formed in the plasma, which deposit on the interior chamber surfaces to form a thin film or coating of SiO2 and/or SixOy, for example. The problem is that the thickness of the seasoning film is highly non-uniform because of non-uniformities in gas flow, non-uniform RF power or field distribution throughout the chamber and obstructions offered by some mechanical features in the chamber interior. For chamber surfaces in areas of lower gas flow, where the seasoning film deposition rate is slowest, the minimum required seasoning film thickness (e.g., 1000 Å) is not reached until an excessive seasoning film thickness (e.g., 12,000 Å) is reached in other areas of high gas flow and the highest deposition rates. The result is that the seasoning deposition step takes longer than it should—depressing productivity. Moreover, the post-implant seasoning removal process exposes the chamber interior surfaces where the seasoning film was thinnest (1000 Å) well before removal of the thicker (12,000 Å) portions of the seasoning film. The chamber surfaces first exposed during the post-implant seasoning removal step are therefore attacked by the cleaning gases during the remainder of the cleaning step, shortening the life of those components and increasing the operating cost of the reactor.
  • A related problem arises from the non-uniform distribution of the removal rate of the seasoning film during the P3i process and during the post-implant seasoning removal (clean) process. The removal rate during the P3i step is not uniform, because the P3i plasma is concentrated primarily in the wafer-ceiling gap or process zone. In cases, for example, where the seasoning precursor gas is introduced from the chamber bottom, bottom-facing surfaces of some chamber components, such as radial struts supporting the wafer pedestal, receive the thickest coating. Unfortunately, these surfaces face away from the main plasma (i.e., away from the wafer-ceiling gap) and therefore receive little ion bombardment, and are therefore the least reduced in thickness during the P3i step. Having started out with the greatest seasoning thickness and then having been attacked the least during the P3i step, such surfaces bear a disproportionately thick seasoning film and are therefore difficult to clean without undue exposure of other chamber surfaces to corrosive cleaning gases.
  • FIG. 1 illustrates a toroidal source plasma reactor for plasma immersion ion implantation having gas distribution features that solve the foregoing problems. These gas distribution features are used during the pre-implant seasoning deposition step to form a seasoning film throughout the chamber interior that is highly uniform. Some of the features may be used to control the stochiometry of the seasoning film to achieve desired characteristics, as will be discussed herein.
  • The reactor of FIG. 1 includes a cylindrical chamber 100 defined by a cylindrical side wall 102, a ceiling 104 and a floor 106. A wafer support pedestal 108 includes an electrostatic chuck 110 having a wafer support surface for holding a semiconductor wafer 112. The ceiling 104 has two pairs of openings 114 to which respective mutually orthogonal external reentrant conduits 116, 118 are coupled. Each conduit 116, 118 completes a closed reentrant path for an oscillating plasma current passing through the process region defined by the gap between the pedestal 108 and the ceiling 104. The ceiling 104 is a gas distribution plate having an array of gas injection orifices 120 facing the chamber interior and an interior gas distribution manifold 122. Optionally, the manifold 122 may be divided into radially inner and outer portions 122 a, 122 b, to establish independent inner and outer gas injection zones (or groups) 124 a, 124 b of the orifices 122. In this case, a pair of separately controlled gas supplies 126 a, 126 b are coupled to the inner and outer manifolds 122 a, 122 b. A pair of plasma RF source power generators 128, 130 are coupled to apply RF power to the interiors of respective ones of the conduits 116, 118 via respective impedance matches 132, 134 and power applicators 136, 138. Each power applicator 136, 138 may be of the same structure which consists of a magnetically permeable core or ring 140 wrapped around the respective conduit 116 or 118, and a conductive coil 142 wrapped around the ring 140. The electrostatic chuck (ESC) 110 consists of a conductive electrode 110 a and an insulator layer 110 b in which the electrode 110 a is contained. An RF bias power generator 142 is coupled to the ESC electrode 110 a through an impedance match 144. A D.C. chuck voltage supply 146 is coupled to the ESC electrode 110 a. The pedestal 108 is supported on three radial struts 150-1, 150-2, 150-3, best shown in FIG. 2, that extend inwardly from the side wall 102 and underneath the pedestal 108.
  • During plasma immersion ion implantation, an implant species precursor gas, such as a boron fluoride or a boron hydride in the case of a boron implantation step, is injected through the ceiling gas distribution plate 104 while plasma source power is applied by the generators 128, 130 to produce an oscillating closed plasma current in the reentrant path through the process region overlying the wafer. For this purpose, an ion implantation process gas supply 180 is coupled to the inner and outer gas manifolds 122 a, 122 b of the gas distribution plate 104. Optionally, the RF generator 142 applies bias power to the ESC 110 to control ion energy and (hence) implant depth. The gas distribution plate 104 is optimized for uniform gas distribution across the surface of the wafer or wafer support surface of the ESC 110, but is not structured for uniform gas distribution throughout the chamber interior. Therefore, the gas distribution plate 104 is not, by itself, suitable for use in depositing the seasoning film throughout the chamber.
  • The gas distribution features that provide for a uniform seasoning film in the chamber include a center array of gas injection orifices 202 along the side wall of the pedestal 108 for injecting the silicon-containing gas. The center array of orifices 202 is formed in a hollow gas distribution ring 200 supported on the side wall of the pedestal 108 and extending around the periphery of the pedestal. In the illustrated embodiment, the pedestal 108 supports an electrostatic chuck (ESC) 110. The ESC includes a conductive base 204 underlying the insulating layer 110. The base 204 may include internal features for utilities such as coolant passages and backside gas flow passages (not shown). In the illustrated embodiment, the gas distribution ring 200 is attached to the outer periphery of the base 204. The gas injection orifices 202 on the ring 200 may be oriented at an angle A relative to the horizontal wafer plane so as to inject the silicon-containing gas at an upward direction. This promotes better deposition on upward facing surfaces of interior chamber features, such as the wafer support surface of the ESC 110 and the top surfaces of the radial struts 150, for example. A silicon-containing (e.g., silane) gas supply 206 is connected to the hollow interior of the gas distribution ring 200.
  • The oxygen gas is injected during the seasoning film deposition step through the four conduit ports 114 in the ceiling 104. For this purpose, conduit injection orifices 210 inject gas into the conduits 116, 118 near each of the conduit ports 114. An oxygen gas supply 212 is coupled to each of the conduit injection orifices. Oxygen injection through the conduit ports 114 promotes a more oxygen-rich gas mixture near the sides of the chamber and, therefore, a more silicon-rich gas mixture over the center of the chamber, i.e., over the wafer support surface of the ESC 110. This is because the conduit ports 114 are all located near the periphery of the ceiling 104.
  • During the pre-implant seasoning film deposition step, the wafer 112 is absent and no implant process gases are supplied to the gas distribution plate 104. Therefore, the gas distribution plate 104 is available for use during the seasoning film deposition step. Optionally, the gas distribution plate 104 may be exploited during the seasoning film deposition to control the thickness distribution and the stochiometry distribution of the seasoning layer. For example, a further increase in the silicon content of the gases over the center of the chamber (over the pedestal 108) can be realized by injecting the silicon-containing gas (silane) through the center (inner) gas distribution zone 124 of the gas distribution plate 104. For this purpose, the inner zone gas supply 126 a stores silane, for example. To promote a thicker film on upward facing surface without detracting from the predominance of silicon-containing gas at the center, oxygen gas could be injected through the outer gas injection zone 124 b of the gas distribution plate 104. In this case, the outer zone gas supply 126 b stores oxygen gas. The proportion of silicon to oxygen in the center and periphery of the chamber is controlled or affected by the different gas flow rates to the inner and outer zones 122 a, 122 b as well as the gas flow rates to the conduit injection orifices 210 and to the gas distribution ring 200. FIG. 3 summarizes the foregoing gas flows by species from each of the gas injection elements of the reactor of FIG. 1.
  • The position (e.g., axial height) of the gas distribution ring 200 and the angle A of the orifices 202 of the gas distribution ring 200 can be adjusted to achieve a desired uniformity of the coating of SixOy. Oxygen and SiH4 can be supplied at a controlled rate to the distribution ring 200 for injection through the orifices 202 in order to control the stochiometry of the coating or seasoning deposition.
  • We have found that the foregoing features solve the problem non-uniform seasoning deposition. Whereas prior to the invention the seasoning thickness varied from a minimum of about 1000 Å on some upward facing surfaces to a maximum of about 12,000 Å on some downward facing surfaces, the invention produces a much smaller variation in seasoning thickness, permitting us to establish a much greater minimum thickness (of about 2000 Å) without exceeding a maximum of about 3000-4000 Å. This greater minimum thickness is achieved in a much shorter deposition time, while the removal step is performed very quickly, thus increasing throughput.
  • FIG. 4 is a graph depicting a desired radial distribution of Si—O stochiometry of the seasoning layer that can be controlled with the foregoing gas distribution features. Specifically, by providing more silane (silicon-containing) gas over the wafer pedestal 108 and more oxygen gas at the periphery, the stochiometry of the SixOy seasoning film (i.e., the ratio x:y) is distributed so as to have a silicon-rich proportion at the center and a silicon-lean proportion at the periphery. The advantage is that a material with a higher conductivity is provided where it is needed, i.e., on the ESC 110. The higher conductivity of the silicon-rich mixture covering the ESC 110 enables the electric charge on the wafer to be removed more rapidly during de-chucking of the wafer, leading the better throughput or productivity. By providing a more conductive path for charge on the wafer to dissipate, the electrostatic clamping force holding the wafer to the ESC 110 is more rapidly removed when the D.C. chucking voltage source is switched off to dechuck the wafer. In FIG. 4, the proportion (x) of silicon is maximum over the pedestal 108 while the proportion (y) of oxygen is minimum in the same area. The relationship is reversed at the periphery, as indicated in the graph of FIG. 4. A nominal value for x is 1 while a nominal value for y is 2. The stochiometric variation illustrated in the graph of FIG. 4 between the radially inner and outer regions of the deposited seasoning film is increased by increasing the flow of the silicon-containing gas to the center (e.g., through the gas distribution plate 104 or through the gas distribution ring 200) relative to the oxygen gas flow to the periphery (e.g., through the conduit ports 114).
  • FIG. 5 depicts a process involving pre-implant seasoning deposition, plasma immersion ion implantation and post-implant cleaning or seasoning removal. The entire cycle begins with no wafer on the pedestal 108 (block 250 of FIG. 5). For pre-implant seasoning deposition, a silicon-containing gas (e.g., silane) is injected through gas injection orifices 202 around the side of the pedestal 108 (block 252) and oxygen gas is injected through the ceiling ports 114 of the external reentrant conduits 116, 118 (block 254). An optional step (block 256) is to inject either silane or oxygen through ceiling gas distribution plate 104. In the case of silane, the flow rate is adjusted to achieve about a 2-10% enhancement of the silicon content of the seasoning layer deposited on the ESC 110 over the nominal 1:2 silicon-to-oxygen ratio of silicon dioxide. A plasma is generated (by applying RF power either to the applicators 136, 138 or to the ESC electrode 110 a, to deposit a SixOy seasoning film on chamber interior surfaces (block 258). An optional step (block 260) is to adjust gas flow (e.g., either silane or oxygen) through ceiling gas distribution plate 104 to achieve desired enrichment of silicon proportion (x:y) of seasoning film on the wafer support surface of the electrostatic chuck, in accordance with FIG. 4. Then, the seasoning deposition process is stopped after desired seasoning film thickness (e.g., 2000 Å) has been reached (block 262). A wafer is placed onto the pedestal 108 (block 264). Plasma immersion ion implantation is performed (block 266) by introducing an implant species-containing process gas and applying RF source power to the conduit RF power applicators 136, 138. During this step, ion energy (implant depth) may be controlled by applying RF bias power to the ESC electrode 110 a from the generator 142. Upon completion of the implant step, wafer is removed (block 268). The seasoning film is removed (block 270) by introducing a seasoning layer etch gas from a downstream plasma source, for example.
  • While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (14)

1. A method for performing plasma immersion ion implantation in a reactor chamber having a ceiling and a cylindrical side wall and a wafer support pedestal facing the ceiling, comprising:
providing a gas distribution ring with plural gas injection orifices on a periphery of a wafer support pedestal, said orifices facing radially outwardly from said wafer support pedestal;
introducing a silicon-containing gas through the gas distribution orifices of said ring to establish a radially outward flow pattern of said silicon-containing gas;
providing pairs of conduit ports in said ceiling adjacent the side wall at opposing sides thereof and providing respective external conduits generally spanning the diameter of said chamber and coupled to respective pairs of said ports;
injecting oxygen gas through the conduit ports into said chamber to establish an axially downward flow pattern of oxygen gas in the chamber;
coupling RF power into the interior of each of said conduits to generate a toroidal plasma current of SixOy species passing through said chamber to deposit a layer of a SixOy material on surfaces within said chamber, while leaving said pedestal without a wafer so as to expose a wafer support surface of the pedestal;
placing a wafer on the pedestal;
introducing ion implantation precursor gases into the chamber through a gas distribution plate that extends across the ceiling; and
coupling RF power into the interior of each of said conduits to generate a toroidal plasma of implant species current passing through said chamber so as to implant said implant species into said wafer.
2. The method of claim 1 further comprising applying RF bias power to an electrode to produce a plasma sheath bias voltage on the order of kilovolts.
3. The method of claim 1 wherein said pedestal comprises an electrostatic chuck providing a wafer support surface, said method further comprising enhancing the electrical conductivity of the portion of the seasoning layer deposited on said wafer support surface sufficiently to provide a conductive path for discharging the wafer to electrostatically de-clamp the wafer from the wafer support surface.
4. The method of claim 3 wherein the step of enhancing the electrical conductivity comprises adjusting the flow rates of said silicon-containing gas and said oxygen gas in said chamber so as to deposit a silicon-rich form of SixOy on the wafer support surface of said pedestal and an oxygen-rich form of SixOy on chamber surfaces near said side wall.
5. The method of claim 4 wherein the step of adjusting comprises injecting a silicon-containing gas through the gas distribution plate.
6. The method of claim 1 further comprising orienting said orifices of said gas distribution ring in a direction toward the wafer support plane of said pedestal.
7. The method of claim 5 wherein said gas distribution plate comprises inner and outer gas injection zones, said silicon-containing gas being injected through said inner gas injection zone, said process further comprising injecting oxygen gas through said outer injection zone.
8. A method for performing plasma immersion ion implantation in a reactor chamber having a ceiling and a cylindrical side wall and a wafer support pedestal facing the ceiling, comprising:
introducing a silicon-containing gas through radially facing gas distribution orifices at the side of a gas distribution pedestal to establish a radially outward flow pattern of said silicon-containing gas;
injecting oxygen gas through conduit ports of reentrant conduits of said chamber to establish an axially downward flow pattern of oxygen gas in a peripheral region of the chamber;
coupling RF power into the interior of each of said conduits to generate a toroidal plasma current of SixOy species passing through said chamber to deposit a layer of a SixOy material on surfaces within said chamber, while leaving said pedestal without a wafer so as to expose a wafer support surface of the pedestal;
placing a wafer on the pedestal;
introducing ion implantation precursor gases into the chamber through a gas distribution plate that extends across the ceiling; and
coupling RF power into the interior of each of said conduits to generate a toroidal plasma of implant species current passing through said chamber so as to implant said implant species into said wafer.
9. The method of claim 8 further comprising applying RF bias power to an electrode to produce a plasma sheath bias voltage on the order of kilovolts.
10. The method of claim 8 wherein said pedestal comprises an electrostatic chuck providing a wafer support surface, said method further comprising enhancing the electrical conductivity of the portion of the seasoning layer deposited on said wafer support surface sufficiently to provide a conductive path for discharging the wafer to electrostatically de-clamp the wafer from the wafer support surface.
11. The method of claim 10 wherein the step of enhancing the electrical conductivity comprises adjusting the flow rates of said silicon-containing gas and said oxygen gas in said chamber so as to deposit a silicon-rich form of SixOy on the wafer support surface of said pedestal and an oxygen-rich form of SixOy on chamber surfaces near said side wall.
12. The method of claim 11 wherein the step of adjusting comprises injecting a silicon-containing gas through the gas distribution plate.
13. The method of claim 8 further comprising angling said radially outwardly facing orifices in an upward direction toward the wafer support plane of said pedestal whereby to establish an axial component in said radially outward flow pattern.
14. The method of claim 12 wherein said gas distribution plate comprises inner and outer gas injection zones, said silicon-containing gas being injected through said inner gas injection zone, said process further comprising injecting oxygen gas through said outer injection zone.
US11/748,783 2007-05-15 2007-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor Expired - Fee Related US7691755B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/748,783 US7691755B2 (en) 2007-05-15 2007-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
JP2008127472A JP2009004755A (en) 2007-05-15 2008-05-14 Plasma immersion ion implantation in highly uniform chamber seasoning process for toroidal source reactor
CN2008100975877A CN101308784B (en) 2007-05-15 2008-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR1020080045088A KR100993104B1 (en) 2007-05-15 2008-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
TW097117849A TW200915396A (en) 2007-05-15 2008-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/748,783 US7691755B2 (en) 2007-05-15 2007-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor

Publications (2)

Publication Number Publication Date
US20080286982A1 true US20080286982A1 (en) 2008-11-20
US7691755B2 US7691755B2 (en) 2010-04-06

Family

ID=40027953

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/748,783 Expired - Fee Related US7691755B2 (en) 2007-05-15 2007-05-15 Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor

Country Status (5)

Country Link
US (1) US7691755B2 (en)
JP (1) JP2009004755A (en)
KR (1) KR100993104B1 (en)
CN (1) CN101308784B (en)
TW (1) TW200915396A (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090197401A1 (en) * 2008-02-06 2009-08-06 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US20090233385A1 (en) * 2006-10-25 2009-09-17 Panasonic Corporation Plasma Doping Method and Plasma Doping Apparatus
US20100267224A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8320191B2 (en) 2007-08-30 2012-11-27 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
US8648536B2 (en) 2009-09-01 2014-02-11 Ihi Corporation Plasma light source
US9000402B2 (en) 2009-09-01 2015-04-07 Ihi Corporation LPP EUV light source and method for producing the same
CN104810238A (en) * 2014-01-23 2015-07-29 北京北方微电子基地设备工艺研究中心有限责任公司 Gas homogenizing structure and plasma system
US20150332895A1 (en) * 2014-05-15 2015-11-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20150357164A1 (en) * 2014-06-06 2015-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US20160300713A1 (en) * 2015-04-09 2016-10-13 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
WO2019032708A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Microwave reactor for deposition or treatment of carbon compounds
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US20190295826A1 (en) * 2010-10-15 2019-09-26 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
WO2020101838A1 (en) * 2018-11-16 2020-05-22 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI753135B (en) * 2017-05-17 2022-01-21 日商日本新工芯技股份有限公司 Ring for protection materials
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
KR102654243B1 (en) 2015-04-09 2024-04-04 램 리써치 코포레이션 Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102296275B (en) * 2010-06-25 2013-10-16 中国科学院微电子研究所 Method for uniformly injecting ions into substrate
CN103165377B (en) * 2011-12-12 2016-02-03 中国科学院微电子研究所 A kind of plasma immersion injection electrode structure
CN103915307B (en) * 2012-12-31 2016-08-17 中微半导体设备(上海)有限公司 Plasma processing chamber and the gas injection apparatus for this plasma processing chamber
JP6473131B2 (en) * 2013-03-12 2019-02-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Multi-zone gas injection assembly with azimuthal and radial distribution control
CN104576280B (en) * 2013-10-23 2017-10-20 中微半导体设备(上海)有限公司 Plasma process chamber and its de-clamping apparatus and method
CN105529237B (en) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 Gas flow guiding ring, gas supply device and plasma processing apparatus
JP6804280B2 (en) * 2016-12-07 2020-12-23 東京エレクトロン株式会社 Plasma processing equipment and plasma processing method
CN112376029B (en) * 2020-11-11 2022-10-21 北京北方华创微电子装备有限公司 Plasma immersion ion implantation apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6125788A (en) * 1994-07-18 2000-10-03 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, reduced chamber diameter and reduced RF wafer pedestal diameter
US6197123B1 (en) * 1997-12-18 2001-03-06 Texas Instruments Incorporated Method for cleaning a process chamber used for manufacturing substrates during nonproduction intervals
US20030180459A1 (en) * 1997-03-27 2003-09-25 Applied Materials, Inc. Technique for improving chucking reproducibility
US20050191827A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6125788A (en) * 1994-07-18 2000-10-03 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, reduced chamber diameter and reduced RF wafer pedestal diameter
US20030180459A1 (en) * 1997-03-27 2003-09-25 Applied Materials, Inc. Technique for improving chucking reproducibility
US6197123B1 (en) * 1997-12-18 2001-03-06 Texas Instruments Incorporated Method for cleaning a process chamber used for manufacturing substrates during nonproduction intervals
US20050191827A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090233385A1 (en) * 2006-10-25 2009-09-17 Panasonic Corporation Plasma Doping Method and Plasma Doping Apparatus
US7939388B2 (en) * 2006-10-25 2011-05-10 Panasonic Corporation Plasma doping method and plasma doping apparatus
US8320191B2 (en) 2007-08-30 2012-11-27 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
US9030877B2 (en) 2007-08-30 2015-05-12 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
US8168519B2 (en) 2008-02-06 2012-05-01 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US20110207307A1 (en) * 2008-02-06 2011-08-25 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US7968439B2 (en) * 2008-02-06 2011-06-28 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US20090197401A1 (en) * 2008-02-06 2009-08-06 Applied Materials, Inc. Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
US8642128B2 (en) * 2009-04-20 2014-02-04 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
US20100267224A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Enhanced scavenging of residual fluorine radicals using silicon coating on process chamber walls
US8648536B2 (en) 2009-09-01 2014-02-11 Ihi Corporation Plasma light source
US9000402B2 (en) 2009-09-01 2015-04-07 Ihi Corporation LPP EUV light source and method for producing the same
US20190295826A1 (en) * 2010-10-15 2019-09-26 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10658161B2 (en) 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US11488812B2 (en) * 2010-10-15 2022-11-01 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9302348B2 (en) 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
TWI497600B (en) * 2011-06-07 2015-08-21 Ultratech Inc Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
CN104810238A (en) * 2014-01-23 2015-07-29 北京北方微电子基地设备工艺研究中心有限责任公司 Gas homogenizing structure and plasma system
US20150332895A1 (en) * 2014-05-15 2015-11-19 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US9601318B2 (en) * 2014-05-15 2017-03-21 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US20150357164A1 (en) * 2014-06-06 2015-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US9613819B2 (en) * 2014-06-06 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Process chamber, method of preparing a process chamber, and method of operating a process chamber
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US20160300713A1 (en) * 2015-04-09 2016-10-13 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
KR102654243B1 (en) 2015-04-09 2024-04-04 램 리써치 코포레이션 Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
TWI753135B (en) * 2017-05-17 2022-01-21 日商日本新工芯技股份有限公司 Ring for protection materials
WO2019032708A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Microwave reactor for deposition or treatment of carbon compounds
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11365479B2 (en) 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
WO2020101838A1 (en) * 2018-11-16 2020-05-22 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
CN112335017A (en) * 2018-11-16 2021-02-05 玛特森技术公司 Chamber seasoning to improve etch uniformity by reducing chemical composition
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US11626269B2 (en) 2018-11-16 2023-04-11 Beijing E-Town Semiconductor Technology Co., Ltd Chamber seasoning to improve etch uniformity by reducing chemistry

Also Published As

Publication number Publication date
KR20080101740A (en) 2008-11-21
KR100993104B1 (en) 2010-11-08
CN101308784A (en) 2008-11-19
CN101308784B (en) 2012-06-20
US7691755B2 (en) 2010-04-06
TW200915396A (en) 2009-04-01
JP2009004755A (en) 2009-01-08

Similar Documents

Publication Publication Date Title
US7691755B2 (en) Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
JP7180999B6 (en) Semiconductor processing chamber for multiple precursor streams
US8097120B2 (en) Process tuning gas injection from the substrate edge
US9941113B2 (en) Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication
JP2023025029A (en) Semiconductor processing chamber improving flow of precursor
JP7259017B2 (en) Semiconductor substrate support with embedded RF shield
TWI301730B (en)
US5539609A (en) Electrostatic chuck usable in high density plasma
KR101160006B1 (en) Plasma immersion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
JP2015225856A (en) Gas distribution apparatus and substrate processing apparatus including the same
JP2009004755A5 (en)
TWI601181B (en) System for the processing of an object by plasma, selective plasma processing process of a composite object, and etched composite object obtained by the same process
KR20200089342A (en) Geometrically selective deposition of dielectric films using low frequency bias
KR20160134908A (en) Substrate processing apparatus
US11495442B2 (en) Batch type substrate processing apparatus
KR101632376B1 (en) Substrate processing apparatus
US11610766B2 (en) Target object processing method and plasma processing apparatus
KR101614032B1 (en) Substrate processing apparatus
KR20110069490A (en) Method for chucking/dechucking of semiconductor substrate, apparatus and method for manufacturing of semiconductor device using the same
CN110622282B (en) Deposition of metal silicide layers on substrates and chamber components
JP4896861B2 (en) Semiconductor manufacturing method and semiconductor manufacturing apparatus
KR20230069542A (en) Apparatus and method for processing substrate
JP2016021434A (en) Stencil mask, plasma processing apparatus and plasma processing method
KR20090029914A (en) Semiconductor etching device and method and electro static chuck of the same device
KR20170133669A (en) Substrate processing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LI, SHIJIAN;PANG, LILY L.;FOAD, MAJEED A.;AND OTHERS;REEL/FRAME:019295/0792;SIGNING DATES FROM 20070419 TO 20070510

Owner name: APPLIED MATERIALS, INC.,CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LI, SHIJIAN;PANG, LILY L.;FOAD, MAJEED A.;AND OTHERS;SIGNING DATES FROM 20070419 TO 20070510;REEL/FRAME:019295/0792

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20220406