US20080318505A1 - Chemical mechanical planarization pad and method of use thereof - Google Patents

Chemical mechanical planarization pad and method of use thereof Download PDF

Info

Publication number
US20080318505A1
US20080318505A1 US11/968,442 US96844208A US2008318505A1 US 20080318505 A1 US20080318505 A1 US 20080318505A1 US 96844208 A US96844208 A US 96844208A US 2008318505 A1 US2008318505 A1 US 2008318505A1
Authority
US
United States
Prior art keywords
polishing
pad
layer
guide layer
polishing elements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/968,442
Inventor
Rajeev Bajaj
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiquest Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2005/035978 external-priority patent/WO2006057720A1/en
Priority claimed from PCT/US2005/035660 external-priority patent/WO2006057713A2/en
Priority claimed from US11/562,310 external-priority patent/US7815778B2/en
Priority claimed from US11/562,346 external-priority patent/US20070224925A1/en
Priority claimed from US11/697,622 external-priority patent/US7846008B2/en
Priority claimed from US11/846,304 external-priority patent/US20090061744A1/en
Priority to US11/968,442 priority Critical patent/US20080318505A1/en
Application filed by Individual filed Critical Individual
Assigned to SEMIQUEST INC. reassignment SEMIQUEST INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAJAJ, RAJEEV
Assigned to 3M IPC reassignment 3M IPC SECURITY AGREEMENT Assignors: SEMIQUEST, INC.
Publication of US20080318505A1 publication Critical patent/US20080318505A1/en
Assigned to 3M INNOVATIVE PROPERTIES COMPANY reassignment 3M INNOVATIVE PROPERTIES COMPANY SECURITY AGREEMENT Assignors: SEMIQUEST, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved

Definitions

  • the present invention relates to the field of chemical mechanical planarization (CMP) and to a CMP polishing pad utilized in CMP processing on web platform, in one instance a pad having uniform or near uniform polishing performance across its surface.
  • CMP chemical mechanical planarization
  • CMP chemical mechanical planarization
  • Damascene processing requires CMP to remove metals, such as tungsten or copper, from the top surface of a dielectric to define interconnect structures.
  • planarization/polishing performance of a polishing pad and slurry combination is impacted by, among other things, the mechanical properties and slurry distribution ability of the polishing pad and the chemical properties and distribution of the slurry.
  • a polishing pad may be porous and/or include grooves to distribute the slurry across its surface. However, this reduces the overall strength of the polishing pad, making it more flexible and thus reducing its planarization characteristic.
  • hard (i.e., stiff) pads provide good planarization, but are associated with poor with-in wafer non-uniformity (WIWNU) film removal.
  • Soft (i.e., flexible) pads provide polishing with good WIWNU film removal characteristics, but poor planarization characteristics. In conventional CMP systems, therefore, harder pads are often placed on top of softer pads to improve WIWNU. Nevertheless, this approach tends to degrade planarization performance when compared to use of a hard pad alone.
  • FIG. 1A illustrates “dishing” as a result of applying a flexible polishing pad to wafer 100 .
  • the flexible polishing pad provides for a smooth surface but creates dishing 106 by over-polishing softer elements, such as copper layer 104 , on the surface of substrate 102 .
  • dishing is an undesirable loss of metal thickness, leading to poor device performance.
  • Dishing can be reduced or eliminated through the use of a stiffer polishing pad, which can provide greater planarization.
  • Pads may be made stiffer by reducing the number of pores and/or grooves in the pad, however, this can lead to different consequences, for example poor slurry distribution.
  • the net effect may be to increase the number of surface defects 108 on the substrate 102 and/or copper layer 104 (e.g., by scratching and/or pitting the surface/layer), as shown for example in FIG. 1B which illustrates surface defects 108 that may result from application of a relatively stiff polishing pad to wafer 100 .
  • FIG. 1C shows a cross-section of a wafer 100 ′ having multiple dies thereon. Assume that a copper layer is present on the top surface of wafer 100 ′ and that FIG. 1C illustrates the wafer after CMP polishing with a hard pad has occurred. As can be seen, for those dies closer to the center of wafer, the effects of dishing 110 , 114 and erosion 112 , 116 are less severe than for dies near the edge of the wafer. This is due to the fact that the hard pad must compensate for WIWNU by over-polishing the dies that clear first (i.e., those near the edge of the wafer 100 ).
  • FIG. 1D illustrates the surface of a post-CMP wafer 100 ′′ after polishing with a stacked pad (i.e., one in which a hard pad is placed over a softer pad).
  • a stacked pad i.e., one in which a hard pad is placed over a softer pad.
  • the dishing and erosion of the features at center and edge of the wafer is more severe than occurs near the center of the wafer 100 ′ illustrated in FIG. 1C , but less so than occurs near the edge thereof. This is due to the fact that while the softer under-pad degrades planarization, polishing is more uniform, leading to more consistent overall performance across the entire surface of the wafer.
  • polishing pads are typically made of urethanes, either in cast form and filled with micro-porous elements or from non-woven felt coated with polyurethanes.
  • the pad surface undergoes deformation due to polishing forces.
  • the pad surface therefore has to be “regenerated” through a conditioning process.
  • the conditioning process involves pressing a fine, diamond covered disc against the pad surface while the pad is rotated much like during the polishing processes.
  • the diamonds of the conditioning disc cut through and remove the top layer of the polishing pad, thereby exposing a fresh polishing pad surface underneath.
  • FIG. 2A illustrates a side cutaway view of a new polishing pad 200 .
  • Polishing pad 200 contains microelements 204 and grooves 206 , much like those found in commercially available polishing pads such as the IC1000 of Rhom & Haas, Inc.
  • FIG. 2B shows the surface 202 of polishing pad 200 after polishing. The top surface of the pad shows degradation 208 , especially around the microelements 204 where the edges are degraded due to plastic or viscous flow of the bulk urethane material.
  • FIG. 2C shows the surface 202 of the polishing pad after a conditioning process has been completed. Note the depth of grooves 206 is lower than was the case for the new pad illustrated in FIG. 2A due to material removal during conditioning.
  • web processing One method to achieve stable polish performance and increase productivity is known as web processing.
  • a roll of pad is supplied to the polish table through a series of rollers, notably a feed roller and an uptake roller.
  • CMP machines which use web pads and details of processing wafers with this process are discussed in U.S. Pat. Nos. 5,335,453, 6,244,935, 6,379,231, and 6,398,630.
  • U.S. Pat. No. 5,335,453 describes a machine which uses a feed roller containing new pad material and a uptake roller containing used pad material.
  • a polish table is positioned between the two rollers. The portion of pad material currently in use is situated over the polish table for polishing wafer substrates and to effect material removal therefrom.
  • U.S. Pat. No. 6,244,935 describes a rotary polish table which also contains a feed roller and an uptake roller to enable polishing in much the same fashion as a conventional rotary polish system while allowing a web pad to be installed on the rollers for longer use.
  • a new pad roll is mounted onto the feed roller, applied across the polish table, which contains multiple vacuum channels, and fed onto the uptake roller.
  • the pad is advanced by a predetermined amount such that a segment of fresh pad (i.e., a fraction of the total pad) is fed over the polish table and a corresponding segment of used pad is removed by uptake onto the uptake roller.
  • polishing pads can be formed into rolls for use with web processing CMP equipment.
  • stiff pads such as IC pads supplied by Rohm & Haas
  • CMP pads for planarization offered by other manufacturers such as the D100 pads offered by Cabot Corp. and the FASTTM polishing pads produced by PPG Industries, have similar limitations. There are, therefore, significant constraints with respect to implementing web-style CMP equipment architectures.
  • a polishing pad which, while being capable of polishing like a hard pad, can be formed into a roll that can be installed onto conventional web-style CMP polishing equipment.
  • a polishing pad configured in accordance with an embodiment of the present invention includes a sheet of guide layer having optionally affixed thereto a porous slurry distribution layer on one side and a flexible under-layer on the other side.
  • a plurality of polishing elements inter-digitated with one another through the slurry distribution layer and the guide layer, so as to be maintained in planar orientation with respect to one other and the guide layer, are affixed to the flexible under-layer with each polishing element protruding above the surface of the guide layer to which the optional slurry distribution layer is adjacent.
  • the collective materials can be rolled onto a cylindrical support
  • the guide layer of the polishing pad may be made of a MylarTM or polycarbonate or other suitable polymer material and includes holes in which individual polishing elements are accommodated.
  • the polishing elements may have any shape such as circular, triangular, square, polygon or any other shape. A combination of shapes and sizes maybe used on the same pad roll.
  • the polishing elements may be made from solid or micro-porous polymer and may also include a metal oxide material.
  • the polishing elements may be made from a polymer, such as polyurethane, ABS, SAN, or poly acrylonitrile, and may include micro-pores or a metal oxide, such as aluminum oxide, silicon dioxide, and/or titanium dioxide.
  • One or more of the polishing elements may be fashioned so as to have a cylindrical body, with or without a circular base having a diameter larger than that of the cylindrical body.
  • the top surface of the polishing elements may be flat or have a predetermined pattern incorporating micro-features.
  • the under-layer may be made from polyurethane or other flexible materials such as PVA, TeflonTM, polyethylene, PVDF, neoprene, styrene butadiene rubber, or EPDM.
  • the composite pad is preferably used in conjunction with a compressible foam to enable full movement of the polishing elements in a direction orthogonal to a plane defined by the guide layer.
  • FIGS. 1A-1D illustrate the effects of dishing and erosion due to inconsistent planarization across a wafer during CMP operations.
  • FIGS. 2A-2C illustrate examples of pad wear experienced by conventional polishing pads.
  • FIG. 3A is a cut-away side view of a polishing pad configured in accordance with one embodiment of the present invention for use in CMP operations.
  • FIG. 3B illustrates a polishing pad similar to that shown in FIG. 3A , but which includes a compressible under layer in accordance with a further embodiment of the present invention.
  • FIG. 3C is a side view of a polishing pad configured in accordance with an embodiments of the present invention and employed in a web-style polishing apparatus.
  • FIG. 3D is a side view of a polishing pad configured in accordance with an embodiment of the present invention with a wafer in proximity thereto.
  • FIG. 4 is a top view of a polishing pad having inter-digitated polishing elements between which slurry may flow in accordance with still another embodiment of the present invention.
  • FIG. 5 is a diagram showing a sequence for manufacturing a polishing pad suited for use with web-style polishing apparatus in accordance with an embodiment of the present invention.
  • Described herein are improved CMP polishing pads formed in sheets suitable for web-style CMP processing equipment, methods of manufacturing same, and processes for polishing semiconductor wafers and structures layered thereon, including metal damascene structures, using such polishing pads.
  • a more flexible polishing pad produces dishing while a harder pad (with reduced slurry distribution ability) produces more surface defects.
  • pads applied in web-form provide more uniform processing performance and higher productivity in CMP processes.
  • Conventional hard pads cannot be provided in roll form for such web-style applications, as the hardness of polishing layer limits the ability to physically roll the pad without damaging it.
  • the pad design disclosed herein overcomes this limitation and enables higher productivity.
  • polishing pad configurations e.g., with specific examples of geometric ranges, ratios, and materials
  • polishing processes are described herein, it should be appreciated that the present invention can be equally applied to encompass other types of polishing pad fabrication materials and deposition removal techniques. Stated differently, the use of such other materials and techniques are deemed to be within the scope of the present invention as reflected in the claims following this description.
  • a polishing pad configured in accordance with the present invention and suitable for use with web-style processing apparatus has a guide plate which is affixed to a porous slurry distribution layer on one side and a compressible under-layer on the other side.
  • a plurality of polishing elements interdigitated with one another are affixed to the compressible under-layer and protrude through the guide plate and the slurry distribution layer, so as to be maintained in planar orientation with respect to one other and the guide plate.
  • a membrane may be positioned between the guide plate and the slurry distribution layer.
  • Such a membrane may be conductive or non-conductive and may be fastened to the guide plate by an adhesive.
  • the membrane may be an ion exchange membrane.
  • the guide plate of the present polishing pad may be made of a non-conducting material and may include holes in which individual polishing elements are accommodated. Some of the polishing elements may have circular cross sections, while others may have triangular cross sections, square cross-sections, hexagonal cross-sections, or any other shape. In any event, the polishing elements may be made from any one or combination of: a thermally conducting material, an electrically conducting material, or a non-conducting material. For example, the polishing elements may be made of a conductive polymer polyaniline, carbon, graphite, or metal-filled polymer.
  • One or more of the polishing elements may be fashioned so as to make sliding contact with a wafer surface during polishing operations, while others may be fashioned so as to make rolling contact with a wafer surface (e.g., with a rolling tip made of a polymeric, metal oxide, or electrically conducting material) during such operations.
  • the slurry distribution material may include a number of slurry flow resistant elements (e.g., pores) and be between 10 and 90 percent porosity.
  • the slurry distribution material is fastened to the guide plate by an adhesive (e.g., in accordance with the manufacturing process discussed below).
  • the slurry distribution material may include multiple layers of different materials.
  • the slurry distribution material may include a surface layer having relatively large pores and a lower layer having relatively small pores. It is conceivable that the slurry distribution element and guide plate functions can be performed by a single material.
  • Such a material may be a guide plate having a open pore foam surface or grooves or baffles to modulate the slurry flow across the surface.
  • the polishing pad may also include wear sensors configured to provide indications of pad wear and/or end-of-life.
  • the polishing pad may include one or more pad wear sensors embedded at a depth from a top surface of the pad as measured from a working end of one or more of the polishing elements.
  • the pad wear sensor(s) may be an optically transparent plug having a top surface covered with reflective coating; a number of optically transparent plugs embedded to different depths within the pad; an optically transparent conical plug mounted flush with the top surface of the pad surface; an optically transparent plug having a multi-step surface configured to be exposed to varying degrees as the pad wears; or an optically transparent plug containing screens with varying degrees of transmission arranged in order of reflectivity.
  • the pad wear sensor(s) may be an electrochemical sensor containing two or more probes embedded in the pad, or a conductive plate embedded at a depth below the surface of the pad.
  • the use of discreet, hard polishing elements distributed over a flexible layer not only enables uniform polishing of wafer surfaces but also permits the pad to be rolled into a form suitable for use with web-style apparatus.
  • the overall thickness of the web-style pad is less than 50 mils and, in some cases, less than 25 mils. Total pad thickness is determined by adding the thickness of the flexible under-layer and polishing elements together, while the pad life is determined by the thicknesses of the polishing elements and guide layer.
  • the present web pad uses polishing elements having a height of less than 40 mils and flexible under-layer having a thickness of less than 10 mils to achieve total thickness of less than 50 mils.
  • the guide layer may be on the order of 5-10 mils thick.
  • the pad stack may be combined with an external compressible foam layer to help ensure uniform polishing.
  • One suitable compressible under-layer is polyurethane foam, marked under the brand name PORONTM and available from Rogers Corporation.
  • Conventional polishing pads use thicknesses of about 62 mils for such compressible foam layers.
  • the material which makes up the flexible under-layer of the present polishing pad is selected to provide compliance and to contain the polishing elements.
  • the under-layer material is selected such that it does not interfere with independent operation of the polishing elements.
  • its mechanical properties, such as stiffness or hardness and resiliency are chosen such that when used in conjunction with an external compressible foam layer, the properties of under-layer do not alter the overall compressibility and resilience of the compressible foam by more than 5-10%.
  • a suitable under-layer material may be low density, low rebound foams 0392 from Rogers Corp.
  • a thin, solid, flexible sheet made from rubber, latex or polyurethane may also be used.
  • the guide layer of the present pad limits movement of the polishing elements to a plane orthogonal to that of the guide layer itself (i.e., towards or away from the wafer being polished), and may be made of suitably flexible material, such as a thin layer of MylarTM or polycarbonate.
  • the guide layer also functions as a carrier layer for the pad structure across rollers of the web-style polishing apparatus.
  • polishing pads described herein may be used in a variety of steps associated with CMP processing. This includes utilization in a multi-step process, wherein multiple polishing pads and slurries of varying characteristics are used in succession, to one step processes, where one polishing pad and one or more slurries are used throughout the entire polishing phase.
  • a pad configured with hard (>Shore D 45) polyurethane polishing elements may be suitable for planarizing steps while a pad with polishing elements made from softer polymer ( ⁇ shore D 45) such as polyurethane, PVA etc. may be suitable for buffing and cleaning steps.
  • FIG. 3A a cut-away side profile view of a circular polishing pad 300 used in CMP processing and configured according to embodiments described in the above-cited related patent applications.
  • polishing elements 302 protrude through holes in a guide plate 304 and are supported by (e.g., affixed to) a base, such as a compressible under-layer 306 .
  • the polishing pad 300 rotates relative to a wafer surface being polished, and polishing elements 302 make contact with that surface.
  • a optional slurry distribution material (not shown) above the guide-layer 304 provides flow control in slurry pathways between the polishing elements 302 .
  • the foundation of polishing pad 300 is the guide plate 304 , which provides lateral support for the polishing elements 302 .
  • the guide plate may be made of a non-conducting material, such as a MylarTM or polycarbonate material.
  • the guide plate 304 includes holes fabricated into or drilled out of the guide layer to accommodate each of the polishing elements 302 .
  • the polishing elements 302 may be fixed to the top of compressible under-layer 306 and held in place by an adhesive, such as double sided tape or epoxy. This leaves the polishing elements 302 free to move in a direction parallel to their long axis (orthogonal to a plane defined by the guide plate), through the holes in guide plate 304 .
  • the volume between the interdigitated polishing elements 302 may be at least partially filled with a slurry distribution material.
  • the slurry distribution material may include flow resistant elements such as baffles or grooves (not shown), or pores, to regulate slurry flow rate during CMP processing.
  • the porous slurry distribution material may have between 10 and 90 percent porosity and may be overlaid on guide layer 304 .
  • the slurry distribution material may be fastened to the guide plate by an adhesive, such as double sided tape.
  • the slurry distribution material may include various layers of differing materials to achieve desired slurry flow rates at varying depths (from the polishing surface) of the slurry distribution material. For example, a surface layer at the polishing surface may have larger pores to increase the amount and rate of slurry flow on the surface while a lower layer has smaller pores to keep more slurry near the surface layer to help regulate slurry flow.
  • FIG. 3B shows one embodiment of a web pad 300 ′ configured in accordance with the present invention.
  • Web pad 300 ′ is similar in construction to pad 300 described with reference to FIG. 3A , but includes a thin flexible under-layer 308 to contain the polishing elements 302 .
  • the compressible under-layer 306 is external to the pad stack (which includes the polishing elements, the flexible under-layer and the guide layer 304 ).
  • the compressible under-layer 306 serves the same function as that in pad 300 and provides, among others features, a positive pressure directed toward the polishing surface of the pad when compressed.
  • the compression may vary around 10% at 5 psi (pounds per square inch), however, it will be appreciated that the compression may be varied dependent upon the materials used in constructing polishing pad 300 ′ and the type of CMP process.
  • the compressible under-layer 306 may be formed of BONDTEXTM foam made by RBX Industries, Inc. or PoronTM Performance Urethane made by Rogers Corp.
  • the compressible under layer 306 is provided externally and is not part of the web pad roll.
  • FIG. 3C illustrates a cut-away side profile view of polishing pad 300 ′ as used in CMP processing, according to one embodiment of the present invention.
  • the polishing pad 300 ′ is fed from a roll on a feed roller 312 over the top of a polishing table 310 and onto an uptake roller 314 .
  • the relative motion between wafer and polishing table is provided by motion of either one or both the polishing table and/or wafer.
  • compressible under-layer 306 is not part of the web pad roll and, instead, is applied over the surface of the polishing table 310 . In other cases, the flexible under-layer 306 may be part of the web pad stack.
  • FIG. 3D illustrates a further embodiment of the present web pad 300 ′ and shows a wafer 320 being polished.
  • Wafer 320 contacts the polishing elements 302 and is moved relative to the pad by rotating either or both pad 300 ′ and/or wafer 320 .
  • the feed roller 312 and the uptake roller 314 may be affixed to sides of the polishing table 310 , and the entire polishing table rotated relative to wafer 320 .
  • wafer 320 may be supported by a wafer stage that is rotated relative to polishing table 310 .
  • FIG. 4 illustrates a top down view of a portion of a polishing pad 400 configured according to one embodiment of the present invention.
  • Polishing elements 406 are interdigitated throughout polishing pad 400 .
  • a slurry distribution material 404 is permeated throughout the volume created by polishing elements 406 protruding from the guide layer (not shown). While the volume provides a slurry path, the slurry distribution material provides a mechanism to control slurry flow throughout the volume as discussed above.
  • the distribution of the polishing elements 406 may vary according to specific polishing/process requirements or characteristics.
  • the polishing elements 406 may have a density of between 30 and 80 percent of the total polishing pad surface area, as determined by the diameter (D) of each polishing elements 406 and the area of the polishing pad 400 .
  • the diameter D is at least 50 micrometers. In other embodiments, the diameter D may vary between 50 micrometers and 12 millimeters. Typical diameters of the polishing elements are 3-25 mm.
  • polishing pad may have polishing elements of at least two different types of materials, each having a different coefficient of friction, and arranged over the pad so as to provide a non-planar material removal profile for the pad.
  • the polishing elements may be arranged to provide an edge-fast, edge-slow, center-fast or center-slow material removal profile.
  • the different polishing elements may be arranged in different densities across the pad.
  • Still another embodiment of the present polishing pad has a plurality of polishing elements, some of which are polyurethane and others of which are Delrin.
  • the polishing elements may be various manners across the pad such that those of the polishing elements which are Delrin make up approximately 5-50% of the total number of polishing elements in locations corresponding to areas of the pad configured to provide relatively lower material removal rates than other areas of the pad.
  • the overall density of polishing elements may be uniform per unit area of the pad and/or the polishing elements may be laid out in a uniform radial arrangement.
  • both the Delrin and polyurethane polishing elements may have a common shape and size or may have different shapes/sizes.
  • the present polishing pad may include a combination of electrically conductive and electrically non-conductive polishing elements.
  • the conductive polishing elements may be made of one or more of a conductive polymer, graphite or combination thereof, while the non-conductive polishing elements may be made of a thermoplastic polymer such as polyurethane, Delrin, nylon, etc. These different polishing elements may have the same shape/size or different shapes/sizes.
  • a membrane may be positioned between the guide layer and the slurry distribution layer.
  • a membrane may be conductive or non-conductive membrane and may be fastened to the guide layer by an adhesive.
  • the membrane may be an ion exchange membrane.
  • polishing pad may have one or more polishing elements made from a hydrogel material having an intrinsic ability to absorb water.
  • the hydrogel material may have no micro-porosity, a water absorption capability of 4%-60% by weight, a wet tensile strength greater than 1000 psi, a flexural modulus greater than 2000 psi, and a wet Shore D hardness between 25-80, inclusive.
  • the hydrogel material may have a water absorption capability of 4%-60% by weight, a microporosity of 1% to 20% by volume, micropores of 20-100 microns, a wet tensile strength greater than 1000 psi, a flexural modulus greater than 2000 psi, and a wet Shore D hardness between 25-80, inclusive.
  • the hydrogel material may be made from one or a combination of the following moeties: urethane, alkylene oxides, esters, ethers, acrylic acids, acrylamides, amides, imides, vinylalcohols, vinylacetates, acrylates, methacrylates, sulfones, urethanes, vinylchlorides, etheretherketones, and/or carbonates.
  • FIG. 5 shows a web pad manufacturing machine 500 configured in accordance with an embodiment of the present invention.
  • the machine 500 consists of three primary rollers 501 , 502 and 503 .
  • Roller 501 is used to provide guide layer 514
  • roller 502 is used to provide flexible under-layer 515
  • roller 503 is an uptake roller on which the completed pad is collected after being assembled.
  • a sheet of guide layer material is mounted on roller 501 and passed through an assembly path defined by guide rollers 504 , 507 and 510 .
  • polishing elements 513 are placed through holes in the guide layer material. This may be accomplished, for example, while mechanical vibration energy is applied so as to ensure that the polishing elements 513 extend fully into the holes in the guide layer material.
  • a pick-and-place tool may be used to dispense polishing elements at predetermined locations in the guide layer material.
  • An optional laser or machining system (not shown) may be added proximate to the guide rollers 507 and 510 to make holes in guide layer material 514 for the polishing elements.
  • a first stack 516 is formed.
  • Flexible under-layer 515 is then attached to this guide layer—polishing element composite.
  • Roller 502 supports a sheet of flexible under-layer material 515 . That material is dispensed such that it passes through a path defined by guide rollers 505 , 508 and 511 , which brings the material into contact with the flexible under-layer-polishing element stack 516 to form composite stack 517 .
  • the flexible under-layer material 515 may be secured to the guide layer material 514 with an adhesive, which may be applied prior to the under-layer material 515 coming into contact with the guide layer material 514 (not shown in detail).
  • a slurry distribution material or a further compressible foam layer may be added in a fashion similar to that discussed above. That is, the materials may be rolled off of support rollers, through assembly paths defined by a series of guide rollers and brought into contact with the guide layer/compressible under layer, as appropriate, and affixed thereto, e.g., by adhesive.
  • the final stack of materials 517 defines the polishing pad, which may be taken up onto roller 503 through a path defined by guide rollers 509 and 506 , for example.

Abstract

A web-style polishing pad includes a guide layer through which individual polishing elements protrude on one side and a flexible under-layer attached to the other side. The polishing elements may be affixed at their base to the compressible under-layer and pass through corresponding holes in the guide layer so as to be maintained and translatable in a substantially orthogonal orientation with respect to a plane defined by the guide layer.

Description

    RELATED APPLICATIONS
  • This application is a Continuation-in-Part of and claims priority to:
      • (1) U.S. patent application Ser. No. 11/697,622, filed 6 Apr. 2007, which is U.S. National Stage of and claims priority to: (a) PCT/US05/35979, filed 5 Oct. 2005, which claims the priority benefit of and incorporates by reference U.S. Provisional Application 60/616,944, filed 6 Oct. 2004, and U.S. Provisional Application 60/639,257, filed 27 Dec. 2004; and (b) PCT/US05/35732, filed 5 Oct. 2005, which claims the priority benefit of and incorporates by reference U.S. Provisional Application No. 60/631,188, filed 29 Nov. 2004, and U.S. Provisional Application No. 60/639,257, filed 27 Dec. 2004;
      • (2) U.S. patent application Ser. No. 11/576,942, filed 9 Apr. 2007, which is a U.S. National Stage of and claims priority to PCT/US05/35660, filed 5 Oct. 2005, which claims the priority benefit of and incorporates by reference U.S. Provisional Patent Application No. 60/631,189, filed 29 Nov. 2004 and U.S. Provisional Patent Application No. 60/639,257, filed 27 Dec. 2004;
      • (3) U.S. patent application Ser. No. 11/576,944, filed 9 Apr. 2007, which is a U.S. National Stage of and claims priority to PCT/US05/35978, filed 5 Oct. 2005, which claims the priority benefit of and incorporates by reference U.S. Provisional Patent Application No. 60/631,187, filed 29 Nov. 2004 and U.S. Provisional Patent Application No. 60/636,055, filed 14 Dec. 2004;
      • (4) U.S. patent application Ser. No. 11/562,310, filed 21 Nov. 2006, which is a non-provisional of, claims priority to and incorporates by reference U.S. Provisional Patent Application No. 60/739,252, filed 23 Nov. 2005; and U.S. Provisional Patent Application No. 60/758,006, filed 10 Jan. 2006;
      • (5) U.S. patent application Ser. No. 11/562,346, filed 21 Nov. 2006, which is a non-provisional of, claims priority to and incorporates by reference U.S. Provisional Patent Application No. 60/784,263, filed 21 Mar. 2006; and
      • (6) U.S. patent application Ser. No. 11/846,304, filed 28 Aug. 2007, and is also a non-provisional of and claims priority to U.S. Provisional Patent Application 60/969,684, filed 3 Sep. 2007, all of the above of which are incorporated herein by reference.
    FIELD OF THE INVENTION
  • The present invention relates to the field of chemical mechanical planarization (CMP) and to a CMP polishing pad utilized in CMP processing on web platform, in one instance a pad having uniform or near uniform polishing performance across its surface.
  • BACKGROUND
  • In modem integrated circuit (IC) fabrication, layers of material are applied to embedded structures previously formed on semiconductor wafers. Chemical mechanical planarization (CMP) is an abrasive process used to remove or flatten these layers and polish the surface of a wafer to achieve the desired structure. CMP may be performed on both oxides and metals and generally involves the use of chemical slurries applied via a polishing pad that is moved relative to the wafer (e.g., the pad may rotate circularly relative to the wafer). The resulting smooth, flat surface is necessary to maintain the photolithographic depth of field for subsequent processing steps and to ensure that metal interconnects are not deformed over contour steps Damascene processing requires CMP to remove metals, such as tungsten or copper, from the top surface of a dielectric to define interconnect structures.
  • The planarization/polishing performance of a polishing pad and slurry combination is impacted by, among other things, the mechanical properties and slurry distribution ability of the polishing pad and the chemical properties and distribution of the slurry. Often a polishing pad may be porous and/or include grooves to distribute the slurry across its surface. However, this reduces the overall strength of the polishing pad, making it more flexible and thus reducing its planarization characteristic. Typically, hard (i.e., stiff) pads provide good planarization, but are associated with poor with-in wafer non-uniformity (WIWNU) film removal. Soft (i.e., flexible) pads, on the other hand, provide polishing with good WIWNU film removal characteristics, but poor planarization characteristics. In conventional CMP systems, therefore, harder pads are often placed on top of softer pads to improve WIWNU. Nevertheless, this approach tends to degrade planarization performance when compared to use of a hard pad alone.
  • FIG. 1A illustrates “dishing” as a result of applying a flexible polishing pad to wafer 100. The flexible polishing pad provides for a smooth surface but creates dishing 106 by over-polishing softer elements, such as copper layer 104, on the surface of substrate 102. The consequence of dishing is an undesirable loss of metal thickness, leading to poor device performance.
  • Dishing can be reduced or eliminated through the use of a stiffer polishing pad, which can provide greater planarization. Pads may be made stiffer by reducing the number of pores and/or grooves in the pad, however, this can lead to different consequences, for example poor slurry distribution. The net effect may be to increase the number of surface defects 108 on the substrate 102 and/or copper layer 104 (e.g., by scratching and/or pitting the surface/layer), as shown for example in FIG. 1B which illustrates surface defects 108 that may result from application of a relatively stiff polishing pad to wafer 100.
  • Variations in the above-described effects may also be present at different points across a wafer. FIG. 1C shows a cross-section of a wafer 100′ having multiple dies thereon. Assume that a copper layer is present on the top surface of wafer 100′ and that FIG. 1C illustrates the wafer after CMP polishing with a hard pad has occurred. As can be seen, for those dies closer to the center of wafer, the effects of dishing 110, 114 and erosion 112, 116 are less severe than for dies near the edge of the wafer. This is due to the fact that the hard pad must compensate for WIWNU by over-polishing the dies that clear first (i.e., those near the edge of the wafer 100).
  • FIG. 1D illustrates the surface of a post-CMP wafer 100″ after polishing with a stacked pad (i.e., one in which a hard pad is placed over a softer pad). In this instance the dishing and erosion of the features at center and edge of the wafer (110″, 112″ and 114″, 116″, respectively) is more severe than occurs near the center of the wafer 100′ illustrated in FIG. 1C, but less so than occurs near the edge thereof. This is due to the fact that while the softer under-pad degrades planarization, polishing is more uniform, leading to more consistent overall performance across the entire surface of the wafer.
  • It is therefore the case that designing CMP polishing pads requires a trade-off between WIWNU and planarization characteristics of the pads. This trade-off has led to the development of polishing pads acceptable for processing dielectric layers (such as silicon dioxide) and metals such as tungsten (which is used for via interconnects in subtractive processing schemes). In copper processing, however, WIWNU directly impacts over-polishing (i.e., the time between complete removal of copper on any one area versus complete removal from across an entire wafer surface) and, hence, metal loss and, similarly, planarization as expressed by metal loss. This leads to variability in the metal remaining in the interconnect structures and impacts performance of the integrated circuit. It is therefore necessary that both planarity and WIWNU characteristics of a pad be optimized for best copper process performance.
  • Conventional polishing pads are typically made of urethanes, either in cast form and filled with micro-porous elements or from non-woven felt coated with polyurethanes. During polishing, the pad surface undergoes deformation due to polishing forces. The pad surface therefore has to be “regenerated” through a conditioning process. The conditioning process involves pressing a fine, diamond covered disc against the pad surface while the pad is rotated much like during the polishing processes. The diamonds of the conditioning disc cut through and remove the top layer of the polishing pad, thereby exposing a fresh polishing pad surface underneath.
  • These concepts are illustrated graphically in FIGS. 2A-2C. In particular, FIG. 2A illustrates a side cutaway view of a new polishing pad 200. Polishing pad 200 contains microelements 204 and grooves 206, much like those found in commercially available polishing pads such as the IC1000 of Rhom & Haas, Inc. FIG. 2B shows the surface 202 of polishing pad 200 after polishing. The top surface of the pad shows degradation 208, especially around the microelements 204 where the edges are degraded due to plastic or viscous flow of the bulk urethane material. FIG. 2C shows the surface 202 of the polishing pad after a conditioning process has been completed. Note the depth of grooves 206 is lower than was the case for the new pad illustrated in FIG. 2A due to material removal during conditioning.
  • Over multiple cycles of polishing and conditioning, it is usually the case that the overall thickness of a pad wears up to a point such that the pad needs to be replaced. It is evident to those practicing in the art that pad wear rates differ from pad to pad and may also differ from one batch of pads to another batch. This often leads to variation in CMP performance over the life time of the pad and variation is also observed pad to pad. Frequent changes in pads also lead to reduced productivity of the overall process
  • One method to achieve stable polish performance and increase productivity is known as web processing. In web processing, a roll of pad is supplied to the polish table through a series of rollers, notably a feed roller and an uptake roller. CMP machines which use web pads and details of processing wafers with this process are discussed in U.S. Pat. Nos. 5,335,453, 6,244,935, 6,379,231, and 6,398,630.
  • U.S. Pat. No. 5,335,453 describes a machine which uses a feed roller containing new pad material and a uptake roller containing used pad material. A polish table is positioned between the two rollers. The portion of pad material currently in use is situated over the polish table for polishing wafer substrates and to effect material removal therefrom.
  • U.S. Pat. No. 6,244,935 describes a rotary polish table which also contains a feed roller and an uptake roller to enable polishing in much the same fashion as a conventional rotary polish system while allowing a web pad to be installed on the rollers for longer use. A new pad roll is mounted onto the feed roller, applied across the polish table, which contains multiple vacuum channels, and fed onto the uptake roller. As wafers are processed, the pad is advanced by a predetermined amount such that a segment of fresh pad (i.e., a fraction of the total pad) is fed over the polish table and a corresponding segment of used pad is removed by uptake onto the uptake roller.
  • Not all polishing pads can be formed into rolls for use with web processing CMP equipment. For example, stiff pads (such as IC pads supplied by Rohm & Haas) cannot be easily formed into a roll, yet, as discussed above, the inherent stiffness of such pads is required if good planarization characteristics are desired. CMP pads for planarization offered by other manufacturers, such as the D100 pads offered by Cabot Corp. and the FAST™ polishing pads produced by PPG Industries, have similar limitations. There are, therefore, significant constraints with respect to implementing web-style CMP equipment architectures.
  • SUMMARY OF THE INVENTION
  • Disclosed herein is a polishing pad, which, while being capable of polishing like a hard pad, can be formed into a roll that can be installed onto conventional web-style CMP polishing equipment.
  • A polishing pad configured in accordance with an embodiment of the present invention includes a sheet of guide layer having optionally affixed thereto a porous slurry distribution layer on one side and a flexible under-layer on the other side. A plurality of polishing elements inter-digitated with one another through the slurry distribution layer and the guide layer, so as to be maintained in planar orientation with respect to one other and the guide layer, are affixed to the flexible under-layer with each polishing element protruding above the surface of the guide layer to which the optional slurry distribution layer is adjacent. The collective materials can be rolled onto a cylindrical support
  • The guide layer of the polishing pad may be made of a Mylar™ or polycarbonate or other suitable polymer material and includes holes in which individual polishing elements are accommodated. The polishing elements may have any shape such as circular, triangular, square, polygon or any other shape. A combination of shapes and sizes maybe used on the same pad roll. The polishing elements may be made from solid or micro-porous polymer and may also include a metal oxide material. The polishing elements may be made from a polymer, such as polyurethane, ABS, SAN, or poly acrylonitrile, and may include micro-pores or a metal oxide, such as aluminum oxide, silicon dioxide, and/or titanium dioxide.
  • One or more of the polishing elements may be fashioned so as to have a cylindrical body, with or without a circular base having a diameter larger than that of the cylindrical body. The top surface of the polishing elements may be flat or have a predetermined pattern incorporating micro-features. The under-layer may be made from polyurethane or other flexible materials such as PVA, Teflon™, polyethylene, PVDF, neoprene, styrene butadiene rubber, or EPDM. The composite pad is preferably used in conjunction with a compressible foam to enable full movement of the polishing elements in a direction orthogonal to a plane defined by the guide layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not limitation, in the figures of the accompanying drawings, in which:
  • FIGS. 1A-1D illustrate the effects of dishing and erosion due to inconsistent planarization across a wafer during CMP operations.
  • FIGS. 2A-2C illustrate examples of pad wear experienced by conventional polishing pads.
  • FIG. 3A is a cut-away side view of a polishing pad configured in accordance with one embodiment of the present invention for use in CMP operations.
  • FIG. 3B illustrates a polishing pad similar to that shown in FIG. 3A, but which includes a compressible under layer in accordance with a further embodiment of the present invention.
  • FIG. 3C is a side view of a polishing pad configured in accordance with an embodiments of the present invention and employed in a web-style polishing apparatus.
  • FIG. 3D is a side view of a polishing pad configured in accordance with an embodiment of the present invention with a wafer in proximity thereto.
  • FIG. 4 is a top view of a polishing pad having inter-digitated polishing elements between which slurry may flow in accordance with still another embodiment of the present invention.
  • FIG. 5 is a diagram showing a sequence for manufacturing a polishing pad suited for use with web-style polishing apparatus in accordance with an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Described herein are improved CMP polishing pads formed in sheets suitable for web-style CMP processing equipment, methods of manufacturing same, and processes for polishing semiconductor wafers and structures layered thereon, including metal damascene structures, using such polishing pads. As indicated above, it is known that a more flexible polishing pad produces dishing while a harder pad (with reduced slurry distribution ability) produces more surface defects. At the same time, it has been demonstrated that pads applied in web-form provide more uniform processing performance and higher productivity in CMP processes. Conventional hard pads cannot be provided in roll form for such web-style applications, as the hardness of polishing layer limits the ability to physically roll the pad without damaging it. The pad design disclosed herein overcomes this limitation and enables higher productivity.
  • Although various polishing pad configurations (e.g., with specific examples of geometric ranges, ratios, and materials) and polishing processes are described herein, it should be appreciated that the present invention can be equally applied to encompass other types of polishing pad fabrication materials and deposition removal techniques. Stated differently, the use of such other materials and techniques are deemed to be within the scope of the present invention as reflected in the claims following this description.
  • The above-referenced related patent applications, which are assigned to the assignee of the present invention, discuss polishing pad designs which use independent polishing elements to effect uniform polishing of wafers and the like. Like the polishing pads discussed in those applications, a polishing pad configured in accordance with the present invention and suitable for use with web-style processing apparatus has a guide plate which is affixed to a porous slurry distribution layer on one side and a compressible under-layer on the other side. A plurality of polishing elements interdigitated with one another are affixed to the compressible under-layer and protrude through the guide plate and the slurry distribution layer, so as to be maintained in planar orientation with respect to one other and the guide plate. Optionally, a membrane may be positioned between the guide plate and the slurry distribution layer. Such a membrane may be conductive or non-conductive and may be fastened to the guide plate by an adhesive. In some cases, the membrane may be an ion exchange membrane.
  • The guide plate of the present polishing pad may be made of a non-conducting material and may include holes in which individual polishing elements are accommodated. Some of the polishing elements may have circular cross sections, while others may have triangular cross sections, square cross-sections, hexagonal cross-sections, or any other shape. In any event, the polishing elements may be made from any one or combination of: a thermally conducting material, an electrically conducting material, or a non-conducting material. For example, the polishing elements may be made of a conductive polymer polyaniline, carbon, graphite, or metal-filled polymer. One or more of the polishing elements may be fashioned so as to make sliding contact with a wafer surface during polishing operations, while others may be fashioned so as to make rolling contact with a wafer surface (e.g., with a rolling tip made of a polymeric, metal oxide, or electrically conducting material) during such operations.
  • The slurry distribution material may include a number of slurry flow resistant elements (e.g., pores) and be between 10 and 90 percent porosity. Preferably, though not necessarily, the slurry distribution material is fastened to the guide plate by an adhesive (e.g., in accordance with the manufacturing process discussed below). In some cases the slurry distribution material may include multiple layers of different materials. For example, the slurry distribution material may include a surface layer having relatively large pores and a lower layer having relatively small pores. It is conceivable that the slurry distribution element and guide plate functions can be performed by a single material. Such a material may be a guide plate having a open pore foam surface or grooves or baffles to modulate the slurry flow across the surface.
  • The polishing pad may also include wear sensors configured to provide indications of pad wear and/or end-of-life. For example, the polishing pad may include one or more pad wear sensors embedded at a depth from a top surface of the pad as measured from a working end of one or more of the polishing elements. The pad wear sensor(s) may be an optically transparent plug having a top surface covered with reflective coating; a number of optically transparent plugs embedded to different depths within the pad; an optically transparent conical plug mounted flush with the top surface of the pad surface; an optically transparent plug having a multi-step surface configured to be exposed to varying degrees as the pad wears; or an optically transparent plug containing screens with varying degrees of transmission arranged in order of reflectivity. In still further embodiments, the pad wear sensor(s) may be an electrochemical sensor containing two or more probes embedded in the pad, or a conductive plate embedded at a depth below the surface of the pad.
  • In order to provide for the web-style environment in which the present pad is intended for use, some flexibility must be maintained. The use of discreet, hard polishing elements distributed over a flexible layer not only enables uniform polishing of wafer surfaces but also permits the pad to be rolled into a form suitable for use with web-style apparatus. Preferably, the overall thickness of the web-style pad is less than 50 mils and, in some cases, less than 25 mils. Total pad thickness is determined by adding the thickness of the flexible under-layer and polishing elements together, while the pad life is determined by the thicknesses of the polishing elements and guide layer. In some embodiments, the present web pad uses polishing elements having a height of less than 40 mils and flexible under-layer having a thickness of less than 10 mils to achieve total thickness of less than 50 mils. The guide layer may be on the order of 5-10 mils thick.
  • The pad stack may be combined with an external compressible foam layer to help ensure uniform polishing. One suitable compressible under-layer is polyurethane foam, marked under the brand name PORON™ and available from Rogers Corporation. Conventional polishing pads use thicknesses of about 62 mils for such compressible foam layers.
  • The material which makes up the flexible under-layer of the present polishing pad is selected to provide compliance and to contain the polishing elements. The under-layer material is selected such that it does not interfere with independent operation of the polishing elements. As such its mechanical properties, such as stiffness or hardness and resiliency, are chosen such that when used in conjunction with an external compressible foam layer, the properties of under-layer do not alter the overall compressibility and resilience of the compressible foam by more than 5-10%. A suitable under-layer material may be low density, low rebound foams 0392 from Rogers Corp. A thin, solid, flexible sheet made from rubber, latex or polyurethane may also be used.
  • As discussed further below, the guide layer of the present pad limits movement of the polishing elements to a plane orthogonal to that of the guide layer itself (i.e., towards or away from the wafer being polished), and may be made of suitably flexible material, such as a thin layer of Mylar™ or polycarbonate. The guide layer also functions as a carrier layer for the pad structure across rollers of the web-style polishing apparatus.
  • The polishing pads described herein may be used in a variety of steps associated with CMP processing. This includes utilization in a multi-step process, wherein multiple polishing pads and slurries of varying characteristics are used in succession, to one step processes, where one polishing pad and one or more slurries are used throughout the entire polishing phase. Alternatively, or in addition, a pad configured with hard (>Shore D 45) polyurethane polishing elements may be suitable for planarizing steps while a pad with polishing elements made from softer polymer (<shore D 45) such as polyurethane, PVA etc. may be suitable for buffing and cleaning steps.
  • Referring now to FIG. 3A, a cut-away side profile view of a circular polishing pad 300 used in CMP processing and configured according to embodiments described in the above-cited related patent applications. As shown, polishing elements 302 protrude through holes in a guide plate 304 and are supported by (e.g., affixed to) a base, such as a compressible under-layer 306. In use, the polishing pad 300 rotates relative to a wafer surface being polished, and polishing elements 302 make contact with that surface. A optional slurry distribution material (not shown) above the guide-layer 304 provides flow control in slurry pathways between the polishing elements 302.
  • The foundation of polishing pad 300 is the guide plate 304, which provides lateral support for the polishing elements 302. The guide plate may be made of a non-conducting material, such as a Mylar™ or polycarbonate material. In one embodiment of the present invention, the guide plate 304 includes holes fabricated into or drilled out of the guide layer to accommodate each of the polishing elements 302. The polishing elements 302 may be fixed to the top of compressible under-layer 306 and held in place by an adhesive, such as double sided tape or epoxy. This leaves the polishing elements 302 free to move in a direction parallel to their long axis (orthogonal to a plane defined by the guide plate), through the holes in guide plate 304.
  • As indicated above, the volume between the interdigitated polishing elements 302 may be at least partially filled with a slurry distribution material. The slurry distribution material may include flow resistant elements such as baffles or grooves (not shown), or pores, to regulate slurry flow rate during CMP processing. In varying embodiments, the porous slurry distribution material may have between 10 and 90 percent porosity and may be overlaid on guide layer 304. The slurry distribution material may be fastened to the guide plate by an adhesive, such as double sided tape. Additionally, the slurry distribution material may include various layers of differing materials to achieve desired slurry flow rates at varying depths (from the polishing surface) of the slurry distribution material. For example, a surface layer at the polishing surface may have larger pores to increase the amount and rate of slurry flow on the surface while a lower layer has smaller pores to keep more slurry near the surface layer to help regulate slurry flow.
  • FIG. 3B shows one embodiment of a web pad 300′ configured in accordance with the present invention. Web pad 300′ is similar in construction to pad 300 described with reference to FIG. 3A, but includes a thin flexible under-layer 308 to contain the polishing elements 302. The compressible under-layer 306 is external to the pad stack (which includes the polishing elements, the flexible under-layer and the guide layer 304). The compressible under-layer 306 serves the same function as that in pad 300 and provides, among others features, a positive pressure directed toward the polishing surface of the pad when compressed. Typically, the compression may vary around 10% at 5 psi (pounds per square inch), however, it will be appreciated that the compression may be varied dependent upon the materials used in constructing polishing pad 300′ and the type of CMP process. For example, the compressible under-layer 306 may be formed of BONDTEX™ foam made by RBX Industries, Inc. or Poron™ Performance Urethane made by Rogers Corp. In varying embodiments, the compressible under layer 306 is provided externally and is not part of the web pad roll.
  • FIG. 3C illustrates a cut-away side profile view of polishing pad 300′ as used in CMP processing, according to one embodiment of the present invention. In use, the polishing pad 300′ is fed from a roll on a feed roller 312 over the top of a polishing table 310 and onto an uptake roller 314. The relative motion between wafer and polishing table is provided by motion of either one or both the polishing table and/or wafer. Notice that compressible under-layer 306 is not part of the web pad roll and, instead, is applied over the surface of the polishing table 310. In other cases, the flexible under-layer 306 may be part of the web pad stack.
  • FIG. 3D illustrates a further embodiment of the present web pad 300′ and shows a wafer 320 being polished. Wafer 320 contacts the polishing elements 302 and is moved relative to the pad by rotating either or both pad 300′ and/or wafer 320. For example, the feed roller 312 and the uptake roller 314 may be affixed to sides of the polishing table 310, and the entire polishing table rotated relative to wafer 320. alternatively, or in addition, wafer 320 may be supported by a wafer stage that is rotated relative to polishing table 310.
  • FIG. 4 illustrates a top down view of a portion of a polishing pad 400 configured according to one embodiment of the present invention. Polishing elements 406 are interdigitated throughout polishing pad 400. A slurry distribution material 404 is permeated throughout the volume created by polishing elements 406 protruding from the guide layer (not shown). While the volume provides a slurry path, the slurry distribution material provides a mechanism to control slurry flow throughout the volume as discussed above.
  • The distribution of the polishing elements 406 may vary according to specific polishing/process requirements or characteristics. In varying embodiments, the polishing elements 406 may have a density of between 30 and 80 percent of the total polishing pad surface area, as determined by the diameter (D) of each polishing elements 406 and the area of the polishing pad 400. In one embodiment, the diameter D is at least 50 micrometers. In other embodiments, the diameter D may vary between 50 micrometers and 12 millimeters. Typical diameters of the polishing elements are 3-25 mm.
  • Various embodiments of the present polishing pad may have polishing elements of at least two different types of materials, each having a different coefficient of friction, and arranged over the pad so as to provide a non-planar material removal profile for the pad. The polishing elements may be arranged to provide an edge-fast, edge-slow, center-fast or center-slow material removal profile. For example, the different polishing elements may be arranged in different densities across the pad.
  • Still another embodiment of the present polishing pad has a plurality of polishing elements, some of which are polyurethane and others of which are Delrin. The polishing elements may be various manners across the pad such that those of the polishing elements which are Delrin make up approximately 5-50% of the total number of polishing elements in locations corresponding to areas of the pad configured to provide relatively lower material removal rates than other areas of the pad. The overall density of polishing elements may be uniform per unit area of the pad and/or the polishing elements may be laid out in a uniform radial arrangement. In some cases, both the Delrin and polyurethane polishing elements may have a common shape and size or may have different shapes/sizes.
  • In still other embodiments, the present polishing pad may include a combination of electrically conductive and electrically non-conductive polishing elements. The conductive polishing elements may be made of one or more of a conductive polymer, graphite or combination thereof, while the non-conductive polishing elements may be made of a thermoplastic polymer such as polyurethane, Delrin, nylon, etc. These different polishing elements may have the same shape/size or different shapes/sizes.
  • Optionally, a membrane may be positioned between the guide layer and the slurry distribution layer. Such a membrane may be conductive or non-conductive membrane and may be fastened to the guide layer by an adhesive. In some cases, the membrane may be an ion exchange membrane.
  • Other embodiments of the present polishing pad may have one or more polishing elements made from a hydrogel material having an intrinsic ability to absorb water. The hydrogel material may have no micro-porosity, a water absorption capability of 4%-60% by weight, a wet tensile strength greater than 1000 psi, a flexural modulus greater than 2000 psi, and a wet Shore D hardness between 25-80, inclusive. In other embodiments, the hydrogel material may have a water absorption capability of 4%-60% by weight, a microporosity of 1% to 20% by volume, micropores of 20-100 microns, a wet tensile strength greater than 1000 psi, a flexural modulus greater than 2000 psi, and a wet Shore D hardness between 25-80, inclusive. In either instance, the hydrogel material may be made from one or a combination of the following moeties: urethane, alkylene oxides, esters, ethers, acrylic acids, acrylamides, amides, imides, vinylalcohols, vinylacetates, acrylates, methacrylates, sulfones, urethanes, vinylchlorides, etheretherketones, and/or carbonates.
  • FIG. 5 shows a web pad manufacturing machine 500 configured in accordance with an embodiment of the present invention. The machine 500 consists of three primary rollers 501, 502 and 503. Roller 501 is used to provide guide layer 514, roller 502 is used to provide flexible under-layer 515, and roller 503 is an uptake roller on which the completed pad is collected after being assembled.
  • In operation, a sheet of guide layer material is mounted on roller 501 and passed through an assembly path defined by guide rollers 504, 507 and 510. As the guide layer material passes under polishing element dispensing station 512, polishing elements 513 are placed through holes in the guide layer material. This may be accomplished, for example, while mechanical vibration energy is applied so as to ensure that the polishing elements 513 extend fully into the holes in the guide layer material. Alternately a pick-and-place tool may be used to dispense polishing elements at predetermined locations in the guide layer material. An optional laser or machining system (not shown) may be added proximate to the guide rollers 507 and 510 to make holes in guide layer material 514 for the polishing elements.
  • As holes in the guide layer material 514 are occupied with polishing elements 513, a first stack 516 is formed. Flexible under-layer 515 is then attached to this guide layer—polishing element composite. Roller 502 supports a sheet of flexible under-layer material 515. That material is dispensed such that it passes through a path defined by guide rollers 505, 508 and 511, which brings the material into contact with the flexible under-layer-polishing element stack 516 to form composite stack 517. The flexible under-layer material 515 may be secured to the guide layer material 514 with an adhesive, which may be applied prior to the under-layer material 515 coming into contact with the guide layer material 514 (not shown in detail).
  • Not shown in this drawing is the addition of a slurry distribution material or a further compressible foam layer, but these optional layers may be added in a fashion similar to that discussed above. That is, the materials may be rolled off of support rollers, through assembly paths defined by a series of guide rollers and brought into contact with the guide layer/compressible under layer, as appropriate, and affixed thereto, e.g., by adhesive. The final stack of materials 517 defines the polishing pad, which may be taken up onto roller 503 through a path defined by guide rollers 509 and 506, for example.
  • Thus, an improved CMP polishing pad, method of manufacturing same and process for polishing semiconductor wafers and structures layered thereon, including metal damascene structures on such wafers, using such a pad has been described. Although the present polishing pad, processes for using it and methods for manufacturing same have been discussed with reference to certain illustrated examples, it should be remembered that the scope of the present invention should not be limited by such examples. Instead, the true scope of the invention should be measured on in terms of the claims, which follow.

Claims (10)

1. A polishing pad, comprising a sheet-like guide layer having holes therein, a plurality of individual polishing elements protruding through said holes, and a sheet-like flexible under-layer affixed to the guide layer so as to maintain the polishing elements in a substantially orthogonal orientation with respect to a plane defined by the guide layer, the polishing elements further being translatable along an axis orthogonal to said plane.
2. The polishing pad of claim 1, wherein at least some of the polishing elements are made of one of: solid polyurethane, micro-porous polyurethane, polyacrylic, or PVA.
3. The polishing pad of claim 1, wherein the guide layer is made of one of: polyester or polycarbonate.
4. The polishing pad of claim 1, wherein the flexible under-layer is made of one of: silicone, natural rubber, styrene butadiene rubber, neoprene, or polyurethane.
5. A method of making a polishing pad, comprising depositing a plurality of individual polishing elements into holes present in a sheet of a guide layer material and affixing to the guide layer a backing layer so as to maintain the polishing elements in a substantially orthogonal orientation with respect to a plane defined by the guide layer.
6. The method of claim 5, further comprising taking up a composite formed by the guide layer, the backing layer and the polishing elements onto an uptake roller.
7. The method of claim 5, wherein the backing layer is affixed to the guide layer by an adhesive.
8. The method of claim 5, wherein the guide layer material is directed to a position at which the polishing elements are deposited into the holes by external means.
9. The method of claim 8, wherein the holes are formed after the guide layer material is spooled off a feed roller.
10. The method of claim 8, wherein the backing layer is directed to a second position at which it is affixed to the guide layer material by one or more guide rollers different than the guide rollers used to direct the guide layer material to the position at which the polishing elements are deposited into the holes.
US11/968,442 2004-11-29 2008-01-02 Chemical mechanical planarization pad and method of use thereof Abandoned US20080318505A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/968,442 US20080318505A1 (en) 2004-11-29 2008-01-02 Chemical mechanical planarization pad and method of use thereof

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
US63118904P 2004-11-29 2004-11-29
US63925704P 2004-12-27 2004-12-27
PCT/US2005/035978 WO2006057720A1 (en) 2004-11-29 2005-10-05 Method and apparatus for improved chemical mechanical planarization pad with pressure control and process monitor
PCT/US2005/035660 WO2006057713A2 (en) 2004-11-29 2005-10-05 Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
US73925205P 2005-11-23 2005-11-23
US75800606P 2006-01-10 2006-01-10
US78426306P 2006-03-21 2006-03-21
US11/562,346 US20070224925A1 (en) 2006-03-21 2006-11-21 Chemical Mechanical Polishing Pad
US11/562,310 US7815778B2 (en) 2005-11-23 2006-11-21 Electro-chemical mechanical planarization pad with uniform polish performance
US11/697,622 US7846008B2 (en) 2004-11-29 2007-04-06 Method and apparatus for improved chemical mechanical planarization and CMP pad
US11/846,304 US20090061744A1 (en) 2007-08-28 2007-08-28 Polishing pad and method of use
US96968407P 2007-09-03 2007-09-03
US57694207A 2007-10-16 2007-10-16
US11/968,442 US20080318505A1 (en) 2004-11-29 2008-01-02 Chemical mechanical planarization pad and method of use thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/697,622 Continuation-In-Part US7846008B2 (en) 2004-11-29 2007-04-06 Method and apparatus for improved chemical mechanical planarization and CMP pad

Publications (1)

Publication Number Publication Date
US20080318505A1 true US20080318505A1 (en) 2008-12-25

Family

ID=40206958

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/968,442 Abandoned US20080318505A1 (en) 2004-11-29 2008-01-02 Chemical mechanical planarization pad and method of use thereof

Country Status (1)

Country Link
US (1) US20080318505A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080207101A1 (en) * 2007-02-22 2008-08-28 Sia Abrasives Industries Ag Abrasive Element
WO2011002149A2 (en) * 2009-07-01 2011-01-06 서강대학교 산학협력단 Cmp polishing pad with pores formed therein, and method for forming pores
CN102301455A (en) * 2009-01-27 2011-12-28 因诺派德公司 Chemical-mechanical planarization pad including patterned structural domains
US20120315830A1 (en) * 2009-12-30 2012-12-13 3M Innovative Properties Company Polishing pads including phase-separated polymer blend and method of making and using the same
US20120322348A1 (en) * 2009-12-22 2012-12-20 Jsr Corporation Pad for chemical mechanical polishing and method of chemical mechanical polishing using same
US20130102231A1 (en) * 2009-12-30 2013-04-25 3M Innovative Properties Company Organic particulate loaded polishing pads and method of making and using the same
US20160184966A1 (en) * 2011-08-11 2016-06-30 Tokyo Electron Limited Plasma processing device
US20200147750A1 (en) * 2014-10-17 2020-05-14 Applied Materials, Inc. Cmp pad construction with composite material properties using additive manufacturing processes

Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212910A (en) * 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
US5489233A (en) * 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
US5607346A (en) * 1993-05-14 1997-03-04 Wilson; Stuart M. Polishing tool component
US5609517A (en) * 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US5795218A (en) * 1996-09-30 1998-08-18 Micron Technology, Inc. Polishing pad with elongated microcolumns
US5893976A (en) * 1994-10-28 1999-04-13 M.J. Bauer Company, Inc Method for treatment of water
US6019666A (en) * 1997-05-09 2000-02-01 Rodel Holdings Inc. Mosaic polishing pads and methods relating thereto
US6024630A (en) * 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US6089965A (en) * 1998-07-15 2000-07-18 Nippon Pillar Packing Co., Ltd. Polishing pad
US6090475A (en) * 1996-05-24 2000-07-18 Micron Technology Inc. Polishing pad, methods of manufacturing and use
US6197076B1 (en) * 1999-04-05 2001-03-06 3M Innovative Properties Company Abrasive article method of making same and abrading apparatus
US20010035354A1 (en) * 2000-05-12 2001-11-01 Nu Tool Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US20010039175A1 (en) * 2000-02-29 2001-11-08 Reza Golzarian Polishing pad surface on hollow posts
US6498101B1 (en) * 2000-02-28 2002-12-24 Micron Technology, Inc. Planarizing pads, planarizing machines and methods for making and using planarizing pads in mechanical and chemical-mechanical planarization of microelectronic device substrate assemblies
US20030132120A1 (en) * 2002-01-11 2003-07-17 Ismail Emesh Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US20030153245A1 (en) * 2002-01-17 2003-08-14 Homayoun Talieh Advanced chemical mechanical polishing system with smart endpoint detection
US6612916B2 (en) * 2001-01-08 2003-09-02 3M Innovative Properties Company Article suitable for chemical mechanical planarization processes
US20030209528A1 (en) * 1998-08-26 2003-11-13 Choo Dae-Ho Laser cutting apparatus and method
US6692524B2 (en) * 2001-01-19 2004-02-17 Georges Baikoff Techniques and implants for correcting presbyopia
US20040110381A1 (en) * 2002-12-04 2004-06-10 Matsushita Electric Industrial Co., Ltd. Chemical mechanical polishing method and apparatus
US6769969B1 (en) * 1997-03-06 2004-08-03 Keltech Engineering, Inc. Raised island abrasive, method of use and lapping apparatus
US20040166779A1 (en) * 2003-02-24 2004-08-26 Sudhakar Balijepalli Materials and methods for chemical-mechanical planarization
US6794605B2 (en) * 2001-08-02 2004-09-21 Skc Co., Ltd Method for fabricating chemical mechanical polshing pad using laser
US20050032469A1 (en) * 2003-04-16 2005-02-10 Duescher Wayne O. Raised island abrasive, lapping apparatus and method of use
US6949128B2 (en) * 2001-12-28 2005-09-27 3M Innovative Properties Company Method of making an abrasive product
US6969412B2 (en) * 2002-07-26 2005-11-29 3M Innovative Properties Company Abrasive product, method of making and using the same, and apparatus for making the same
US6986705B2 (en) * 2004-04-05 2006-01-17 Rimpad Tech Ltd. Polishing pad and method of making same
US7020306B2 (en) * 2000-02-08 2006-03-28 Hitachi, Ltd. Polishing pad surface condition evaluation method and an apparatus thereof and a method of producing a semiconductor device
US20060079159A1 (en) * 2004-10-08 2006-04-13 Markus Naujok Chemical mechanical polish with multi-zone abrasive-containing matrix
US7192340B2 (en) * 2000-12-01 2007-03-20 Toyo Tire & Rubber Co., Ltd. Polishing pad, method of producing the same, and cushion layer for polishing pad
US7384437B2 (en) * 2002-07-26 2008-06-10 3M Innovative Properties Company Apparatus for making abrasive article

Patent Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212910A (en) * 1991-07-09 1993-05-25 Intel Corporation Composite polishing pad for semiconductor process
US5607346A (en) * 1993-05-14 1997-03-04 Wilson; Stuart M. Polishing tool component
US5489233A (en) * 1994-04-08 1996-02-06 Rodel, Inc. Polishing pads and methods for their use
US5893976A (en) * 1994-10-28 1999-04-13 M.J. Bauer Company, Inc Method for treatment of water
US6024630A (en) * 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US20020173255A1 (en) * 1995-06-09 2002-11-21 Norman Shendon Chemical mechanical polishing retaining ring
US5609517A (en) * 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US6090475A (en) * 1996-05-24 2000-07-18 Micron Technology Inc. Polishing pad, methods of manufacturing and use
US5795218A (en) * 1996-09-30 1998-08-18 Micron Technology, Inc. Polishing pad with elongated microcolumns
US6769969B1 (en) * 1997-03-06 2004-08-03 Keltech Engineering, Inc. Raised island abrasive, method of use and lapping apparatus
US6019666A (en) * 1997-05-09 2000-02-01 Rodel Holdings Inc. Mosaic polishing pads and methods relating thereto
US6089965A (en) * 1998-07-15 2000-07-18 Nippon Pillar Packing Co., Ltd. Polishing pad
US20030209528A1 (en) * 1998-08-26 2003-11-13 Choo Dae-Ho Laser cutting apparatus and method
US6197076B1 (en) * 1999-04-05 2001-03-06 3M Innovative Properties Company Abrasive article method of making same and abrading apparatus
US7020306B2 (en) * 2000-02-08 2006-03-28 Hitachi, Ltd. Polishing pad surface condition evaluation method and an apparatus thereof and a method of producing a semiconductor device
US6498101B1 (en) * 2000-02-28 2002-12-24 Micron Technology, Inc. Planarizing pads, planarizing machines and methods for making and using planarizing pads in mechanical and chemical-mechanical planarization of microelectronic device substrate assemblies
US20010039175A1 (en) * 2000-02-29 2001-11-08 Reza Golzarian Polishing pad surface on hollow posts
US20010035354A1 (en) * 2000-05-12 2001-11-01 Nu Tool Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US7192340B2 (en) * 2000-12-01 2007-03-20 Toyo Tire & Rubber Co., Ltd. Polishing pad, method of producing the same, and cushion layer for polishing pad
US6612916B2 (en) * 2001-01-08 2003-09-02 3M Innovative Properties Company Article suitable for chemical mechanical planarization processes
US6692524B2 (en) * 2001-01-19 2004-02-17 Georges Baikoff Techniques and implants for correcting presbyopia
US20040232121A1 (en) * 2001-08-02 2004-11-25 Inha Park Method for fabricating polishing pad using laser beam and mask
US6794605B2 (en) * 2001-08-02 2004-09-21 Skc Co., Ltd Method for fabricating chemical mechanical polshing pad using laser
US6949128B2 (en) * 2001-12-28 2005-09-27 3M Innovative Properties Company Method of making an abrasive product
US20030132120A1 (en) * 2002-01-11 2003-07-17 Ismail Emesh Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US20030153245A1 (en) * 2002-01-17 2003-08-14 Homayoun Talieh Advanced chemical mechanical polishing system with smart endpoint detection
US6969412B2 (en) * 2002-07-26 2005-11-29 3M Innovative Properties Company Abrasive product, method of making and using the same, and apparatus for making the same
US7384437B2 (en) * 2002-07-26 2008-06-10 3M Innovative Properties Company Apparatus for making abrasive article
US20040110381A1 (en) * 2002-12-04 2004-06-10 Matsushita Electric Industrial Co., Ltd. Chemical mechanical polishing method and apparatus
US20040166779A1 (en) * 2003-02-24 2004-08-26 Sudhakar Balijepalli Materials and methods for chemical-mechanical planarization
US20050032469A1 (en) * 2003-04-16 2005-02-10 Duescher Wayne O. Raised island abrasive, lapping apparatus and method of use
US6986705B2 (en) * 2004-04-05 2006-01-17 Rimpad Tech Ltd. Polishing pad and method of making same
US20060079159A1 (en) * 2004-10-08 2006-04-13 Markus Naujok Chemical mechanical polish with multi-zone abrasive-containing matrix

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080207101A1 (en) * 2007-02-22 2008-08-28 Sia Abrasives Industries Ag Abrasive Element
CN102301455A (en) * 2009-01-27 2011-12-28 因诺派德公司 Chemical-mechanical planarization pad including patterned structural domains
US9162341B2 (en) 2009-01-27 2015-10-20 Fns Tech Co., Ltd Chemical-mechanical planarization pad including patterned structural domains
WO2011002149A2 (en) * 2009-07-01 2011-01-06 서강대학교 산학협력단 Cmp polishing pad with pores formed therein, and method for forming pores
WO2011002149A3 (en) * 2009-07-01 2011-03-10 서강대학교 산학협력단 Cmp polishing pad with pores formed therein, and method for forming pores
US20120322348A1 (en) * 2009-12-22 2012-12-20 Jsr Corporation Pad for chemical mechanical polishing and method of chemical mechanical polishing using same
US20130102231A1 (en) * 2009-12-30 2013-04-25 3M Innovative Properties Company Organic particulate loaded polishing pads and method of making and using the same
US20120315830A1 (en) * 2009-12-30 2012-12-13 3M Innovative Properties Company Polishing pads including phase-separated polymer blend and method of making and using the same
US9162340B2 (en) * 2009-12-30 2015-10-20 3M Innovative Properties Company Polishing pads including phase-separated polymer blend and method of making and using the same
US20160184966A1 (en) * 2011-08-11 2016-06-30 Tokyo Electron Limited Plasma processing device
US10081090B2 (en) * 2011-08-11 2018-09-25 Tokyo Electron Limited Method of manufacturing an upper electrode of a plasma processing device
US20200147750A1 (en) * 2014-10-17 2020-05-14 Applied Materials, Inc. Cmp pad construction with composite material properties using additive manufacturing processes
US11958162B2 (en) * 2014-10-17 2024-04-16 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes

Similar Documents

Publication Publication Date Title
US7846008B2 (en) Method and apparatus for improved chemical mechanical planarization and CMP pad
US20080318505A1 (en) Chemical mechanical planarization pad and method of use thereof
US8133096B2 (en) Multi-phase polishing pad
US6964604B2 (en) Fiber embedded polishing pad
JP4884726B2 (en) Manufacturing method of laminated polishing pad
US8066552B2 (en) Multi-layer polishing pad for low-pressure polishing
US8075745B2 (en) Electro-method and apparatus for improved chemical mechanical planarization pad with uniform polish performance
US6498101B1 (en) Planarizing pads, planarizing machines and methods for making and using planarizing pads in mechanical and chemical-mechanical planarization of microelectronic device substrate assemblies
US20020111120A1 (en) Fixed abrasive article for use in modifying a semiconductor wafer
US20140370788A1 (en) Low surface roughness polishing pad
US20060030156A1 (en) Abrasive conductive polishing article for electrochemical mechanical polishing
EP2323808A1 (en) Polishing pad with floating elements and method of making and using the same
JPH106212A (en) Polishing pad, polishing device and manufacture of semiconductor device
WO2006057720A1 (en) Method and apparatus for improved chemical mechanical planarization pad with pressure control and process monitor
US7654885B2 (en) Multi-layer polishing pad
US20040121709A1 (en) Deformable pad for chemical mechanical polishing
EP1799402A1 (en) Method and apparatus for improved chemical mechanical planarization
US6942549B2 (en) Two-sided chemical mechanical polishing pad for semiconductor processing
JPH11156701A (en) Polishing pad
JP4563025B2 (en) Polishing pad for CMP and polishing method using the same
US7316605B1 (en) Sheet for mounting polishing workpiece and method for making the same
US20030032378A1 (en) Polishing surface constituting member and polishing apparatus using the polishing surface constituting member
US20070224925A1 (en) Chemical Mechanical Polishing Pad
WO2001074535A9 (en) Fixed abrasive linear polishing belt and system using the same
JP2002059357A (en) Polishing pad, polishing device and polishing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: SEMIQUEST INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BAJAJ, RAJEEV;REEL/FRAME:021505/0197

Effective date: 20080904

AS Assignment

Owner name: 3M IPC, MINNESOTA

Free format text: SECURITY AGREEMENT;ASSIGNOR:SEMIQUEST, INC.;REEL/FRAME:021977/0139

Effective date: 20070831

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE

AS Assignment

Owner name: 3M INNOVATIVE PROPERTIES COMPANY, MINNESOTA

Free format text: SECURITY AGREEMENT;ASSIGNOR:SEMIQUEST, INC.;REEL/FRAME:026526/0989

Effective date: 20070831