US20090001511A1 - High performance system-on-chip using post passivation process - Google Patents

High performance system-on-chip using post passivation process Download PDF

Info

Publication number
US20090001511A1
US20090001511A1 US12/208,353 US20835308A US2009001511A1 US 20090001511 A1 US20090001511 A1 US 20090001511A1 US 20835308 A US20835308 A US 20835308A US 2009001511 A1 US2009001511 A1 US 2009001511A1
Authority
US
United States
Prior art keywords
layer
over
metal
inductor
passivation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/208,353
Inventor
Mou-Shiung Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Megica Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/092,379 external-priority patent/US7459761B2/en
Application filed by Megica Corp filed Critical Megica Corp
Priority to US12/208,353 priority Critical patent/US20090001511A1/en
Assigned to MEGICA CORPORATION reassignment MEGICA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, MOUSHIUNG
Publication of US20090001511A1 publication Critical patent/US20090001511A1/en
Assigned to MEGIT ACQUISITION CORP. reassignment MEGIT ACQUISITION CORP. MERGER (SEE DOCUMENT FOR DETAILS). Assignors: MEGICA CORPORATION
Assigned to QUALCOMM INCORPORATED reassignment QUALCOMM INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MEGIT ACQUISITION CORP.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Definitions

  • the invention relates to the manufacturing of high performance Integrated Circuit (IC's), and, more specifically, to methods of creating high performance electrical components (such as an inductor) on the surface of a semiconductor substrate by reducing the electromagnetic losses that are typically incurred in the surface of the substrate.
  • IC Integrated Circuit
  • a typical application for inductors of the invention is in the field of modern mobile communication applications that make use of compact, high-frequency equipment. Continued improvements in the performance characteristics of this equipment has over the years been achieved, further improvements will place continued emphasis on lowering the power consumption of the equipment, on reducing the size of the equipment, on increasing the operational frequency of the applications and on creating low noise levels.
  • RF amplifiers contain a number of standard components, a major component of a typical RF amplifier is a tuned circuit that contains inductive and capacitive components.
  • Tuned circuits form, dependent on and determined by the values of their inductive and capacitive components, an impedance that is frequency dependent, enabling the tuned circuit to either present a high or a low impedance for signals of a certain frequency.
  • the tuned circuit can therefore either reject or pass and further amplify components of an analog signal, based on the frequency of that component.
  • the tuned circuit can in this manner be used as a filter to filter out or remove signals of certain frequencies or to remove noise from a circuit configuration that is aimed at processing analog signals.
  • the tuned circuit can also be used to form a high electrical impedance by using the LC resonance of the circuit and to thereby counteract the effects of parasitic capacitances that are part of a circuit.
  • the electromagnetic field that is generated by the inductor induces eddy currents in the underlying silicon substrate. Since the silicon substrate is a resistive conductor, the eddy currents will consume electromagnetic energy resulting in significant energy loss, resulting in a low Q capacitor. This is the main reason for a low Q value of a capacitor, whereby the resonant frequency of 1/ ⁇ (LC) limits the upper boundary of the frequency. In addition, the eddy currents that are induced by the inductor will interfere with the performance of circuitry that is in close physical proximity to the capacitor.
  • the inductor that forms part of an LC resonance circuit.
  • the creation of the inductor must incorporate the minimization of the surface area that is required for the inductor, while at the same time maintaining a high Q value for the inductor.
  • inductors that are created on the surface of a substrate are of a spiral shape whereby the spiral is created in a plane that is parallel with the plane of the surface of the substrate.
  • Conventional methods that are used to create the inductor on the surface of a substrate suffer several limitations.
  • Most high Q inductors form part of a hybrid device configuration or of Monolithic Microwave Integrated Circuits (MMIC's) or are created as discrete components, the creation of which is not readily integratable into a typical process of Integrated Circuit manufacturing. It is clear that, by combining the creation on one semiconductor monolithic substrate of circuitry that is aimed at the functions of analog data manipulation and analog data storage with the functions of digital data manipulation and digital data storage, a number of significant advantages can be achieved.
  • the performance parameter of an inductor is typically indicated is the Quality (Q) factor of the inductor.
  • Es is the energy that is stored in the reactive portion of the component
  • El is the energy that is lost in the reactive portion of the component.
  • the higher the quality of the component the closer the resistive value of the component approaches zero while the Q factor of the component approaches infinity.
  • the quality factor for components differs from the quality that is associated with filters or resonators.
  • the quality factor serves as a measure of the purity of the reactance (or the susceptance) of the component, which can be degraded due to the resistive silicon substrate, the resistance of the metal lines and dielectric losses. In an actual configuration, there are always some physical resistors that will dissipate power, thereby decreasing the power that can be recovered.
  • the quality factor Q is dimensionless. A Q value of greater than 100 is considered very high for discrete inductors that are mounted on the surface of Printed Circuit Boards. For inductors that form part of an integrated circuit, the Q value is typically in the range between about 3 and 10.
  • the parasitic capacitances that occur as part of this creation limit the upper bound of the cut-off frequency that can be achieved for the inductor using conventional silicon processes. This limitation is, for many applications, not acceptable. Dependent on the frequency at which the LC circuit is designed to resonate, significantly larger values of quality factor, such as for instance 50 or more, must be available. Prior Art has in this been limited to creating values of higher quality factors as separate units, and in integrating these separate units with the surrounding device functions. This negates the advantages that can be obtained when using the monolithic construction of creating both the inductor and the surrounding devices on one and the same semiconductor substrate.
  • the non-monolithic approach also has the disadvantage that additional wiring is required to interconnect the sub-components of the assembly, thereby again introducing additional parasitic capacitances and resistive losses over the interconnecting wiring network.
  • additional wiring is required to interconnect the sub-components of the assembly, thereby again introducing additional parasitic capacitances and resistive losses over the interconnecting wiring network.
  • power consumption is at a premium and must therefore be as low as possible.
  • the effects of parasitic capacitances and resistive power loss can be partially compensated, but there are limitations to even this approach.
  • These problems take on even greater urgency with the rapid expansion of wireless applications, such as portable telephones and the like.
  • Wireless communication is a rapidly expanding market, where the integration of RF integrated circuits is one of the most important challenges.
  • One of the approaches is to significantly increase the frequency of operation to for instance the range of 10 to 100 GHz. For such high frequencies, the value of the quality factor obtained from silicon-based inductors is significantly degraded.
  • monolithic inductors have been researched using other than silicon as the base for the creation of the inductors.
  • Such monolithic inductors have for instance been created using sapphire or GaAs as a base.
  • These inductors have considerably lower substrate losses than their silicon counterparts (no eddy current, hence no loss of electromagnetic energy) and therefore provide much higher Q inductors.
  • they have lower parasitic capacitance and therefore provide higher frequency operation capabilities. Where however more complex applications are required, the need still exists to create inductors using silicon as a substrate.
  • GaAs is a semiinsulating material at high frequencies, reducing the electromagnetic losses that are incurred in the surface of the GaAs substrate, thereby increasing the Q value of the inductor created on the GaAs surface.
  • GaAs RF chips however are expensive, a process that can avoid the use of GaAs RF chips therefore offers the benefit of cost advantage.
  • a number of different approaches have been used to incorporate inductors into a semiconductor environment without sacrificing device performance due to substrate losses.
  • One of these approaches has been to selectively remove (by etching) the silicon underneath the inductor (using methods of micro machining), thereby removing substrate resistive energy losses and parasitic effects.
  • Another method has been to use multiple layers of metal (such as aluminum) interconnects or of copper damascene interconnects.
  • the Q value of the inductor can be increased.
  • the parasitic resistance is reduced.
  • the process of the invention applies these principles of post passivation inductor creation while the inductor is created on a thick layer of dielectric using thick and wide metals.
  • U.S. Pat. No. 5,212,403 shows a method of forming wiring connections both inside and outside (in a wiring substrate over the chip) for a logic circuit depending on the length of the wire connections.
  • U.S. Pat. No. 5,501,006 shows a structure with an insulating layer between the integrated circuit (IC) and the wiring substrate.
  • a distribution lead connects the bonding pads of the IC to the bonding pads of the substrate.
  • U.S. Pat. No. 5,055,907 discloses an extended integration semiconductor structure that allows manufacturers to integrate circuitry beyond the chip boundaries by forming a thin film multi-layer wiring decal on the support substrate and over the chip.
  • this reference differs from the invention.
  • U.S. Pat. No. 5,106,461 (Volfson et al.) teaches a multi layer interconnect structure of alternating polyimide (dielectric) and metal layers over an IC in a TAB structure.
  • U.S. Pat. No. 5,635,767 (Wenzel et al.) teaches a method for reducing RC delay by a PBGA that separates multiple metal layers.
  • U.S. Pat. No. 5,686,764 shows a flip chip substrate that reduces RC delay by separating the power and I/0 traces.
  • Another objective of the invention is to provide a method for the creation of a high-Q inductor.
  • Another objective of the invention is to replace the GaAs chip with a silicon chip as a base on which a high-Q inductor is created.
  • Yet another objective of the invention is to extend the frequency range of the inductor that is created on the surface of a silicon substrate.
  • the above referenced continuation-in-part application adds, in a post passivation processing sequence, a thick layer of dielectric over a layer of passivation and layers of wide and thick metal lines on top of the thick layer of dielectric.
  • the present invention extends the above referenced continuation-in part application by in addition creating high quality electrical components, such as an inductor, a capacitor or a resistor, on a layer of passivation or on the surface of a thick layer of dielectric.
  • the process of the invention provides a method for mounting discrete passive electrical components at a significant distance removed from the underlying silicon surface.
  • FIG. 1 shows a cross section of the interconnection scheme used in the invention.
  • FIG. 2 shows a cross section of an extension whereby an inductor has been created on the surface of a thick layer of polyimide.
  • FIG. 3 shows a top view of an inductor that is created following the process of the invention.
  • FIG. 4 shows a cross section of a substrate and overlying layers, an inductor has been created on the surface of a thick layer of polyimide, a layer of ferromagnetic material has been added to further insulate the inductor from the underlying silicon substrate.
  • FIG. 5 a shows a cross section of a simplified version of the substrate and the layers that are created on the surface of the substrate.
  • FIG. 5 b shows the cross section of FIG. 5 a , an inductor has been added above the layer of passivation.
  • FIG. 6 a shows a cross section of a substrate on the surface of which has been deposited a layer of passivation, a capacitor has been created on the surface of the layer of passivation.
  • FIG. 6 b shows a three dimensional view of an inductor that has been created on the surface of a layer of passivation by creating vias in a thick layer of polymer.
  • FIG. 6 c shows a three-dimensional view of an inductor that has been created in a thick layer of polymer that has been deposited on the surface of a thick layer of polyimide.
  • FIG. 6 d shows a top view of the layer 20 on the surface of which an inductor has been created.
  • FIG. 6 e shows a cross section of the structure of FIG. 6 d taken along the line 6 e - 6 e ′ of FIG. 6 d.
  • FIG. 6 f shows a three dimensional view of an inductor that has been created on the surface of a layer of passivation, the inductor has the shape of a solenoid.
  • FIG. 6 g shows a top view of the inductor of FIG. 6 f.
  • FIG. 7 shows a cross section of a substrate on the surface of which has been deposited a layer of passivation over which a thick layer of polyimide has been deposited, a capacitor has been created on the surface of the thick layer of polyimide.
  • FIG. 8 shows a cross section of a substrate on the surface of which has been deposited a layer of passivation, a resistor has been created on the surface of the layer of passivation.
  • FIG. 9 shows a cross section of a substrate on the surface of which has been deposited a layer of passivation over which a thick layer of polyimide has been deposited, a resistor has been created on the surface of the thick layer of polyimide.
  • FIG. 10 shows a cross section of a silicon substrate on the surface of which a discrete electrical component has been mounted, contact balls are used whereby the distance between the substrate and the electrical component is of a significant value, a thick layer of polyimide has been used.
  • FIG. 11 shows a cross section of a silicon substrate on the surface of which a discrete electrical component has been mounted, thick contact balls are used whereby the distance between the substrate and the electrical component is of a significant value, no layer of polyimide has been used.
  • Integrated Circuit structure where re-distribution and interconnect metal layers are created in layers of dielectric on the surface of a conventional IC.
  • a layer of passivation is deposited over the dielectric of the re-distribution and interconnection metal layers, a thick layer of polymer is deposited over the surface of the layer of passivation.
  • a high-quality electrical component is created on the surface of the thick layer of polymer.
  • the invention addresses, among others, the creation of an inductor whereby the emphasis is on creating an inductor of high Q value on the surface of a semiconductor substrate using methods and procedures that are well known in the art for the creation of semiconductor devices.
  • the high quality of the inductor of the invention allows for the use of this inductor in high frequency applications while incurring minimum loss of power.
  • the invention further addresses the creation of a capacitor and a resistor on the surface of a silicon substrate whereby the main objective (of the process of creating a capacitor and resistor) is to reduce parasitics that are typically incurred by these components in the underlying silicon substrate.
  • FIG. 1 there is shown a cross section of one implementation of the referenced application.
  • the surface of silicon substrate 10 has been provided with transistors and other devices (not shown in FIG. 1 ).
  • the surface of substrate 10 is covered by a dielectric layer 12 , layer 12 of dielectric is therefore deposited over the devices that have been provided in the surface of the substrate and over the substrate 10 .
  • Conductive interconnect lines 11 are provided inside layer 12 that connect to the semiconductor devices that have been provided in the surface of substrate 10 .
  • Layers 14 represent all of the metal layers, dielectric layers and conductive vias that are typically created on top of the dielectric layer 12 , layers 14 that are shown in FIG. 1 may therefore contain multiple layers of dielectric or insulation and the like, conductive interconnect lines 13 make up the network of electrical connections that are created throughout layers 14 .
  • Overlying and on the surface of layers 14 are points 16 of electrical contact. These points 16 of electrical contact can for instance be bond pads that establish the electrical interconnects to the transistors and other devices that have been provided in the surface of the substrate 10 . These points of contact 16 are points of interconnect within the IC arrangement that need to be further connected to surrounding circuitry.
  • These points 16 of electrical contact having been provided in or on the surface of said overlaying interconnecting metalization structure 14 comprise a material that is selected from a group comprising sputtered aluminum, CVD tungsten, CVD copper, electroplated gold, electroplated silver, electroplated copper, electroless gold and electroless nickel.
  • a passivation layer 18 formed of for example silicon nitride, is deposited over the surface of layers 14 to protect underlying layers from moisture, contamination, etc.
  • the above referenced material that is used for the deposition of layer 20 is polyimide
  • the material that can be used for this layer is not limited to polyimide but can contain any of the known polymers (SiCl x O y ).
  • the indicated polyimide is the preferred material to be used for the processes of the invention for the thick layer 20 of polymer.
  • Examples of polymers that can be used are silicons, carbons, fluoride, chlorides, oxygens, parylene or teflon, polycarbonate (PC), polysterene (PS), polyoxide (PO), poly polooxide (PPO), benzocyclobutene (BCB).
  • Electrical contact with the contact points 16 can now be established by filling the openings 22 / 36 / 38 with a conductive material.
  • the top surfaces 24 of these metal conductors that are contained in openings 22 / 36 / 38 can now be used for connection of the IC to its environment, and for further integration into the surrounding electrical circuitry.
  • semiconductor devices that have been provided in the surface of substrate 10 can, via the conductive interconnects contained in openings 22 / 36 / 38 , be further connected to surrounding components and circuitry.
  • Interconnect pads 26 and 28 are formed on top of surfaces 24 of the metal interconnects contained in openings 22 , 36 and 38 . These pads 26 and 28 can be of any design in width and thickness to accommodate specific circuit design requirements.
  • a pad can, for instance, be used as a flip chip pad.
  • Other pads can be used for power distribution or as a ground or signal bus.
  • the following connections can, for instance, be made to the pads shown in FIG. 1 : pad 26 can serve as a flip chip pad, pad 28 can serve as a flip chip pad or can be connected to electrical power or to electrical ground or to an electrical signal bus.
  • pad size and the standard rules and restrictions of electrical circuit design determine the electrical connections to which a given pad lends itself.
  • the following comments relate to the size and the number of the contact points 16 , FIG. 1 . Because these contact points 16 are located on top of a thin dielectric (layers 14 , FIG. 1 ) the pad size cannot be too large since a large pad size brings with it a large capacitance. In addition, a large pad size will interfere with the routing capability of that layer of metal. It is therefore preferred to keep the size of the pad 16 relatively small.
  • the size of pad 16 is however also directly related with the aspect ratio of vias 22 / 36 / 38 . An aspect ratio of about 5 is acceptable for the consideration of via etching and via filling. Based on these considerations, the size of the contact pad 16 can be in the order of 0.5 ⁇ m to 30 ⁇ m, the exact size being dependent on the thickness of layers 18 and 20 .
  • Layer 18 in FIG. 1 can be a typical IC passivation layer.
  • passivation layer 18 The most frequently used passivation layer in the present state of the art is plasma enhanced CVD (PECVD) oxide and nitride.
  • PECVD plasma enhanced CVD
  • a layer of approximately 0.2 ⁇ m PECVD oxide can be deposited first followed by a layer of approximately 0.7 ⁇ m nitride.
  • Passivation layer 18 is very important because it protects the device wafer from moisture and foreign ion contamination.
  • the positioning of this layer between the sub-micron process (of the integrated circuit) and the tens-micron process (of the interconnecting metalization structure) is of critical importance since it allows for a cheaper process that possibly has less stringent clean room requirements for the process of creating the interconnecting metalization structure.
  • Layer 20 is a thick polymer dielectric layer (for example polyimide) that have a thickness in excess of 2 ⁇ m (after curing).
  • the range of the polymer thickness can vary from 2 ⁇ m to 150 ⁇ m, dependent on electrical design requirements.
  • the Hitachi-Dupont polyimide HD 2732 or 2734 can, for example, be used.
  • the polyimide can be spin-on coated and cured. After spin-on coating, the polyimide will be cured at 400 degrees C. for about 1 hour in a vacuum or nitrogen ambient. For a thicker layer of polyimide, the polyimide film can be multiple coated and cured.
  • BCB polymer benzocyclobutene
  • openings 22 , 36 and 38 have previously been discussed.
  • the dimension of the openings together with the dielectric thickness determine the aspect ratio of the opening.
  • the aspect ratio challenges the via etch process and the metal filling capability. This leads to a diameter for openings 22 / 36 / 38 in the range of approximately 0.5 ⁇ m to 30 ⁇ m, the height for openings 22 / 36 / 38 can be in the range of approximately 2 ⁇ m to 150 ⁇ m.
  • the aspect ratio of openings 22 / 36 / 38 is designed such that filling of the via with metal can be accomplished.
  • the via can be filled with CVD metal such as CVD tungsten or CVD copper, with electro-less nickel, with a damascene metal filling process, with electroplating copper, etc.
  • Extensions can be provided by applying multiple layers of polymer (such as polyimide) and can therefore be adapted to a larger variety of applications.
  • the function of the structure that has been described in FIG. 1 can be further extended by depositing a second layer of polyimide on top of the previously deposited layer 20 and overlaying the pads 26 and 28 .
  • Selective etching and metal deposition can further create additional contact points on the surface of the second layer of polyimide that can be interconnected with pads 26 and 28 .
  • Additional layers of polyimide and the thereon created contact pads can be customized to a particular application, the indicated extension of multiple layers of polyimides greatly enhances the flexibility and usefulness of the invention.
  • FIG. 1 shows a basic design advantage which allows for submicron or fine-lines, that run in the immediate vicinity of the metal layers 14 and the contact points 16 , to be extended in an upward direction 30 through metal interconnect 36 .
  • This extension continues in the direction 32 in the horizontal plane of the metal interconnect 28 and comes back down in the downward direction 34 through metal interconnect 38 .
  • the functions and constructs of the passivation layer 18 and the insulating layer 20 remain as previously highlighted.
  • This basic design advantage of the invention is to “elevate” or “fan-out” the fine-line interconnects and to remove these interconnects from the micro and sub-micro level to a metal interconnect level that has considerably larger dimensions and that therefore has smaller resistance and capacitance and is easier and more cost effective to manufacture.
  • the interconnections 28 , 36 and 38 interconnect the fine-level metal by going up through the passivation and polymer or polyimide dielectric layers, continuing over a distance on the wide and thick metal level and continuing by descending from the wide and thick metal level back down to the fine-metal level by again passing down through the passivation and polymer or polyimide dielectric layers.
  • FIG. 2 shows how the basic interconnect aspect can further be extended under the present invention to not only elevate the fine-metal to the plane of the wide and thick metal but to also add an inductor on the surface of the thick layer 20 of polyimide.
  • the inductor is created in a plane that is parallel with the surface of the substrate 10 whereby this plane however is separated from the surface of the substrate 10 by the combined heights of layers 12 , 14 , 18 , and 20 .
  • FIG. 2 shows a cross section 40 of the inductor taken in a plane that is perpendicular to the surface of substrate 10 .
  • the wide and thick metal will also contribute to a reduction of the resistive energy losses.
  • the low resistivity metal such as gold, silver and copper, can be applied using electroplating, the thickness can be about 20 ⁇ m.
  • FIG. 3 shows a top view 42 of the spiral structure of the inductor 40 that has been created on the surface of layer 20 of dielectric.
  • the cross section that is shown in FIG. 2 of the inductor 40 has been taken along the line 2 - 2 ′ of FIG. 3 .
  • the method used for the creation of the inductor 40 uses conventional methods of metal, such as gold, copper and the like, deposition by electroplating or metal sputter processes.
  • the inductor 40 may be embedded in a dielectric and covered by another one passivation layer.
  • FIG. 4 shows a top view of inductor 40 whereby the inductor has been further isolated from the surface of the substrate 10 by the addition of layer 44 of ferromagnetic material.
  • the layer 44 has a thickness of between about 1,000 and 50,000 Angstrom. Openings are created in layer 44 of ferromagnetic material for the conductors 36 and 38 , the layer 44 is deposited using conventional methods to a thickness that can be experimentally determined and that is influenced by and partially dependent on the types of materials used and the thickness of the layers that are used overlying the ferromagnetic material (such as layer 20 ) for the creation of the structure that is shown in cross section in FIG. 4 .
  • the surface area of the ferromagnetic layer 44 typically extends over the surface of layer 18 such that the inductor 40 aligns with and overlays the layer 44 , the surface area of layer 44 can be extended slightly beyond these boundaries to further improve shielding the surface of substrate 10 from the electromagnetic field of inductor 40 .
  • Layer 44 is not limited to being a layer of ferromagnetic material but can also be a layer of a good conductor such as but not limited to gold, copper and aluminum.
  • the overlying inductor 40 that is created on the surface of layer 20 of polyimide can be isolated from the underlying silicon substrate 10 by a layer 44 that comprises either ferromagnetic or a good conductor.
  • FIG. 5 a shows, for reasons of clarity, a simplified cross section of the substrate and the layers that are created on the surface of the substrate under the processes of the invention, the highlighted areas that are shown have previously been identified as:
  • the thick layer 20 of polymer can be coated in liquid form on the surface of the layer 18 of passivation or can be laminated over the surface of layer 18 of passivation by dry film application.
  • Vias that are required for the creation of conductive plugs 21 can be defined by conventional processes of photolithography or can be created using laser (drill) technology.
  • Layer 12 of dielectric may, in the cross section that is shown in FIG. 5 a , be part of layer 14 since layer 14 is a layer of Intra Level Dielectric (ILD) within which layer 12 can be readily integrated.
  • ILD Intra Level Dielectric
  • FIG. 5 b With respect to the cross section that is shown in FIG. 5 b , the same layers that have been identified for FIG. 5 a are again provided in this cross section. Additionally has been shown the upper layer 17 of the silicon substrate 10 that contains active semiconductor devices. Also shown is the cross section of an inductor 19 that has been created on the surface of layer 18 of passivation. It must again be emphasized that the ohmic resistivity of the metal that is used for inductor 19 must be as low as possible. For this reason, the use of a thick layer of for instance gold is preferred for the formation of inductor 19 . It has been shown that a thick layer of gold increased the Q value of inductor 19 from about 5 to about 20 for 2.4 GHz applications, which represents a significant improvement in the Q value of inductor 19 .
  • FIG. 6 a shows a cross section of a capacitor that has been created on the surface of a substrate 10 .
  • a layer 14 of conductive interconnect lines and contact points has been created on the surface of substrate 10 .
  • a layer 18 of passivation has been deposited over the surface of layer 14 , openings have been created in layer 18 of passivation through which the surface of contact pads 16 can be accessed.
  • a capacitor contains, as is well known, a lower plate, an upper plate and a layer of dielectric that separates the upper plate from the lower plate. These components of a capacitor can be readily identified from the cross section that is shown in FIG. 6 a , as follows:
  • the process of creating the capacitor is therefore referred to as a post-passivation processing sequence.
  • Processing conditions and materials that can be used for the creation of the respective layers 42 , 44 and 46 have already been highlighted and need therefore not be further detailed at this time.
  • Multiple semiconductor devices are created in or on the silicon substrate 10 , wherein the semiconductor devices are transistors, for example.
  • the main points of interest are the various thicknesses to which the three layers 42 , 44 and 46 can be deposited, as follows:
  • the post-passivation created capacitor that is shown in cross section in FIG. 6 a has:
  • FIG. 6 b shows a three-dimensional view of the solenoid structure of an inductor 19 that has been created on the surface of the layer 18 of passivation. Further highlighted in FIG. 6 b are:
  • FIG. 6 c shows a three dimensional view of an inductor that has been created on the surface of a layer 18 of passivation by first depositing a thick layer 29 of polymer over which a layer (not shown) of polymer is deposited, vias 23 are created in the thick layer 20 ( FIG. 5 a ) of polymer.
  • FIG. 6 c shows a layer 29 of polyimide.
  • the inductor 19 is created by creating the bottom metal 25 of the inductor 19 , the top metal 27 of the inductor and the vias 23 that are created in layer 20 ( FIG. 5 a ) that preferably contains a polymer.
  • FIG. 6 d shows a top view of layer 20 on the surface of which an inductor has been created as previously shown in FIG. 6 c .
  • Vias 23 are highlighted as are top metal lines 27 of the inductor 19 , bottom metal lines 25 of the inductor 19 (hatched since they are not visible on the surface of the layer 20 ). Further detailed are vias 23 ′ and 23 ′′, the lower extremity of via 23 ′ and the upper extremity of via 23 ′′ are connected to interconnect lines 31 and 33 ( FIG. 6 e ) respectively, theses interconnect lines 31 and 33 provide the connection for further interconnect of the inductor 19 .
  • FIG. 6 e shows a cross section of the structure of FIG. 6 d whereby this cross section is taken along the line 6 e - 6 e ′ that is shown in FIG. 6 d .
  • Contact pads 16 ′ have been provided on the surface of layer 18 of passivation, these contact pads 16 ′ make contact with the vias 23 , 23 ′ and 23 ′′ for interconnection between the bottom metal 25 of inductor 19 and the upper metal 27 of the inductor 19 .
  • Interconnects to vias 23 ′ and 23 ′′ are the lines 31 and 33 which, as previously stated, connect the inductor 19 to surrounding electrical circuitry or components.
  • FIGS. 6 f and 6 g The creation of a toroidal inductor overlying a layer of passivation has been shown in FIGS. 6 f and 6 g where toroidal coil 19 ′ is created on the surface of a layer 18 of passivation.
  • Top level metal 27 ′, bottom level metal 25 ′ and vias 23 ′ that interconnect bottom level metal 25 ′ with top level metal 27 ′ have been highlighted in FIG. 6 f.
  • FIG. 6 g shows, for further clarification, a top view of the toroidal 19 ′ of FIG. 6 f .
  • the highlighted features of this figure have previously been explained and therefore do not need to be further discussed at this time.
  • FIG. 7 shows a cross section where, as in FIG. 6 a , a capacitor is created on the surface of substrate 10 .
  • a thick layer 20 of polyimide has been deposited over the surface of the passivation layer 18 and has been patterned and etched in order to make the contact pads 16 accessible though the thick layer 20 of polyimide.
  • the thick layer 20 of polymer removes most of the capacitor, that is the lower plate 42 , the upper plate 44 and the dielectric 46 , from the surface of substrate 10 by a distance that is equal to the thickness of layer 20 . It has previously been state that the range of polyimide thickness can vary from 2 ⁇ m to 150 ⁇ m and is dependent on electrical design requirements.
  • the layers of the capacitor can therefore be removed from the surface of substrate 10 by a distance of 2 ⁇ m to 150 ⁇ m.
  • Multiple semiconductor devices are created in or on the silicon substrate 10 , wherein the semiconductor devices are transistors, for example. It is clear that this leads to a significant increase in distance between the capacitor and the underlying silicon substrate, the parasitic capacitance will therefore be significantly reduced.
  • FIG. 8 shows a cross section of a substrate 10 on the surface of which has been deposited a layer 18 of passivation, a resistor 48 has been created on the surface of the layer 18 of passivation.
  • Multiple semiconductor devices are created in or on the silicon substrate 10 , wherein the semiconductor devices are transistors, for example.
  • a resistor as is well known, is created by connecting two points with a material that offers electrical resistance to the passage of current through the material. The two points that are part of the resistance 48 that is shown in cross section in FIG. 8 are the contact pads 16 that have been created in or on the surface of the interconnect layer 14 .
  • a resistor By creating layer 48 between the two contact pads, that interconnects the two contact pads and that is deposited on the surface of passivation layer 18 , a resistor has been created in accordance with the processes of the invention.
  • a high resistivity material can be used such as TaN, silicon nitride, phosphosilicate glass (PSG), silicon oxynitride, aluminum, aluminum oxide (Al x O y ), tantalum, nionbium, or molybdenum. It is clear that dimensions such as thickness, length and width of deposition of layer 48 of high resistivity material are application dependent and can therefore not be specified at this time in any detail.
  • the resistor that is shown in cross section in FIG. 8 is, as are the capacitors of FIGS. 6 a and 7 , created in a post-passivation process on the surface of layer 18 of passivation.
  • FIG. 9 shows a cross section of a substrate 10 , an interconnect layer 14 has been created on the surface of the substrate.
  • Multiple semiconductor devices are created in or in the silicon substrate 10 , wherein the semiconductor devices are transistors, for example.
  • a layer 18 of passivation has been deposited over the layer 14 of interconnect metal, a thick layer 20 of polyimide has been deposited over the surface of the passivation layer 18 .
  • a resistor 48 has been created on the surface of the layer 20 of polyimide. The resistor 48 is created connecting the two contact pads 16 with a thin high resistivity layer of metal.
  • the parasitic capacitance between the body of the resistor and the substrate is reduced resulting in an improved resistive component (reduced parasitic capacitive loss, improved high frequency performance).
  • FIGS. 10 and 11 Further applications of the post-passivation processing of the invention are shown in FIGS. 10 and 11 , which concentrate on making ball contact points between contact pads 16 and an overlying electric component, such as a discrete inductor, wherein in FIGS. 10 and 11 , multiple semiconductor devices are created in or on the silicon substrate 10 , wherein the semiconductor devices are transistors, for example. Proceeding from the surface of substrate 10 in an upward direction, most of the layers that are shown in FIG. 10 have previously been identified and are identified in FIG. 10 using the same numerals as have previously been used for these layers. Where FIG. 10 shows previously not identified layers is in:
  • FIG. 11 shows a cross section of a silicon substrate 10 on the surface of which a discrete electrical component 54 has been mounted, contact balls 56 are used whereby the distance between the substrate 10 and the electrical component 54 is of a significant value.
  • Contact balls are inserted into the openings that have been created in the layer 18 of passivation overlying the contact pads 16 , the (relatively large) contact balls 56 create a significant separation between the surface of substrate 10 and the discrete electrical component 54 .

Abstract

The present invention extends the above referenced continuation-in-part application by in addition creating high quality electrical components, such as inductors, capacitors or resistors, on a layer of passivation or on the surface of a thick layer of polymer. In addition, the process of the invention provides a method for mounting discrete electrical components at a significant distance removed from the underlying silicon surface.

Description

  • This application is a continuation of application of Ser. No. 11/877,652 filed on Oct. 23, 2007, now pending which is a continuation of Ser. No. 11/092,379, filed on Mar. 29, 2005, now pending.
  • TECHNICAL FIELD
  • The invention relates to the manufacturing of high performance Integrated Circuit (IC's), and, more specifically, to methods of creating high performance electrical components (such as an inductor) on the surface of a semiconductor substrate by reducing the electromagnetic losses that are typically incurred in the surface of the substrate.
  • BACKGROUND ART
  • The continued emphasis in the semiconductor technology is to create improved performance semiconductor devices at competitive prices. This emphasis over the years has resulted in extreme miniaturization of semiconductor devices, made possible by continued advances of semiconductor processes and materials in combination with new and sophisticated device designs. Most of the semiconductor devices that are at this time being created are aimed at processing digital data. There are however also numerous semiconductor designs that are aimed at incorporating analog functions into devices that simultaneously process digital and analog data, or devices that can be used for the processing of only analog data. One of the major challenges in the creation of analog processing circuitry (using digital processing procedures and equipment) is that a number of the components that are used for analog circuitry are large in size and are therefore not readily integrated into devices that typically have feature sizes that approach the sub-micron range. The main components that offer a challenge in this respect are capacitors and inductors, since both these components are, for typical analog processing circuits, of considerable size.
  • A typical application for inductors of the invention is in the field of modern mobile communication applications that make use of compact, high-frequency equipment. Continued improvements in the performance characteristics of this equipment has over the years been achieved, further improvements will place continued emphasis on lowering the power consumption of the equipment, on reducing the size of the equipment, on increasing the operational frequency of the applications and on creating low noise levels. One of the main applications of semiconductor devices in the field of mobile communication is the creation of Radio Frequency (RF) amplifiers. RF amplifiers contain a number of standard components, a major component of a typical RF amplifier is a tuned circuit that contains inductive and capacitive components. Tuned circuits form, dependent on and determined by the values of their inductive and capacitive components, an impedance that is frequency dependent, enabling the tuned circuit to either present a high or a low impedance for signals of a certain frequency. The tuned circuit can therefore either reject or pass and further amplify components of an analog signal, based on the frequency of that component. The tuned circuit can in this manner be used as a filter to filter out or remove signals of certain frequencies or to remove noise from a circuit configuration that is aimed at processing analog signals. The tuned circuit can also be used to form a high electrical impedance by using the LC resonance of the circuit and to thereby counteract the effects of parasitic capacitances that are part of a circuit. One of the problems that is encountered when creating an inductor on the surface of a semiconductor substrate is that the self-resonance that is caused by the parasitic capacitance between the (spiral) inductor and the underlying substrate will limit the use of the inductor at high frequencies. As part of the design of such an inductor it is therefore of importance to reduce the capacitive coupling between the created inductor and the underlying substrate.
  • At high frequencies, the electromagnetic field that is generated by the inductor induces eddy currents in the underlying silicon substrate. Since the silicon substrate is a resistive conductor, the eddy currents will consume electromagnetic energy resulting in significant energy loss, resulting in a low Q capacitor. This is the main reason for a low Q value of a capacitor, whereby the resonant frequency of 1/√ (LC) limits the upper boundary of the frequency. In addition, the eddy currents that are induced by the inductor will interfere with the performance of circuitry that is in close physical proximity to the capacitor.
  • It has already been pointed out that one of the key components that are used in creating high frequency analog semiconductor devices is the inductor that forms part of an LC resonance circuit. In view of the high device density that is typically encountered in semiconductor devices and the therefrom following intense use of the substrate surface area, the creation of the inductor must incorporate the minimization of the surface area that is required for the inductor, while at the same time maintaining a high Q value for the inductor.
  • Typically, inductors that are created on the surface of a substrate are of a spiral shape whereby the spiral is created in a plane that is parallel with the plane of the surface of the substrate. Conventional methods that are used to create the inductor on the surface of a substrate suffer several limitations. Most high Q inductors form part of a hybrid device configuration or of Monolithic Microwave Integrated Circuits (MMIC's) or are created as discrete components, the creation of which is not readily integratable into a typical process of Integrated Circuit manufacturing. It is clear that, by combining the creation on one semiconductor monolithic substrate of circuitry that is aimed at the functions of analog data manipulation and analog data storage with the functions of digital data manipulation and digital data storage, a number of significant advantages can be achieved. Such advantages include the reduction of manufacturing costs and the reduction of power consumption by the combined functions. The spiral form of the inductor that is created on the surface of a semiconductor substrate however results, due to the physical size of the inductor, in parasitic capacitances between the inductor wiring and the underlying substrate and causes electromagnetic energy losses in the underlying resistive silicon substrate. These parasitic capacitances have a serious negative effect on the functionality of the created LC circuit by sharply reducing the frequency of resonance of the tuned circuit of the application. More seriously, the inductor-generated electromagnetic field will induce eddy currents in the underlying resistive silicon substrate, causing a significant energy loss that results in low Q inductors.
  • The performance parameter of an inductor is typically indicated is the Quality (Q) factor of the inductor. The quality factor Q of an inductor is defined as Q=Es/El, wherein Es is the energy that is stored in the reactive portion of the component while El is the energy that is lost in the reactive portion of the component. The higher the quality of the component, the closer the resistive value of the component approaches zero while the Q factor of the component approaches infinity. For inductors that are created overlying a silicon substrate, the electromagnetic energy that is created by the inductor will primarily be lost in the resistive silicon of the underlying substrate and in the metal lines that are created to form the inductor. The quality factor for components differs from the quality that is associated with filters or resonators. For components, the quality factor serves as a measure of the purity of the reactance (or the susceptance) of the component, which can be degraded due to the resistive silicon substrate, the resistance of the metal lines and dielectric losses. In an actual configuration, there are always some physical resistors that will dissipate power, thereby decreasing the power that can be recovered. The quality factor Q is dimensionless. A Q value of greater than 100 is considered very high for discrete inductors that are mounted on the surface of Printed Circuit Boards. For inductors that form part of an integrated circuit, the Q value is typically in the range between about 3 and 10.
  • In creating an inductor on a monolithic substrate on which additional semiconductor devices are created, the parasitic capacitances that occur as part of this creation limit the upper bound of the cut-off frequency that can be achieved for the inductor using conventional silicon processes. This limitation is, for many applications, not acceptable. Dependent on the frequency at which the LC circuit is designed to resonate, significantly larger values of quality factor, such as for instance 50 or more, must be available. Prior Art has in this been limited to creating values of higher quality factors as separate units, and in integrating these separate units with the surrounding device functions. This negates the advantages that can be obtained when using the monolithic construction of creating both the inductor and the surrounding devices on one and the same semiconductor substrate. The non-monolithic approach also has the disadvantage that additional wiring is required to interconnect the sub-components of the assembly, thereby again introducing additional parasitic capacitances and resistive losses over the interconnecting wiring network. For many of the applications of a RF amplifier, such as portable battery powered applications, power consumption is at a premium and must therefore be as low as possible. By raising the power consumption, the effects of parasitic capacitances and resistive power loss can be partially compensated, but there are limitations to even this approach. These problems take on even greater urgency with the rapid expansion of wireless applications, such as portable telephones and the like. Wireless communication is a rapidly expanding market, where the integration of RF integrated circuits is one of the most important challenges. One of the approaches is to significantly increase the frequency of operation to for instance the range of 10 to 100 GHz. For such high frequencies, the value of the quality factor obtained from silicon-based inductors is significantly degraded. For applications in this frequency range, monolithic inductors have been researched using other than silicon as the base for the creation of the inductors. Such monolithic inductors have for instance been created using sapphire or GaAs as a base. These inductors have considerably lower substrate losses than their silicon counterparts (no eddy current, hence no loss of electromagnetic energy) and therefore provide much higher Q inductors. Furthermore, they have lower parasitic capacitance and therefore provide higher frequency operation capabilities. Where however more complex applications are required, the need still exists to create inductors using silicon as a substrate. For those applications, the approach of using a base material other than silicon has proven to be too cumbersome while for instance GaAs as a medium for the creation of semiconductor devices is as yet a technical challenge that needs to be addressed. It is known that GaAs is a semiinsulating material at high frequencies, reducing the electromagnetic losses that are incurred in the surface of the GaAs substrate, thereby increasing the Q value of the inductor created on the GaAs surface. GaAs RF chips however are expensive, a process that can avoid the use of GaAs RF chips therefore offers the benefit of cost advantage.
  • A number of different approaches have been used to incorporate inductors into a semiconductor environment without sacrificing device performance due to substrate losses. One of these approaches has been to selectively remove (by etching) the silicon underneath the inductor (using methods of micro machining), thereby removing substrate resistive energy losses and parasitic effects. Another method has been to use multiple layers of metal (such as aluminum) interconnects or of copper damascene interconnects.
  • Other approaches have used a high resistivity silicon substrate thereby reducing resistive losses in the silicon substrate. Resistive substrate losses in the surface of the underlying substrate form a dominant factor in determining the Q value of silicon inductors. Further, biased wells have been proposed underneath a spiral conductor, this again aimed at reducing inductive losses in the surface of the substrate. A more complex approach has been to create an active inductive component that simulates the electrical properties of an inductor as it is applied in active circuitry. This latter approach however results in high power consumption by the simulated inductor and in noise performance that is unacceptable for low power, high frequency applications. All of these approaches have as common objectives to enhance the quality (Q) value of the inductor and to reduce the surface area that is required for the creation of the inductor. The most important consideration in this respect is the electromagnetic energy losses due to the electromagnetic induced eddy currents in the silicon substrate.
  • When the dimensions of Integrated Circuits are scaled down, the cost per die is decreased while some aspects of performance are improved. The metal connections which connect the Integrated Circuit to other circuit or system components become of relative more importance and have, with the further miniaturization of the IC, an increasingly negative impact on circuit performance. The parasitic capacitance and resistance of the metal interconnections increase, which degrades the chip performance significantly. Of most concern in this respect is the voltage drop along the power and ground buses and the RC delay of the critical signal paths. Attempts to reduce the resistance by using wider metal lines result in higher capacitance of these wires. Current techniques for building an inductor on the surface of a semiconductor substrate use fine-line techniques whereby the inductor is created under a layer of passivation. This however implies close physical proximity between the created inductor and the surface of the substrate over which the inductor has been created (typically less than 10 μm), resulting in high electromagnetic losses in the silicon substrate which in turn results in reducing the Q value of the inductor. By increasing the distance between the inductor and the semiconductor surface, the electromagnetic field in the silicon substrate will be reduced in reverse proportion to the distance, the Q value of the inductor can be increased. By therefore creating the inductor overlying the layer of passivation (by a post passivation process) and by, in addition, creating the inductor on the surface of a thick layer of dielectric (such as a polymer) that is deposited or adhered over the surface of a layer of passivation, the Q value of the inductor can be increased. In addition, by using wide and thick metal for the creation of the inductor, the parasitic resistance is reduced. The process of the invention applies these principles of post passivation inductor creation while the inductor is created on a thick layer of dielectric using thick and wide metals.
  • U.S. Pat. No. 5,212,403 (Nakanishi) shows a method of forming wiring connections both inside and outside (in a wiring substrate over the chip) for a logic circuit depending on the length of the wire connections.
  • U.S. Pat. No. 5,501,006 (Gehman, Jr. et al.) shows a structure with an insulating layer between the integrated circuit (IC) and the wiring substrate. A distribution lead connects the bonding pads of the IC to the bonding pads of the substrate.
  • U.S. Pat. No. 5,055,907 (Jacobs) discloses an extended integration semiconductor structure that allows manufacturers to integrate circuitry beyond the chip boundaries by forming a thin film multi-layer wiring decal on the support substrate and over the chip. However, this reference differs from the invention.
  • U.S. Pat. No. 5,106,461 (Volfson et al.) teaches a multi layer interconnect structure of alternating polyimide (dielectric) and metal layers over an IC in a TAB structure.
  • U.S. Pat. No. 5,635,767 (Wenzel et al.) teaches a method for reducing RC delay by a PBGA that separates multiple metal layers.
  • U.S. Pat. No. 5,686,764 (Fulcher) shows a flip chip substrate that reduces RC delay by separating the power and I/0 traces.
  • U.S. Pat. No. 6,008,102 (Alford et al.) shows a helix inductor using two metal layers connected by vias.
  • U.S. Pat. No. 5,372,967 (Sundaram et al.) discloses a helix inductor.
  • U.S. Pat. No. 5,576,680 (Ling) and U.S. Pat. No. 5,884,990 (Burghartz et al.) show other helix inductor designs.
  • SUMMARY OF THE INVENTION
  • It is the primary objective of the invention to improve the RF performance of High Performance Integrated Circuits.
  • Another objective of the invention is to provide a method for the creation of a high-Q inductor.
  • Another objective of the invention is to replace the GaAs chip with a silicon chip as a base on which a high-Q inductor is created.
  • Yet another objective of the invention is to extend the frequency range of the inductor that is created on the surface of a silicon substrate.
  • It is yet another objective of the invention to create high quality passive electrical components overlying the surface of a silicon substrate.
  • The above referenced continuation-in-part application adds, in a post passivation processing sequence, a thick layer of dielectric over a layer of passivation and layers of wide and thick metal lines on top of the thick layer of dielectric. The present invention extends the above referenced continuation-in part application by in addition creating high quality electrical components, such as an inductor, a capacitor or a resistor, on a layer of passivation or on the surface of a thick layer of dielectric. In addition, the process of the invention provides a method for mounting discrete passive electrical components at a significant distance removed from the underlying silicon surface.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a cross section of the interconnection scheme used in the invention.
  • FIG. 2 shows a cross section of an extension whereby an inductor has been created on the surface of a thick layer of polyimide.
  • FIG. 3 shows a top view of an inductor that is created following the process of the invention.
  • FIG. 4 shows a cross section of a substrate and overlying layers, an inductor has been created on the surface of a thick layer of polyimide, a layer of ferromagnetic material has been added to further insulate the inductor from the underlying silicon substrate.
  • FIG. 5 a shows a cross section of a simplified version of the substrate and the layers that are created on the surface of the substrate.
  • FIG. 5 b shows the cross section of FIG. 5 a, an inductor has been added above the layer of passivation.
  • FIG. 6 a shows a cross section of a substrate on the surface of which has been deposited a layer of passivation, a capacitor has been created on the surface of the layer of passivation.
  • FIG. 6 b shows a three dimensional view of an inductor that has been created on the surface of a layer of passivation by creating vias in a thick layer of polymer.
  • FIG. 6 c shows a three-dimensional view of an inductor that has been created in a thick layer of polymer that has been deposited on the surface of a thick layer of polyimide.
  • FIG. 6 d shows a top view of the layer 20 on the surface of which an inductor has been created.
  • FIG. 6 e shows a cross section of the structure of FIG. 6 d taken along the line 6 e-6 e′ of FIG. 6 d.
  • FIG. 6 f shows a three dimensional view of an inductor that has been created on the surface of a layer of passivation, the inductor has the shape of a solenoid.
  • FIG. 6 g shows a top view of the inductor of FIG. 6 f.
  • FIG. 7 shows a cross section of a substrate on the surface of which has been deposited a layer of passivation over which a thick layer of polyimide has been deposited, a capacitor has been created on the surface of the thick layer of polyimide.
  • FIG. 8 shows a cross section of a substrate on the surface of which has been deposited a layer of passivation, a resistor has been created on the surface of the layer of passivation.
  • FIG. 9 shows a cross section of a substrate on the surface of which has been deposited a layer of passivation over which a thick layer of polyimide has been deposited, a resistor has been created on the surface of the thick layer of polyimide.
  • FIG. 10 shows a cross section of a silicon substrate on the surface of which a discrete electrical component has been mounted, contact balls are used whereby the distance between the substrate and the electrical component is of a significant value, a thick layer of polyimide has been used.
  • FIG. 11 shows a cross section of a silicon substrate on the surface of which a discrete electrical component has been mounted, thick contact balls are used whereby the distance between the substrate and the electrical component is of a significant value, no layer of polyimide has been used.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • There is teached an Integrated Circuit structure where re-distribution and interconnect metal layers are created in layers of dielectric on the surface of a conventional IC. A layer of passivation is deposited over the dielectric of the re-distribution and interconnection metal layers, a thick layer of polymer is deposited over the surface of the layer of passivation. Under the present invention, a high-quality electrical component is created on the surface of the thick layer of polymer.
  • The invention addresses, among others, the creation of an inductor whereby the emphasis is on creating an inductor of high Q value on the surface of a semiconductor substrate using methods and procedures that are well known in the art for the creation of semiconductor devices. The high quality of the inductor of the invention allows for the use of this inductor in high frequency applications while incurring minimum loss of power. The invention further addresses the creation of a capacitor and a resistor on the surface of a silicon substrate whereby the main objective (of the process of creating a capacitor and resistor) is to reduce parasitics that are typically incurred by these components in the underlying silicon substrate.
  • Referring now more specifically to FIG. 1, there is shown a cross section of one implementation of the referenced application. The surface of silicon substrate 10 has been provided with transistors and other devices (not shown in FIG. 1). The surface of substrate 10 is covered by a dielectric layer 12, layer 12 of dielectric is therefore deposited over the devices that have been provided in the surface of the substrate and over the substrate 10. Conductive interconnect lines 11 are provided inside layer 12 that connect to the semiconductor devices that have been provided in the surface of substrate 10.
  • Layers 14 (two examples are shown) represent all of the metal layers, dielectric layers and conductive vias that are typically created on top of the dielectric layer 12, layers 14 that are shown in FIG. 1 may therefore contain multiple layers of dielectric or insulation and the like, conductive interconnect lines 13 make up the network of electrical connections that are created throughout layers 14. Overlying and on the surface of layers 14 are points 16 of electrical contact. These points 16 of electrical contact can for instance be bond pads that establish the electrical interconnects to the transistors and other devices that have been provided in the surface of the substrate 10. These points of contact 16 are points of interconnect within the IC arrangement that need to be further connected to surrounding circuitry. The conductive interconnect lines 13 or contact points 16 or conductive vias making contact with at least one of said points of electrical contact provided to said semiconductor devices in or on the surface of said substrate 10. These points 16 of electrical contact having been provided in or on the surface of said overlaying interconnecting metalization structure 14 comprise a material that is selected from a group comprising sputtered aluminum, CVD tungsten, CVD copper, electroplated gold, electroplated silver, electroplated copper, electroless gold and electroless nickel. A passivation layer 18, formed of for example silicon nitride, is deposited over the surface of layers 14 to protect underlying layers from moisture, contamination, etc.
  • The key steps of the above referenced application begin with the deposition of a thick layer 20 of polyimide that is deposited over the surface of layer 18. Access must be provided to points of electrical contact 16, for this reason a pattern of openings 22, 36 and 38 is etched through the polyimide layer 20 and the passivation layer 18, the pattern of openings 22, 36 and 38 aligns with the pattern of electrical contact points 16. Contact points 16 are, by means of the openings 22/36/38 that are created in the layer 20 of polyimide, electrically extended to the surface of layer 20.
  • The above referenced material that is used for the deposition of layer 20 is polyimide, the material that can be used for this layer is not limited to polyimide but can contain any of the known polymers (SiClxOy). The indicated polyimide is the preferred material to be used for the processes of the invention for the thick layer 20 of polymer. Examples of polymers that can be used are silicons, carbons, fluoride, chlorides, oxygens, parylene or teflon, polycarbonate (PC), polysterene (PS), polyoxide (PO), poly polooxide (PPO), benzocyclobutene (BCB).
  • Electrical contact with the contact points 16 can now be established by filling the openings 22/36/38 with a conductive material. The top surfaces 24 of these metal conductors that are contained in openings 22/36/38 can now be used for connection of the IC to its environment, and for further integration into the surrounding electrical circuitry. This latter statement is the same as saying that semiconductor devices that have been provided in the surface of substrate 10 can, via the conductive interconnects contained in openings 22/36/38, be further connected to surrounding components and circuitry. Interconnect pads 26 and 28 are formed on top of surfaces 24 of the metal interconnects contained in openings 22, 36 and 38. These pads 26 and 28 can be of any design in width and thickness to accommodate specific circuit design requirements. A pad can, for instance, be used as a flip chip pad. Other pads can be used for power distribution or as a ground or signal bus. The following connections can, for instance, be made to the pads shown in FIG. 1: pad 26 can serve as a flip chip pad, pad 28 can serve as a flip chip pad or can be connected to electrical power or to electrical ground or to an electrical signal bus. There is no relation between the size of the pads shown in FIG. 1 and the suggested possible electrical connections for which this pad can be used. Pad size and the standard rules and restrictions of electrical circuit design determine the electrical connections to which a given pad lends itself.
  • The following comments relate to the size and the number of the contact points 16, FIG. 1. Because these contact points 16 are located on top of a thin dielectric (layers 14, FIG. 1) the pad size cannot be too large since a large pad size brings with it a large capacitance. In addition, a large pad size will interfere with the routing capability of that layer of metal. It is therefore preferred to keep the size of the pad 16 relatively small. The size of pad 16 is however also directly related with the aspect ratio of vias 22/36/38. An aspect ratio of about 5 is acceptable for the consideration of via etching and via filling. Based on these considerations, the size of the contact pad 16 can be in the order of 0.5 μm to 30 μm, the exact size being dependent on the thickness of layers 18 and 20.
  • There is not imposed any limitation on the number of contact pads that can be included in the design, this number is dependent on package design requirements. Layer 18 in FIG. 1 can be a typical IC passivation layer.
  • The most frequently used passivation layer in the present state of the art is plasma enhanced CVD (PECVD) oxide and nitride. In creating layer 18 of passivation, a layer of approximately 0.2 μm PECVD oxide can be deposited first followed by a layer of approximately 0.7 μm nitride. Passivation layer 18 is very important because it protects the device wafer from moisture and foreign ion contamination. The positioning of this layer between the sub-micron process (of the integrated circuit) and the tens-micron process (of the interconnecting metalization structure) is of critical importance since it allows for a cheaper process that possibly has less stringent clean room requirements for the process of creating the interconnecting metalization structure.
  • Layer 20 is a thick polymer dielectric layer (for example polyimide) that have a thickness in excess of 2 μm (after curing). The range of the polymer thickness can vary from 2 μm to 150 μm, dependent on electrical design requirements.
  • For the deposition of layer 20 the Hitachi-Dupont polyimide HD 2732 or 2734 can, for example, be used. The polyimide can be spin-on coated and cured. After spin-on coating, the polyimide will be cured at 400 degrees C. for about 1 hour in a vacuum or nitrogen ambient. For a thicker layer of polyimide, the polyimide film can be multiple coated and cured.
  • Another material that can be used to create layer 20 is the polymer benzocyclobutene (BCB). This polymer is at this time commercially produced by for instance Dow Chemical and has recently gained acceptance to be used instead of typical polyimide application.
  • The dimensions of openings 22, 36 and 38 have previously been discussed. The dimension of the openings together with the dielectric thickness determine the aspect ratio of the opening. The aspect ratio challenges the via etch process and the metal filling capability. This leads to a diameter for openings 22/36/38 in the range of approximately 0.5 μm to 30 μm, the height for openings 22/36/38 can be in the range of approximately 2 μm to 150 μm. The aspect ratio of openings 22/36/38 is designed such that filling of the via with metal can be accomplished. The via can be filled with CVD metal such as CVD tungsten or CVD copper, with electro-less nickel, with a damascene metal filling process, with electroplating copper, etc.
  • Extensions can be provided by applying multiple layers of polymer (such as polyimide) and can therefore be adapted to a larger variety of applications. The function of the structure that has been described in FIG. 1 can be further extended by depositing a second layer of polyimide on top of the previously deposited layer 20 and overlaying the pads 26 and 28. Selective etching and metal deposition can further create additional contact points on the surface of the second layer of polyimide that can be interconnected with pads 26 and 28. Additional layers of polyimide and the thereon created contact pads can be customized to a particular application, the indicated extension of multiple layers of polyimides greatly enhances the flexibility and usefulness of the invention.
  • FIG. 1 shows a basic design advantage which allows for submicron or fine-lines, that run in the immediate vicinity of the metal layers 14 and the contact points 16, to be extended in an upward direction 30 through metal interconnect 36. This extension continues in the direction 32 in the horizontal plane of the metal interconnect 28 and comes back down in the downward direction 34 through metal interconnect 38. The functions and constructs of the passivation layer 18 and the insulating layer 20 remain as previously highlighted. This basic design advantage of the invention is to “elevate” or “fan-out” the fine-line interconnects and to remove these interconnects from the micro and sub-micro level to a metal interconnect level that has considerably larger dimensions and that therefore has smaller resistance and capacitance and is easier and more cost effective to manufacture. This does not include any aspect of conducting line re-distribution and therefore has an inherent quality of simplicity. It therefore further adds to the importance of the referenced application in that it makes micro and sub-micro wiring accessible at a wide and thick metal level. The interconnections 28, 36 and 38 interconnect the fine-level metal by going up through the passivation and polymer or polyimide dielectric layers, continuing over a distance on the wide and thick metal level and continuing by descending from the wide and thick metal level back down to the fine-metal level by again passing down through the passivation and polymer or polyimide dielectric layers. The extensions that are in this manner accomplished need not be limited to extending fine-metal interconnect points 16 of any particular type, such as signal or power or ground, with wide and thick metal line 26 and 28. The laws of physics and electronics will impose limitations, if any, as to what type of interconnect can by established in this manner, limiting factors will be the conventional electrical limiting factors of resistance, propagation delay, RC constants and others. Where the referenced application is of importance is that the referenced continuation-in-part application provides much broader latitude in being able to apply these laws and, in so doing, provides a considerably extended scope of the application and use of Integrated Circuits and the adaptation of these circuits to a wide and thick metal environment.
  • FIG. 2 shows how the basic interconnect aspect can further be extended under the present invention to not only elevate the fine-metal to the plane of the wide and thick metal but to also add an inductor on the surface of the thick layer 20 of polyimide. The inductor is created in a plane that is parallel with the surface of the substrate 10 whereby this plane however is separated from the surface of the substrate 10 by the combined heights of layers 12, 14, 18, and 20. FIG. 2 shows a cross section 40 of the inductor taken in a plane that is perpendicular to the surface of substrate 10. The wide and thick metal will also contribute to a reduction of the resistive energy losses. Furthermore, the low resistivity metal, such as gold, silver and copper, can be applied using electroplating, the thickness can be about 20 μm.
  • FIG. 3 shows a top view 42 of the spiral structure of the inductor 40 that has been created on the surface of layer 20 of dielectric. The cross section that is shown in FIG. 2 of the inductor 40 has been taken along the line 2-2′ of FIG. 3. The method used for the creation of the inductor 40 uses conventional methods of metal, such as gold, copper and the like, deposition by electroplating or metal sputter processes. Alternatively, the inductor 40 may be embedded in a dielectric and covered by another one passivation layer.
  • FIG. 4 shows a top view of inductor 40 whereby the inductor has been further isolated from the surface of the substrate 10 by the addition of layer 44 of ferromagnetic material. The layer 44 has a thickness of between about 1,000 and 50,000 Angstrom. Openings are created in layer 44 of ferromagnetic material for the conductors 36 and 38, the layer 44 is deposited using conventional methods to a thickness that can be experimentally determined and that is influenced by and partially dependent on the types of materials used and the thickness of the layers that are used overlying the ferromagnetic material (such as layer 20) for the creation of the structure that is shown in cross section in FIG. 4. The surface area of the ferromagnetic layer 44 typically extends over the surface of layer 18 such that the inductor 40 aligns with and overlays the layer 44, the surface area of layer 44 can be extended slightly beyond these boundaries to further improve shielding the surface of substrate 10 from the electromagnetic field of inductor 40.
  • Layer 44 is not limited to being a layer of ferromagnetic material but can also be a layer of a good conductor such as but not limited to gold, copper and aluminum. The overlying inductor 40 that is created on the surface of layer 20 of polyimide can be isolated from the underlying silicon substrate 10 by a layer 44 that comprises either ferromagnetic or a good conductor.
  • FIG. 5 a shows, for reasons of clarity, a simplified cross section of the substrate and the layers that are created on the surface of the substrate under the processes of the invention, the highlighted areas that are shown have previously been identified as:
      • 10, the silicon substrate
      • 12, a layer of dielectric that has been deposited over the surface of the substrate
      • 14, an interconnect layer that contains interconnect lines, vias and contact points
      • 16, contact points on the surface of the interconnect layer 14
      • 18, a layer of passivation into which openings have been created through which the contact points 16 can be accessed
      • 20, a thick layer of polymer, and
      • 21, conductive plugs that have been provided through the layer 20 of polyimide.
  • The thick layer 20 of polymer can be coated in liquid form on the surface of the layer 18 of passivation or can be laminated over the surface of layer 18 of passivation by dry film application. Vias that are required for the creation of conductive plugs 21 can be defined by conventional processes of photolithography or can be created using laser (drill) technology.
  • It is clear from previous discussions that the sequence of layers that is shown in cross section in FIG. 5 a has been created so that additional electrical components such as an inductor, a capacitor and the like can be created on the surface of layer 20 of polyimide and in electrical contact with conductive plugs 21. Layer 12 of dielectric may, in the cross section that is shown in FIG. 5 a, be part of layer 14 since layer 14 is a layer of Intra Level Dielectric (ILD) within which layer 12 can be readily integrated.
  • With respect to the cross section that is shown in FIG. 5 b, the same layers that have been identified for FIG. 5 a are again provided in this cross section. Additionally has been shown the upper layer 17 of the silicon substrate 10 that contains active semiconductor devices. Also shown is the cross section of an inductor 19 that has been created on the surface of layer 18 of passivation. It must again be emphasized that the ohmic resistivity of the metal that is used for inductor 19 must be as low as possible. For this reason, the use of a thick layer of for instance gold is preferred for the formation of inductor 19. It has been shown that a thick layer of gold increased the Q value of inductor 19 from about 5 to about 20 for 2.4 GHz applications, which represents a significant improvement in the Q value of inductor 19.
  • FIG. 6 a shows a cross section of a capacitor that has been created on the surface of a substrate 10. A layer 14 of conductive interconnect lines and contact points has been created on the surface of substrate 10. A layer 18 of passivation has been deposited over the surface of layer 14, openings have been created in layer 18 of passivation through which the surface of contact pads 16 can be accessed.
  • A capacitor contains, as is well known, a lower plate, an upper plate and a layer of dielectric that separates the upper plate from the lower plate. These components of a capacitor can be readily identified from the cross section that is shown in FIG. 6 a, as follows:
      • 42 is a conductive layer that forms the lower plate of the capacitor
      • 44 is a conductive layer that forms the upper plate of the capacitor
      • 46 is the dielectric layer that separates the upper plate 44 of the capacitor from the lower plate 42.
  • It must be noted from the cross section that is shown in FIG. 6 a that the capacitor has been created on the surface of layer 18 of passivation, the process of creating the capacitor is therefore referred to as a post-passivation processing sequence. Processing conditions and materials that can be used for the creation of the respective layers 42, 44 and 46 have already been highlighted and need therefore not be further detailed at this time. Multiple semiconductor devices are created in or on the silicon substrate 10, wherein the semiconductor devices are transistors, for example. The main points of interest are the various thicknesses to which the three layers 42, 44 and 46 can be deposited, as follows:
      • layer 18 of passivation between about 0.1 μm and 0.3 μm
      • layer 42 of conductive material between about 0.5 and 20 μm
      • layer 46 of dielectric between about 500 and 10,000 Angstrom, and
      • layer 44 of conductive material between about 0.5 and 20 μm.
  • The post-passivation created capacitor that is shown in cross section in FIG. 6 a has:
      • reduced parasitic capacitance between the capacitor and the underlying silicon substrate
      • allowed for the use of a thick layer of conductive material, reducing the resistance of the capacitor; this is particularly important for wireless applications
      • allowed for the use of high-dielectric material such as TiO2, Ta205 for the dielectric between the upper and the lower plate of the capacitor, resulting in a higher capacitive value of the capacitor.
  • FIG. 6 b shows a three-dimensional view of the solenoid structure of an inductor 19 that has been created on the surface of the layer 18 of passivation. Further highlighted in FIG. 6 b are:
      • 23, vias that are created in the thick layer of polymer 20, FIG. 5 a, for the interconnects of the upper and the lower levels of metal of the inductor
      • 25, the bottom metal of the inductor
      • 27, the top metal for the inductor.
  • FIG. 6 c shows a three dimensional view of an inductor that has been created on the surface of a layer 18 of passivation by first depositing a thick layer 29 of polymer over which a layer (not shown) of polymer is deposited, vias 23 are created in the thick layer 20 (FIG. 5 a) of polymer. In addition to the previously highlighted layers, FIG. 6 c shows a layer 29 of polyimide. The inductor 19 is created by creating the bottom metal 25 of the inductor 19, the top metal 27 of the inductor and the vias 23 that are created in layer 20 (FIG. 5 a) that preferably contains a polymer.
  • FIG. 6 d shows a top view of layer 20 on the surface of which an inductor has been created as previously shown in FIG. 6 c. Vias 23 are highlighted as are top metal lines 27 of the inductor 19, bottom metal lines 25 of the inductor 19 (hatched since they are not visible on the surface of the layer 20). Further detailed are vias 23′ and 23″, the lower extremity of via 23′ and the upper extremity of via 23″ are connected to interconnect lines 31 and 33 (FIG. 6 e) respectively, theses interconnect lines 31 and 33 provide the connection for further interconnect of the inductor 19.
  • FIG. 6 e shows a cross section of the structure of FIG. 6 d whereby this cross section is taken along the line 6 e-6 e′ that is shown in FIG. 6 d. Contact pads 16′ have been provided on the surface of layer 18 of passivation, these contact pads 16′ make contact with the vias 23, 23′ and 23″ for interconnection between the bottom metal 25 of inductor 19 and the upper metal 27 of the inductor 19. Interconnects to vias 23′ and 23″ are the lines 31 and 33 which, as previously stated, connect the inductor 19 to surrounding electrical circuitry or components.
  • The creation of a toroidal inductor overlying a layer of passivation has been shown in FIGS. 6 f and 6 g where toroidal coil 19′ is created on the surface of a layer 18 of passivation. Top level metal 27′, bottom level metal 25′ and vias 23′ that interconnect bottom level metal 25′ with top level metal 27′ have been highlighted in FIG. 6 f.
  • FIG. 6 g shows, for further clarification, a top view of the toroidal 19′ of FIG. 6 f. The highlighted features of this figure have previously been explained and therefore do not need to be further discussed at this time.
  • FIG. 7 shows a cross section where, as in FIG. 6 a, a capacitor is created on the surface of substrate 10. In the cross section that is shown in FIG. 7 however a thick layer 20 of polyimide has been deposited over the surface of the passivation layer 18 and has been patterned and etched in order to make the contact pads 16 accessible though the thick layer 20 of polyimide. The thick layer 20 of polymer removes most of the capacitor, that is the lower plate 42, the upper plate 44 and the dielectric 46, from the surface of substrate 10 by a distance that is equal to the thickness of layer 20. It has previously been state that the range of polyimide thickness can vary from 2 μm to 150 μm and is dependent on electrical design requirements. This statement is also valid for the cross section shown in FIG. 7, the layers of the capacitor can therefore be removed from the surface of substrate 10 by a distance of 2 μm to 150 μm. Multiple semiconductor devices are created in or on the silicon substrate 10, wherein the semiconductor devices are transistors, for example. It is clear that this leads to a significant increase in distance between the capacitor and the underlying silicon substrate, the parasitic capacitance will therefore be significantly reduced.
  • FIG. 8 shows a cross section of a substrate 10 on the surface of which has been deposited a layer 18 of passivation, a resistor 48 has been created on the surface of the layer 18 of passivation. Multiple semiconductor devices are created in or on the silicon substrate 10, wherein the semiconductor devices are transistors, for example. A resistor, as is well known, is created by connecting two points with a material that offers electrical resistance to the passage of current through the material. The two points that are part of the resistance 48 that is shown in cross section in FIG. 8 are the contact pads 16 that have been created in or on the surface of the interconnect layer 14. By creating layer 48 between the two contact pads, that interconnects the two contact pads and that is deposited on the surface of passivation layer 18, a resistor has been created in accordance with the processes of the invention. For the creation of layer 48 a high resistivity material can be used such as TaN, silicon nitride, phosphosilicate glass (PSG), silicon oxynitride, aluminum, aluminum oxide (AlxOy), tantalum, nionbium, or molybdenum. It is clear that dimensions such as thickness, length and width of deposition of layer 48 of high resistivity material are application dependent and can therefore not be specified at this time in any detail. The resistor that is shown in cross section in FIG. 8 is, as are the capacitors of FIGS. 6 a and 7, created in a post-passivation process on the surface of layer 18 of passivation.
  • FIG. 9 shows a cross section of a substrate 10, an interconnect layer 14 has been created on the surface of the substrate. Multiple semiconductor devices are created in or in the silicon substrate 10, wherein the semiconductor devices are transistors, for example. A layer 18 of passivation has been deposited over the layer 14 of interconnect metal, a thick layer 20 of polyimide has been deposited over the surface of the passivation layer 18. A resistor 48 has been created on the surface of the layer 20 of polyimide. The resistor 48 is created connecting the two contact pads 16 with a thin high resistivity layer of metal. By increasing the distance between the body of the resistor and the surface of substrate (by the thickness of the poly layer 20) the parasitic capacitance between the body of the resistor and the substrate is reduced resulting in an improved resistive component (reduced parasitic capacitive loss, improved high frequency performance).
  • Further applications of the post-passivation processing of the invention are shown in FIGS. 10 and 11, which concentrate on making ball contact points between contact pads 16 and an overlying electric component, such as a discrete inductor, wherein in FIGS. 10 and 11, multiple semiconductor devices are created in or on the silicon substrate 10, wherein the semiconductor devices are transistors, for example. Proceeding from the surface of substrate 10 in an upward direction, most of the layers that are shown in FIG. 10 have previously been identified and are identified in FIG. 10 using the same numerals as have previously been used for these layers. Where FIG. 10 shows previously not identified layers is in:
      • 50, contact plugs that have been formed through the thick layer 20 of polymer
      • 52, contact balls that have been formed on the surface of the contact plugs 50 using conventional methods of selective solder deposition, the solder ball is created by electroplating, screen printing, and ball mounting, the application of a flux on the deposited solder and flowing the solder to form the contact balls 52, and
      • 54, a cross section of a discrete electrical component such as an inductor or a discrete capacitor or a resistor.
  • FIG. 11 shows a cross section of a silicon substrate 10 on the surface of which a discrete electrical component 54 has been mounted, contact balls 56 are used whereby the distance between the substrate 10 and the electrical component 54 is of a significant value. Contact balls are inserted into the openings that have been created in the layer 18 of passivation overlying the contact pads 16, the (relatively large) contact balls 56 create a significant separation between the surface of substrate 10 and the discrete electrical component 54.
  • The methods that have been shown in FIGS. 10 and 11 indicate that:
      • the passive component 54 is removed from the surface of substrate 10 by a significant distance, and
      • instead of mounting the passive, discrete component 54 on the surface of a Printed Circuit Board (PCB), the passive component 54 can be mounted closer to a semiconductor device in the present invention.
  • Throughout the methods and procedures that have been explained above using the examples that are shown in cross section in the accompanying drawings, the following has been adhered to:
      • the passive components have been further removed from the silicon substrate, thereby reducing the negative impact that is induced by the substrate due to electromagnetic losses incurred in the substrate the post-passivation process of the invention allows for the selection of discrete component design parameters that result in reduced resistance of the discrete capacitor and the discrete inductor, this is further clear from the following comparison between prior art processes and the processes of the invention.
  • Prior art requires for the creation of an inductor:
      • the use of thin metal, which imposes the creation of
      • wide coils for an inductor resulting in
      • increased surface area that is required for the inductor which in turn increases the parasitic capacitance of the inductor causing eddy current losses in the surface of the substrate.
  • The present invention by contrast:
      • can use thick metal, since the metal of the passive component is (by the thick layer of polymer) removed from the (thin metal) interconnect layer 14, and (as a consequence)
      • reduces the surface area that is required for the inductor, and
      • reduces the resistivity of the inductor, thereby increasing the Q value of the inductor.
  • Although the preferred embodiment of the present invention has been illustrated, and that form has been described in detail, it will be readily understood by those skilled in the art that various modifications may be made therein without departing from the spirit of the invention or from the scope of the appended claims.

Claims (20)

1. An integrated circuit chip comprising:
a silicon substrate;
multiple semiconductor devices in or on said silicon substrate, wherein said multiple semiconductor devices comprise a transistor in or on said silicon substrate;
a first dielectric layer over said silicon substrate;
a metallization structure over said first dielectric layer, wherein said metallization structure is connected to said multiple semiconductor devices, and wherein said metallization structure comprises a first metal layer and a second metal layer over said first metal layer;
a second dielectric layer between said first and second metal layers;
a passivation layer over said metallization structure and over said first and second dielectric layers;
a ferromagnetic layer over said passivation layer;
a first polymer layer over said passivation layer and over said ferromagnetic layer, wherein said first polymer layer has a thickness between 2 and 150 micrometers and greater than that of said passivation layer, that of said first dielectric layer and that of said second dielectric layer;
a coil on said first polymer layer, over said passivation layer and over said ferromagnetic layer, wherein said coil comprises electroplated copper, and wherein said coil has a thickness greater than that of said first metal layer and that of said second metal layer;
a metal line on said first polymer layer and over said passivation layer, wherein said metal line has a thickness greater than that of said first metal layer and that of said second metal layer, and wherein said metal line and said coil are disconnected from each other; and
a second polymer layer over said metal line.
2. The integrated circuit chip of claim 1, wherein said passivation layer comprises a nitride layer.
3. The integrated circuit chip of claim 1, wherein said passivation layer comprises a nitride layer having a thickness between 0.5 and 2 micrometers.
4. The integrated circuit chip of claim 1, wherein said first polymer layer comprises polyimide.
5. The integrated circuit chip of claim 1, wherein said first polymer layer comprises benzocyclobutene (BCB).
6. The integrated circuit chip of claim 1, wherein said passivation layer comprises an oxide layer and a nitride layer over said oxide layer.
7. The integrated circuit chip of claim 1, wherein said metallization structure comprises electroplated copper.
8. An integrated circuit chip comprising:
a silicon substrate;
multiple semiconductor devices in or on said silicon substrate, wherein said multiple semiconductor devices comprise a transistor in or on said silicon substrate;
a first dielectric layer over said silicon substrate;
a metallization structure over said first dielectric layer, wherein said metallization structure is connected to said multiple semiconductor devices, and wherein said metallization structure comprises a first metal layer and a second metal layer over said first metal layer;
a second dielectric layer between said first and second metal layers;
a passivation layer over said metallization structure and over said first and second dielectric layers;
a ferromagnetic layer over said passivation layer;
a polymer layer on said passivation layer and over said ferromagnetic layer; and
a coil on said polymer layer, over said passivation layer and over said ferromagnetic layer, wherein said coil comprises an electroplated metal layer, and wherein said coil has a thickness greater than that of said first metal layer and that of said second metal layer.
9. The integrated circuit chip of claim 8, wherein said electroplated metal layer comprises electroplated gold.
10. The integrated circuit chip of claim 8, wherein said electroplated metal layer comprises electroplated copper.
11. The integrated circuit chip of claim 8, wherein said polymer layer comprises polyimide.
12. The integrated circuit chip of claim 8, wherein said polymer layer comprises benzocyclobutene (BCB).
13. The integrated circuit chip of claim 8, wherein said passivation layer comprises an oxide layer and a nitride layer over said oxide layer.
14. The integrated circuit chip of claim 8, wherein said metallization structure comprises electroplated copper.
15. An integrated circuit chip comprising:
a silicon substrate;
multiple semiconductor devices in or on said silicon substrate, wherein said multiple semiconductor devices comprise a transistor in or on said silicon substrate;
a first dielectric layer over said silicon substrate;
a metallization structure over said first dielectric layer, wherein said metallization structure is connected to said multiple semiconductor devices, wherein said metallization structure comprises a first metal layer and a second metal layer over said first metal layer, and wherein said metallization structure comprises electroplated copper;
a second dielectric layer between said first and second metal layers;
a passivation layer over said metallization structure and over said first and second dielectric layers, wherein said passivation layer comprises a topmost nitride layer of said integrated circuit chip;
a ferromagnetic layer over said passivation layer;
an insulating layer on said passivation layer and over said ferromagnetic layer; and
a coil on said insulating layer, over said passivation layer and over said ferromagnetic layer, wherein said coil comprises an electroplated metal layer, and wherein said coil has a thickness greater than that of said first metal layer and that of said second metal layer.
16. The integrated circuit chip of claim 15, wherein said electroplated metal layer comprises electroplated gold.
17. The integrated circuit chip of claim 15, wherein said electroplated metal layer comprises electroplated copper.
18. The integrated circuit chip of claim 15, wherein said insulating layer comprises a polymer.
19. The integrated circuit chip of claim 15, wherein said insulating layer comprises polyimide.
20. The integrated circuit chip of claim 15, wherein said insulating layer comprises benzocyclobutene (BCB).
US12/208,353 2005-03-29 2008-09-11 High performance system-on-chip using post passivation process Abandoned US20090001511A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/208,353 US20090001511A1 (en) 2005-03-29 2008-09-11 High performance system-on-chip using post passivation process

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/092,379 US7459761B2 (en) 1998-12-21 2005-03-29 High performance system-on-chip using post passivation process
US11/877,652 US20080044977A1 (en) 1998-12-21 2007-10-23 High performance system-on-chip using post passivation process
US12/208,353 US20090001511A1 (en) 2005-03-29 2008-09-11 High performance system-on-chip using post passivation process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/877,652 Continuation US20080044977A1 (en) 1998-12-21 2007-10-23 High performance system-on-chip using post passivation process

Publications (1)

Publication Number Publication Date
US20090001511A1 true US20090001511A1 (en) 2009-01-01

Family

ID=40256873

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/186,530 Active US8384189B2 (en) 2005-03-29 2008-08-06 High performance system-on-chip using post passivation process
US12/208,353 Abandoned US20090001511A1 (en) 2005-03-29 2008-09-11 High performance system-on-chip using post passivation process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/186,530 Active US8384189B2 (en) 2005-03-29 2008-08-06 High performance system-on-chip using post passivation process

Country Status (1)

Country Link
US (2) US8384189B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045855A1 (en) * 2005-07-22 2007-03-01 Megica Corporation Method for forming a double embossing structure
US20080042238A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080284032A1 (en) * 2005-03-29 2008-11-20 Megica Corporation High performance system-on-chip using post passivation process

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11393776B2 (en) 2018-05-17 2022-07-19 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
CN111668193A (en) * 2020-05-12 2020-09-15 成都华微电子科技有限公司 Integrated circuit chip with inductance coil

Citations (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3855507A (en) * 1972-06-07 1974-12-17 Siemens Ag Self heating capacitors
US4021838A (en) * 1974-11-20 1977-05-03 International Business Machines Corporation Semiconductor integrated circuit devices
US4685998A (en) * 1984-03-22 1987-08-11 Thomson Components - Mostek Corp. Process of forming integrated circuits with contact pads in a standard array
US4885841A (en) * 1989-02-21 1989-12-12 Micron Technology, Inc. Vibrational method of aligning the leads of surface-mount electronic components with the mounting pads of printed circuit boards during the molten solder mounting process
US5046161A (en) * 1988-02-23 1991-09-03 Nec Corporation Flip chip type semiconductor device
US5055907A (en) * 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US5061985A (en) * 1988-06-13 1991-10-29 Hitachi, Ltd. Semiconductor integrated circuit device and process for producing the same
US5095357A (en) * 1989-08-18 1992-03-10 Mitsubishi Denki Kabushiki Kaisha Inductive structures for semiconductor integrated circuits
US5095402A (en) * 1990-10-02 1992-03-10 Rogers Corporation Internally decoupled integrated circuit package
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
US5108950A (en) * 1987-11-18 1992-04-28 Casio Computer Co., Ltd. Method for forming a bump electrode for a semiconductor device
US5160987A (en) * 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5212403A (en) * 1990-09-10 1993-05-18 Hitachi, Ltd. Integrated circuit device having an ic chip mounted on the wiring substrate and having suitable mutual connections between internal circuits
US5226232A (en) * 1990-05-18 1993-07-13 Hewlett-Packard Company Method for forming a conductive pattern on an integrated circuit
US5227012A (en) * 1990-04-24 1993-07-13 Hightec Mg Ag Method of manufacturing multi-layer thin film circuits containing integrated thin film resistors
US5244833A (en) * 1989-07-26 1993-09-14 International Business Machines Corporation Method for manufacturing an integrated circuit chip bump electrode using a polymer layer and a photoresist layer
US5258886A (en) * 1992-05-25 1993-11-02 C. Itoh Fine Chemical Co., Ltd. Thin film capacitor and apparatus for manufacturing same
US5311404A (en) * 1992-06-30 1994-05-10 Hughes Aircraft Company Electrical interconnection substrate with both wire bond and solder contacts
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5370766A (en) * 1993-08-16 1994-12-06 California Micro Devices Methods for fabrication of thin film inductors, inductor networks and integration with other passive and active devices
US5372967A (en) * 1992-01-27 1994-12-13 Motorola, Inc. Method for fabricating a vertical trench inductor
US5384274A (en) * 1992-04-06 1995-01-24 Nippon Precision Circuits Inc. Method of making a combined semiconductor device and inductor
US5446311A (en) * 1994-09-16 1995-08-29 International Business Machines Corporation High-Q inductors in silicon technology without expensive metalization
US5455064A (en) * 1993-11-12 1995-10-03 Fujitsu Limited Process for fabricating a substrate with thin film capacitor and insulating plug
US5455885A (en) * 1993-06-30 1995-10-03 Sgs-Thomson Microelectronics, Inc. Method and apparatus for starting a sensorless polyphase DC motor in dual-coil mode and switching to single coil mode at speed
US5465879A (en) * 1994-01-27 1995-11-14 Asymptotic Technologies, Inc. Disposable nozzle assembly for high speed viscous material droplet dispenser
US5478773A (en) * 1994-04-28 1995-12-26 Motorola, Inc. Method of making an electronic device having an integrated inductor
US5485038A (en) * 1993-07-15 1996-01-16 Hughes Aircraft Company Microelectronic circuit substrate structure including photoimageable epoxy dielectric layers
US5501006A (en) * 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5519582A (en) * 1992-10-05 1996-05-21 Fuji Electric Co., Ltd. Magnetic induction coil for semiconductor devices
US5527998A (en) * 1993-10-22 1996-06-18 Sheldahl, Inc. Flexible multilayer printed circuit boards and methods of manufacture
US5539241A (en) * 1993-01-29 1996-07-23 The Regents Of The University Of California Monolithic passive component
US5576680A (en) * 1994-03-01 1996-11-19 Amer-Soi Structure and fabrication process of inductors on semiconductor chip
US5578860A (en) * 1995-05-01 1996-11-26 Motorola, Inc. Monolithic high frequency integrated circuit structure having a grounded source configuration
US5608262A (en) * 1995-02-24 1997-03-04 Lucent Technologies Inc. Packaging multi-chip modules without wire-bond interconnection
US5614442A (en) * 1994-08-31 1997-03-25 Texas Instruments Incorporated Method of making flip-chip microwave integrated circuit
US5629240A (en) * 1994-12-09 1997-05-13 Sun Microsystems, Inc. Method for direct attachment of an on-chip bypass capacitor in an integrated circuit
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US5656849A (en) * 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
US5686764A (en) * 1996-03-20 1997-11-11 Lsi Logic Corporation Flip chip package with reduced number of package layers
US5714394A (en) * 1996-11-07 1998-02-03 Advanced Micro Devices, Inc. Method of making an ultra high density NAND gate using a stacked transistor arrangement
US5726861A (en) * 1995-01-03 1998-03-10 Ostrem; Fred E. Surface mount component height control
US5742100A (en) * 1995-03-27 1998-04-21 Motorola, Inc. Structure having flip-chip connected substrates
US5767564A (en) * 1993-10-19 1998-06-16 Kyocera Corporation Semiconductor device with a decoupling capacitor mounted thereon having a thermal expansion coefficient matched to the device
US5767010A (en) * 1995-03-20 1998-06-16 Mcnc Solder bump fabrication methods and structure including a titanium barrier layer
US5789303A (en) * 1994-11-28 1998-08-04 Northern Telecom Limited Method of adding on chip capacitors to an integrated circuit
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5827776A (en) * 1996-07-18 1998-10-27 Advanced Micro Devices, Inc. Method of making an integrated circuit which uses an etch stop for producing staggered interconnect lines
US5827778A (en) * 1995-11-28 1998-10-27 Nec Corporation Method of manufacturing a semiconductor device using a silicon fluoride oxide film
US5834844A (en) * 1995-03-24 1998-11-10 Shinko Electric Industries Co., Ltd. Semiconductor device having an element with circuit pattern thereon
US5842626A (en) * 1995-03-31 1998-12-01 Intel Corporation Method for coupling surface mounted capacitors to semiconductor packages
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US5874327A (en) * 1991-08-26 1999-02-23 Lsi Logic Corporation Fabricating a semiconductor device using precursor CMOS semiconductor substrate of a given configuration
US5883422A (en) * 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US5910020A (en) * 1995-12-18 1999-06-08 Nec Corporation Method for fabricating a semiconductor device having a refractory metal pillar for electrical connection
US5915169A (en) * 1995-12-22 1999-06-22 Anam Industrial Co., Ltd. Semiconductor chip scale package and method of producing such
US5929508A (en) * 1998-05-21 1999-07-27 Harris Corp Defect gettering by induced stress
US5949654A (en) * 1996-07-03 1999-09-07 Kabushiki Kaisha Toshiba Multi-chip module, an electronic device, and production method thereof
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US5969424A (en) * 1997-03-19 1999-10-19 Fujitsu Limited Semiconductor device with pad structure
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5973391A (en) * 1997-12-11 1999-10-26 Read-Rite Corporation Interposer with embedded circuitry and method for using the same to package microelectronic units
US6005466A (en) * 1994-07-29 1999-12-21 Mitel Semiconductor Limited Trimmable inductor structure
US6075268A (en) * 1996-11-07 2000-06-13 Advanced Micro Devices, Inc. Ultra high density inverter using a stacked transistor arrangement
US6075290A (en) * 1998-02-26 2000-06-13 National Semiconductor Corporation Surface mount die: wafer level chip-scale package and process for making the same
US6097273A (en) * 1999-08-04 2000-08-01 Lucent Technologies Inc. Thin-film monolithic coupled spiral balun transformer
US6100548A (en) * 1997-04-10 2000-08-08 Hughes Electronics Corporation Modulation-doped field-effect transistors and fabrication processes
US6130457A (en) * 1996-04-09 2000-10-10 Samsung Electronics Co., Ltd. Semiconductor-on-insulator devices having insulating layers therein with self-aligned openings
US6146985A (en) * 1995-11-30 2000-11-14 Advanced Micro Devices, Inc. Low capacitance interconnection
US6160721A (en) * 1997-06-10 2000-12-12 Lucent Technologies Inc. Micromagnetic device for power processing applications and method of manufacture therefor
US6174803B1 (en) * 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6180445B1 (en) * 2000-04-24 2001-01-30 Taiwan Semiconductor Manufacturing Company Method to fabricate high Q inductor by redistribution layer when flip-chip package is employed
US6191495B1 (en) * 1997-06-10 2001-02-20 Lucent Technologies Inc. Micromagnetic device having an anisotropic ferromagnetic core and method of manufacture therefor
US6236101B1 (en) * 1997-11-05 2001-05-22 Texas Instruments Incorporated Metallization outside protective overcoat for improved capacitors and inductors
US6236103B1 (en) * 1999-03-31 2001-05-22 International Business Machines Corp. Integrated high-performance decoupling capacitor and heat sink
US6255714B1 (en) * 1999-06-22 2001-07-03 Agere Systems Guardian Corporation Integrated circuit having a micromagnetic device including a ferromagnetic core and method of manufacture therefor
US6261944B1 (en) * 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
US6274474B1 (en) * 1999-10-25 2001-08-14 International Business Machines Corporation Method of forming BGA interconnections having mixed solder profiles
US20010045616A1 (en) * 1998-06-29 2001-11-29 Takashi Yoshitomi Semiconductor device having an inductor and method for manufacturing the same
US6329721B1 (en) * 1997-07-22 2001-12-11 International Business Machines Corporation Pb-In-Sn tall C-4 for fatigue enhancement
US20020017672A1 (en) * 1999-03-19 2002-02-14 Ming-Dou Ker Low-capacitance bonding pad for semiconductor device
US6356453B1 (en) * 2000-06-29 2002-03-12 Amkor Technology, Inc. Electronic package having flip chip integrated circuit and passive chip component
US20020037434A1 (en) * 1997-06-10 2002-03-28 Anatoly Feygenson Integrated circuit having a micromagnetic device and method of manufacture therefor
US6410414B1 (en) * 1998-12-28 2002-06-25 Samsung Electronics Co., Ltd. Method for fabricating a semiconductor device
US6410135B1 (en) * 2000-01-21 2002-06-25 3M Innovative Properties Company Stretch releasing adhesive tape with differential adhesive properties
US6441715B1 (en) * 1999-02-17 2002-08-27 Texas Instruments Incorporated Method of fabricating a miniaturized integrated circuit inductor and transformer fabrication
US6452274B1 (en) * 1997-11-17 2002-09-17 Sony Corporation Semiconductor device having a low dielectric layer as an interlayer insulating layer
US6455885B1 (en) * 1998-12-21 2002-09-24 Megic Corporation Inductor structure for high performance system-on-chip using post passivation process
US6518092B2 (en) * 2000-07-13 2003-02-11 Oki Electric Industry Co., Ltd. Semiconductor device and method for manufacturing
US6578754B1 (en) * 2000-04-27 2003-06-17 Advanpack Solutions Pte. Ltd. Pillar connections for semiconductor chips and method of manufacture
US6683380B2 (en) * 2000-07-07 2004-01-27 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
US6747307B1 (en) * 2000-04-04 2004-06-08 Koninklijke Philips Electronics N.V. Combined transistor-capacitor structure in deep sub-micron CMOS for power amplifiers
US6833285B1 (en) * 1999-02-01 2004-12-21 Micron Technology, Inc. Method of making a chip packaging device having an interposer
US20050275094A1 (en) * 2001-09-27 2005-12-15 Intel Corporation. Encapsulation of pin solder for maintaining accuracy in pin position

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56150830A (en) 1980-04-25 1981-11-21 Hitachi Ltd Semiconductor device
US5085357A (en) * 1991-04-17 1992-02-04 Chen Ken Wang Collapsible garment hanger with hook recess
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US5416356A (en) 1993-09-03 1995-05-16 Motorola, Inc. Integrated circuit having passive circuit elements
GB2290171B (en) 1994-06-03 1998-01-21 Plessey Semiconductors Ltd Inductor chip device
FR2728104A1 (en) 1994-12-09 1996-06-14 Sgs Thomson Microelectronics METHOD OF MARKING CIRCUITS INTEGRATED WITH A LASER, AND MARKING APPARATUS THEREFOR
JP3297254B2 (en) 1995-07-05 2002-07-02 株式会社東芝 Semiconductor package and manufacturing method thereof
JP2953404B2 (en) 1995-12-08 1999-09-27 ソニー株式会社 Semiconductor device and manufacturing method thereof
JP2904086B2 (en) 1995-12-27 1999-06-14 日本電気株式会社 Semiconductor device and manufacturing method thereof
WO1997040654A1 (en) 1996-04-24 1997-10-30 Okamura, Susumu Semiconductor device
US5861647A (en) 1996-10-02 1999-01-19 National Semiconductor Corporation VLSI capacitors and high Q VLSI inductors using metal-filled via plugs
US5888630A (en) 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Apparatus and method for unit area composition control to minimize warp in an integrated circuit chip package assembly
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
GB9626754D0 (en) 1996-12-23 1997-02-12 Northern Telecom Ltd A pseudo duplex scheme
US6057598A (en) 1997-01-31 2000-05-02 Vlsi Technology, Inc. Face on face flip chip integration
JP3362764B2 (en) 1997-02-24 2003-01-07 株式会社村田製作所 Manufacturing method of multilayer chip inductor
US5763108A (en) 1997-03-05 1998-06-09 Headway Technologies, Inc. High saturtion magnetization material and magnetic head fabricated therefrom
US6228447B1 (en) 1997-03-06 2001-05-08 Teijin Limited Polyethylene-2,6-naphthalene dicarboxylate resin and preform and bottle molded thereof
KR100214561B1 (en) 1997-03-14 1999-08-02 구본준 Buttom lead package
TW399319B (en) 1997-03-19 2000-07-21 Hitachi Ltd Semiconductor device
US6051489A (en) 1997-05-13 2000-04-18 Chipscale, Inc. Electronic component package with posts on the active side of the substrate
US6040226A (en) 1997-05-27 2000-03-21 General Electric Company Method for fabricating a thin film inductor
US6040604A (en) 1997-07-21 2000-03-21 Motorola, Inc. Semiconductor component comprising an electrostatic-discharge protection device
US6245594B1 (en) 1997-08-05 2001-06-12 Micron Technology, Inc. Methods for forming conductive micro-bumps and recessed contacts for flip-chip technology and method of flip-chip assembly
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
JP3660799B2 (en) 1997-09-08 2005-06-15 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
US5909050A (en) 1997-09-15 1999-06-01 Microchip Technology Incorporated Combination inductive coil and integrated circuit semiconductor chip in a single lead frame package and method therefor
US5972734A (en) 1997-09-17 1999-10-26 Lsi Logic Corporation Interposer for ball grid array (BGA) package
JP3152180B2 (en) 1997-10-03 2001-04-03 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6030877A (en) 1997-10-06 2000-02-29 Industrial Technology Research Institute Electroless gold plating method for forming inductor structures
US6147857A (en) 1997-10-07 2000-11-14 E. R. W. Optional on chip power supply bypass capacitor
FR2771843B1 (en) 1997-11-28 2000-02-11 Sgs Thomson Microelectronics INTEGRATED CIRCUIT TRANSFORMER
US6570247B1 (en) 1997-12-30 2003-05-27 Intel Corporation Integrated circuit device having an embedded heat slug
US6169030B1 (en) 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
TW371375B (en) 1998-01-19 1999-10-01 Mag Layers Scient Technics Co Method for producing laminated chip inductor
US6178082B1 (en) 1998-02-26 2001-01-23 International Business Machines Corporation High temperature, conductive thin film diffusion barrier for ceramic/metal systems
US6023407A (en) 1998-02-26 2000-02-08 International Business Machines Corporation Structure for a thin film multilayer capacitor
JP4197195B2 (en) 1998-02-27 2008-12-17 ヒューレット・パッカード・カンパニー Providing audio information
US6479341B1 (en) 1998-03-02 2002-11-12 Vanguard International Semiconductor Corporation Capacitor over metal DRAM structure
US6008102A (en) 1998-04-09 1999-12-28 Motorola, Inc. Method of forming a three-dimensional integrated inductor
US6025261A (en) 1998-04-29 2000-02-15 Micron Technology, Inc. Method for making high-Q inductive elements
JP4355039B2 (en) 1998-05-07 2009-10-28 東京エレクトロン株式会社 Semiconductor device and manufacturing method of semiconductor device
US6448650B1 (en) 1998-05-18 2002-09-10 Texas Instruments Incorporated Fine pitch system and method for reinforcing bond pads in semiconductor devices
US6184159B1 (en) 1998-06-12 2001-02-06 Taiwan Semiconductor Manufacturing Corporation Interlayer dielectric planarization process
JP3527708B2 (en) 1998-07-09 2004-05-17 インフィネオン テクノロジース アクチエンゲゼルシャフト Semiconductor element with passivation
TW396594B (en) 1998-07-13 2000-07-01 Winbond Electronics Corp High quality inductor device and its manufacturing method
KR20000011585A (en) 1998-07-28 2000-02-25 윤덕용 Semiconductor device and method for manufacturing the same
TW386279B (en) 1998-08-07 2000-04-01 Winbond Electronics Corp Inductor structure with air gap and method of manufacturing thereof
KR100269540B1 (en) 1998-08-28 2000-10-16 윤종용 Method for manufacturing chip scale packages at wafer level
US6424034B1 (en) 1998-08-31 2002-07-23 Micron Technology, Inc. High performance packaging for microprocessors and DRAM chips which minimizes timing skews
US6478773B1 (en) 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
US6101371A (en) 1998-09-12 2000-08-08 Lucent Technologies, Inc. Article comprising an inductor
US6261994B1 (en) 1998-09-17 2001-07-17 Eastman Kodak Company Reflective imaging display material with biaxially oriented polyolefin sheet
DE69936175T2 (en) 1998-11-04 2008-01-24 Lucent Technologies Inc. Inductance or low-loss trace in an integrated circuit
US6272736B1 (en) 1998-11-13 2001-08-14 United Microelectronics Corp. Method for forming a thin-film resistor
US6475904B2 (en) 1998-12-03 2002-11-05 Advanced Micro Devices, Inc. Interconnect structure with silicon containing alicyclic polymers and low-k dielectric materials and method of making same with single and dual damascene techniques
US6287931B1 (en) 1998-12-04 2001-09-11 Winbond Electronics Corp. Method of fabricating on-chip inductor
JP3477692B2 (en) 1998-12-18 2003-12-10 株式会社村田製作所 Electronic components
US6756295B2 (en) 1998-12-21 2004-06-29 Megic Corporation Chip structure and process for forming the same
US7592205B2 (en) 1998-12-21 2009-09-22 Megica Corporation Over-passivation process of forming polymer layer over IC chip
US6383916B1 (en) 1998-12-21 2002-05-07 M. S. Lin Top layers of metal for high performance IC's
US7531417B2 (en) 1998-12-21 2009-05-12 Megica Corporation High performance system-on-chip passive device using post passivation process
US8178435B2 (en) 1998-12-21 2012-05-15 Megica Corporation High performance system-on-chip inductor using post passivation process
US7405149B1 (en) 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US6869870B2 (en) 1998-12-21 2005-03-22 Megic Corporation High performance system-on-chip discrete components using post passivation process
US6495442B1 (en) 2000-10-18 2002-12-17 Magic Corporation Post passivation interconnection schemes on top of the IC chips
US8421158B2 (en) 1998-12-21 2013-04-16 Megica Corporation Chip structure with a passive device and method for forming the same
JP3439144B2 (en) 1998-12-22 2003-08-25 三洋電機株式会社 Semiconductor device and manufacturing method thereof
JP2000216264A (en) 1999-01-22 2000-08-04 Mitsubishi Electric Corp Cmos logic circuit element, semiconductor device and its manufacture, and method for designing semiconductor circuit used in the manufacture
TW426980B (en) 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
US6191468B1 (en) 1999-02-03 2001-02-20 Micron Technology, Inc. Inductor with magnetic material layers
US6228477B1 (en) 1999-02-12 2001-05-08 Bha Technologies, Inc. Porous membrane structure and method
JP3465617B2 (en) 1999-02-15 2003-11-10 カシオ計算機株式会社 Semiconductor device
US6566731B2 (en) 1999-02-26 2003-05-20 Micron Technology, Inc. Open pattern inductor
FR2790328B1 (en) 1999-02-26 2001-04-20 Memscap INDUCTIVE COMPONENT, INTEGRATED TRANSFORMER, IN PARTICULAR INTENDED TO BE INCORPORATED IN A RADIOFREQUENCY CIRCUIT, AND INTEGRATED CIRCUIT ASSOCIATED WITH SUCH AN INDUCTIVE COMPONENT OR INTEGRATED TRANSFORMER
WO2000054329A1 (en) 1999-03-09 2000-09-14 Tokyo Electron Limited Semiconductor device and production method therefor
FR2791470B1 (en) 1999-03-23 2001-06-01 Memscap MONOLITHIC INTEGRATED CIRCUIT INCORPORATING AN INDUCTIVE COMPONENT AND METHOD FOR MANUFACTURING SUCH AN INTEGRATED CIRCUIT
US20020000665A1 (en) 1999-04-05 2002-01-03 Alexander L. Barr Semiconductor device conductive bump and interconnect barrier
FR2793943B1 (en) 1999-05-18 2001-07-13 Memscap MICRO-COMPONENTS OF THE MICRO-INDUCTANCE OR MICRO-TRANSFORMER TYPE, AND METHOD FOR MANUFACTURING SUCH MICRO-COMPONENTS
US6544880B1 (en) 1999-06-14 2003-04-08 Micron Technology, Inc. Method of improving copper interconnects of semiconductor devices for bonding
JP4005762B2 (en) 1999-06-30 2007-11-14 株式会社東芝 Integrated circuit device and manufacturing method thereof
US6133079A (en) 1999-07-22 2000-10-17 Chartered Semiconductor Manufacturing Ltd. Method for reducing substrate capacitive coupling of a thin film inductor by reverse P/N junctions
US6231944B1 (en) * 1999-07-27 2001-05-15 Lydall, Inc. Method for producing a thermal, acoustical and/or vibrational abatement shield and shield produced thereby
GB2353139B (en) 1999-08-12 2001-08-29 United Microelectronics Corp Inductor and method of manufacturing the same
US6168965B1 (en) 1999-08-12 2001-01-02 Tower Semiconductor Ltd. Method for making backside illuminated image sensor
US6221727B1 (en) 1999-08-30 2001-04-24 Chartered Semiconductor Manufacturing Ltd. Method to trap air at the silicon substrate for improving the quality factor of RF inductors in CMOS technology
US6140197A (en) 1999-08-30 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Method of making spiral-type RF inductors having a high quality factor (Q)
US6867499B1 (en) 1999-09-30 2005-03-15 Skyworks Solutions, Inc. Semiconductor packaging
KR100327340B1 (en) 1999-09-30 2002-03-06 윤종용 Inspection method of wafer surface
US6410435B1 (en) 1999-10-01 2002-06-25 Agere Systems Guardian Corp. Process for fabricating copper interconnect for ULSI integrated circuits
US6365498B1 (en) 1999-10-15 2002-04-02 Industrial Technology Research Institute Integrated process for I/O redistribution and passive components fabrication and devices formed
JP3287346B2 (en) 1999-11-29 2002-06-04 カシオ計算機株式会社 Semiconductor device
EP1243026A1 (en) 1999-12-21 2002-09-25 Advanced Micro Devices, Inc. Organic packages with solders for reliable flip chip connections
KR100319813B1 (en) 2000-01-03 2002-01-09 윤종용 method of forming solder bumps with reduced UBM undercut
KR100386081B1 (en) 2000-01-05 2003-06-09 주식회사 하이닉스반도체 Semiconductor package and fabricating method thereof
US6278264B1 (en) 2000-02-04 2001-08-21 Volterra Semiconductor Corporation Flip-chip switching regulator
US6404615B1 (en) 2000-02-16 2002-06-11 Intarsia Corporation Thin film capacitors
JP3996315B2 (en) 2000-02-21 2007-10-24 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US6586309B1 (en) 2000-04-24 2003-07-01 Chartered Semiconductor Manufacturing Ltd. High performance RF inductors and transformers using bonding technique
US6673690B2 (en) 2000-04-27 2004-01-06 Siliconware Precision Industries Co., Ltd. Method of mounting a passive component over an integrated circuit package substrate
US6455915B1 (en) 2000-05-30 2002-09-24 Programmable Silicon Solutions Integrated inductive circuits
US6416356B1 (en) 2000-06-02 2002-07-09 Astec International Limited AC interface for electrical equipment racks
JP2002043520A (en) 2000-07-19 2002-02-08 Sony Corp Semiconductor device and its manufacturing method
US6399997B1 (en) 2000-08-01 2002-06-04 Megic Corporation High performance system-on-chip using post passivation process and glass substrates
US6847066B2 (en) 2000-08-11 2005-01-25 Oki Electric Industry Co., Ltd. Semiconductor device
US6379982B1 (en) 2000-08-17 2002-04-30 Micron Technology, Inc. Wafer on wafer packaging and method of fabrication for full-wafer burn-in and testing
US6500724B1 (en) 2000-08-21 2002-12-31 Motorola, Inc. Method of making semiconductor device having passive elements including forming capacitor electrode and resistor from same layer of material
US6420773B1 (en) 2000-10-04 2002-07-16 Winbond Electronics Corp. Multi-level spiral inductor structure having high inductance (L) and high quality factor (Q)
US6486530B1 (en) 2000-10-16 2002-11-26 Intarsia Corporation Integration of anodized metal capacitors and high temperature deposition capacitors
US6365480B1 (en) 2000-11-27 2002-04-02 Analog Devices, Inc. IC resistor and capacitor fabrication method
JP3526548B2 (en) 2000-11-29 2004-05-17 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP3888854B2 (en) 2001-02-16 2007-03-07 シャープ株式会社 Manufacturing method of semiconductor integrated circuit
US6903459B2 (en) 2001-05-17 2005-06-07 Matsushita Electric Industrial Co., Ltd. High frequency semiconductor device
US6413851B1 (en) 2001-06-12 2002-07-02 Advanced Interconnect Technology, Ltd. Method of fabrication of barrier cap for under bump metal
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6636139B2 (en) 2001-09-10 2003-10-21 Taiwan Semiconductor Manufacturing Company Structure to reduce the degradation of the Q value of an inductor caused by via resistance
US6515369B1 (en) 2001-10-03 2003-02-04 Megic Corporation High performance system-on-chip using post passivation process
US6644536B2 (en) 2001-12-28 2003-11-11 Intel Corporation Solder reflow with microwave energy
TW503496B (en) 2001-12-31 2002-09-21 Megic Corp Chip packaging structure and manufacturing process of the same
US6620635B2 (en) 2002-02-20 2003-09-16 International Business Machines Corporation Damascene resistor and method for measuring the width of same
US20030183332A1 (en) 2002-03-26 2003-10-02 Simila Charles E. Screen printed thermal expansion standoff
KR100460062B1 (en) 2002-04-23 2004-12-04 주식회사 하이닉스반도체 Multi chip package and manufacturing method thereof
JP3871609B2 (en) 2002-05-27 2007-01-24 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US6803323B2 (en) 2002-05-30 2004-10-12 Freescale Semiconductor, Inc. Method of forming a component overlying a semiconductor substrate
US6638844B1 (en) 2002-07-29 2003-10-28 Chartered Semiconductor Manufacturing Ltd. Method of reducing substrate coupling/noise for radio frequency CMOS (RFCMOS) components in semiconductor technology by backside trench and fill
AU2002343595A1 (en) 2002-08-02 2004-02-23 Bae Systems Information And Electronic Systems Integration Inc. High-density interconnection of temperature sensitive electronic devices
US7285867B2 (en) 2002-11-08 2007-10-23 Casio Computer Co., Ltd. Wiring structure on semiconductor substrate and method of fabricating the same
TW578292B (en) 2002-11-22 2004-03-01 Via Tech Inc Chip to eliminate noise and manufacturing method thereof
JP3808030B2 (en) 2002-11-28 2006-08-09 沖電気工業株式会社 Semiconductor device and manufacturing method thereof
US6800534B2 (en) 2002-12-09 2004-10-05 Taiwan Semiconductor Manufacturing Company Method of forming embedded MIM capacitor and zigzag inductor scheme
US6878633B2 (en) 2002-12-23 2005-04-12 Freescale Semiconductor, Inc. Flip-chip structure and method for high quality inductors and transformers
US7008867B2 (en) 2003-02-21 2006-03-07 Aptos Corporation Method for forming copper bump antioxidation surface
US8368150B2 (en) 2003-03-17 2013-02-05 Megica Corporation High performance IC chip having discrete decoupling capacitors attached to its IC surface
US6716693B1 (en) 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
TWI236763B (en) 2003-05-27 2005-07-21 Megic Corp High performance system-on-chip inductor using post passivation process
US7087927B1 (en) 2003-07-22 2006-08-08 National Semiconductor Corporation Semiconductor die with an editing structure
US8384189B2 (en) 2005-03-29 2013-02-26 Megica Corporation High performance system-on-chip using post passivation process

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3855507A (en) * 1972-06-07 1974-12-17 Siemens Ag Self heating capacitors
US4021838A (en) * 1974-11-20 1977-05-03 International Business Machines Corporation Semiconductor integrated circuit devices
US4685998A (en) * 1984-03-22 1987-08-11 Thomson Components - Mostek Corp. Process of forming integrated circuits with contact pads in a standard array
US5108950A (en) * 1987-11-18 1992-04-28 Casio Computer Co., Ltd. Method for forming a bump electrode for a semiconductor device
US5046161A (en) * 1988-02-23 1991-09-03 Nec Corporation Flip chip type semiconductor device
US5061985A (en) * 1988-06-13 1991-10-29 Hitachi, Ltd. Semiconductor integrated circuit device and process for producing the same
US5055907A (en) * 1989-01-25 1991-10-08 Mosaic, Inc. Extended integration semiconductor structure with wiring layers
US4885841A (en) * 1989-02-21 1989-12-12 Micron Technology, Inc. Vibrational method of aligning the leads of surface-mount electronic components with the mounting pads of printed circuit boards during the molten solder mounting process
US5106461A (en) * 1989-04-04 1992-04-21 Massachusetts Institute Of Technology High-density, multi-level interconnects, flex circuits, and tape for tab
US5244833A (en) * 1989-07-26 1993-09-14 International Business Machines Corporation Method for manufacturing an integrated circuit chip bump electrode using a polymer layer and a photoresist layer
US5095357A (en) * 1989-08-18 1992-03-10 Mitsubishi Denki Kabushiki Kaisha Inductive structures for semiconductor integrated circuits
US5160987A (en) * 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5227012A (en) * 1990-04-24 1993-07-13 Hightec Mg Ag Method of manufacturing multi-layer thin film circuits containing integrated thin film resistors
US5226232A (en) * 1990-05-18 1993-07-13 Hewlett-Packard Company Method for forming a conductive pattern on an integrated circuit
US5212403A (en) * 1990-09-10 1993-05-18 Hitachi, Ltd. Integrated circuit device having an ic chip mounted on the wiring substrate and having suitable mutual connections between internal circuits
US5095402A (en) * 1990-10-02 1992-03-10 Rogers Corporation Internally decoupled integrated circuit package
US5874327A (en) * 1991-08-26 1999-02-23 Lsi Logic Corporation Fabricating a semiconductor device using precursor CMOS semiconductor substrate of a given configuration
US5372967A (en) * 1992-01-27 1994-12-13 Motorola, Inc. Method for fabricating a vertical trench inductor
US5384274A (en) * 1992-04-06 1995-01-24 Nippon Precision Circuits Inc. Method of making a combined semiconductor device and inductor
US5258886A (en) * 1992-05-25 1993-11-02 C. Itoh Fine Chemical Co., Ltd. Thin film capacitor and apparatus for manufacturing same
US5311404A (en) * 1992-06-30 1994-05-10 Hughes Aircraft Company Electrical interconnection substrate with both wire bond and solder contacts
US5519582A (en) * 1992-10-05 1996-05-21 Fuji Electric Co., Ltd. Magnetic induction coil for semiconductor devices
US5539241A (en) * 1993-01-29 1996-07-23 The Regents Of The University Of California Monolithic passive component
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5455885A (en) * 1993-06-30 1995-10-03 Sgs-Thomson Microelectronics, Inc. Method and apparatus for starting a sensorless polyphase DC motor in dual-coil mode and switching to single coil mode at speed
US5485038A (en) * 1993-07-15 1996-01-16 Hughes Aircraft Company Microelectronic circuit substrate structure including photoimageable epoxy dielectric layers
US5370766A (en) * 1993-08-16 1994-12-06 California Micro Devices Methods for fabrication of thin film inductors, inductor networks and integration with other passive and active devices
US5501006A (en) * 1993-09-22 1996-03-26 Motorola, Inc. Method for connection of signals to an integrated circuit
US5767564A (en) * 1993-10-19 1998-06-16 Kyocera Corporation Semiconductor device with a decoupling capacitor mounted thereon having a thermal expansion coefficient matched to the device
US5527998A (en) * 1993-10-22 1996-06-18 Sheldahl, Inc. Flexible multilayer printed circuit boards and methods of manufacture
US5455064A (en) * 1993-11-12 1995-10-03 Fujitsu Limited Process for fabricating a substrate with thin film capacitor and insulating plug
US5465879A (en) * 1994-01-27 1995-11-14 Asymptotic Technologies, Inc. Disposable nozzle assembly for high speed viscous material droplet dispenser
US5576680A (en) * 1994-03-01 1996-11-19 Amer-Soi Structure and fabrication process of inductors on semiconductor chip
US5478773A (en) * 1994-04-28 1995-12-26 Motorola, Inc. Method of making an electronic device having an integrated inductor
US6005466A (en) * 1994-07-29 1999-12-21 Mitel Semiconductor Limited Trimmable inductor structure
US5614442A (en) * 1994-08-31 1997-03-25 Texas Instruments Incorporated Method of making flip-chip microwave integrated circuit
US5446311A (en) * 1994-09-16 1995-08-29 International Business Machines Corporation High-Q inductors in silicon technology without expensive metalization
US5789303A (en) * 1994-11-28 1998-08-04 Northern Telecom Limited Method of adding on chip capacitors to an integrated circuit
US5629240A (en) * 1994-12-09 1997-05-13 Sun Microsystems, Inc. Method for direct attachment of an on-chip bypass capacitor in an integrated circuit
US5726861A (en) * 1995-01-03 1998-03-10 Ostrem; Fred E. Surface mount component height control
US5608262A (en) * 1995-02-24 1997-03-04 Lucent Technologies Inc. Packaging multi-chip modules without wire-bond interconnection
US5767010A (en) * 1995-03-20 1998-06-16 Mcnc Solder bump fabrication methods and structure including a titanium barrier layer
US5834844A (en) * 1995-03-24 1998-11-10 Shinko Electric Industries Co., Ltd. Semiconductor device having an element with circuit pattern thereon
US5742100A (en) * 1995-03-27 1998-04-21 Motorola, Inc. Structure having flip-chip connected substrates
US5842626A (en) * 1995-03-31 1998-12-01 Intel Corporation Method for coupling surface mounted capacitors to semiconductor packages
US5578860A (en) * 1995-05-01 1996-11-26 Motorola, Inc. Monolithic high frequency integrated circuit structure having a grounded source configuration
US5635767A (en) * 1995-06-02 1997-06-03 Motorola, Inc. Semiconductor device having built-in high frequency bypass capacitor
US5656849A (en) * 1995-09-22 1997-08-12 International Business Machines Corporation Two-level spiral inductor structure having a high inductance to area ratio
US5827778A (en) * 1995-11-28 1998-10-27 Nec Corporation Method of manufacturing a semiconductor device using a silicon fluoride oxide film
US6146985A (en) * 1995-11-30 2000-11-14 Advanced Micro Devices, Inc. Low capacitance interconnection
US5910020A (en) * 1995-12-18 1999-06-08 Nec Corporation Method for fabricating a semiconductor device having a refractory metal pillar for electrical connection
US5915169A (en) * 1995-12-22 1999-06-22 Anam Industrial Co., Ltd. Semiconductor chip scale package and method of producing such
US5686764A (en) * 1996-03-20 1997-11-11 Lsi Logic Corporation Flip chip package with reduced number of package layers
US6130457A (en) * 1996-04-09 2000-10-10 Samsung Electronics Co., Ltd. Semiconductor-on-insulator devices having insulating layers therein with self-aligned openings
US5953626A (en) * 1996-06-05 1999-09-14 Advanced Micro Devices, Inc. Dissolvable dielectric method
US5883422A (en) * 1996-06-28 1999-03-16 The Whitaker Corporation Reduced parasitic capacitance semiconductor devices
US5949654A (en) * 1996-07-03 1999-09-07 Kabushiki Kaisha Toshiba Multi-chip module, an electronic device, and production method thereof
US5827776A (en) * 1996-07-18 1998-10-27 Advanced Micro Devices, Inc. Method of making an integrated circuit which uses an etch stop for producing staggered interconnect lines
US5884990A (en) * 1996-08-23 1999-03-23 International Business Machines Corporation Integrated circuit inductor
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
US5714394A (en) * 1996-11-07 1998-02-03 Advanced Micro Devices, Inc. Method of making an ultra high density NAND gate using a stacked transistor arrangement
US6075268A (en) * 1996-11-07 2000-06-13 Advanced Micro Devices, Inc. Ultra high density inverter using a stacked transistor arrangement
US5818110A (en) * 1996-11-22 1998-10-06 International Business Machines Corporation Integrated circuit chip wiring structure with crossover capability and method of manufacturing the same
US5969424A (en) * 1997-03-19 1999-10-19 Fujitsu Limited Semiconductor device with pad structure
US6100548A (en) * 1997-04-10 2000-08-08 Hughes Electronics Corporation Modulation-doped field-effect transistors and fabrication processes
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6163234A (en) * 1997-06-10 2000-12-19 Lucent Technologies Inc. Micromagnetic device for data transmission applications and method of manufacture therefor
US20030150898A1 (en) * 1997-06-10 2003-08-14 Agere Systems Inc. Micromagnetic device for power processing applications and method of manufacture therefor
US6160721A (en) * 1997-06-10 2000-12-12 Lucent Technologies Inc. Micromagnetic device for power processing applications and method of manufacture therefor
US6191495B1 (en) * 1997-06-10 2001-02-20 Lucent Technologies Inc. Micromagnetic device having an anisotropic ferromagnetic core and method of manufacture therefor
US20020037434A1 (en) * 1997-06-10 2002-03-28 Anatoly Feygenson Integrated circuit having a micromagnetic device and method of manufacture therefor
US6329721B1 (en) * 1997-07-22 2001-12-11 International Business Machines Corporation Pb-In-Sn tall C-4 for fatigue enhancement
US6236101B1 (en) * 1997-11-05 2001-05-22 Texas Instruments Incorporated Metallization outside protective overcoat for improved capacitors and inductors
US6452274B1 (en) * 1997-11-17 2002-09-17 Sony Corporation Semiconductor device having a low dielectric layer as an interlayer insulating layer
US5973391A (en) * 1997-12-11 1999-10-26 Read-Rite Corporation Interposer with embedded circuitry and method for using the same to package microelectronic units
US6075290A (en) * 1998-02-26 2000-06-13 National Semiconductor Corporation Surface mount die: wafer level chip-scale package and process for making the same
US5929508A (en) * 1998-05-21 1999-07-27 Harris Corp Defect gettering by induced stress
US20010045616A1 (en) * 1998-06-29 2001-11-29 Takashi Yoshitomi Semiconductor device having an inductor and method for manufacturing the same
US6174803B1 (en) * 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6261944B1 (en) * 1998-11-24 2001-07-17 Vantis Corporation Method for forming a semiconductor device having high reliability passivation overlying a multi-level interconnect
US7459761B2 (en) * 1998-12-21 2008-12-02 Megica Corporation High performance system-on-chip using post passivation process
US20080044977A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US6455885B1 (en) * 1998-12-21 2002-09-24 Megic Corporation Inductor structure for high performance system-on-chip using post passivation process
US6410414B1 (en) * 1998-12-28 2002-06-25 Samsung Electronics Co., Ltd. Method for fabricating a semiconductor device
US6833285B1 (en) * 1999-02-01 2004-12-21 Micron Technology, Inc. Method of making a chip packaging device having an interposer
US6441715B1 (en) * 1999-02-17 2002-08-27 Texas Instruments Incorporated Method of fabricating a miniaturized integrated circuit inductor and transformer fabrication
US20020017672A1 (en) * 1999-03-19 2002-02-14 Ming-Dou Ker Low-capacitance bonding pad for semiconductor device
US6236103B1 (en) * 1999-03-31 2001-05-22 International Business Machines Corp. Integrated high-performance decoupling capacitor and heat sink
US6255714B1 (en) * 1999-06-22 2001-07-03 Agere Systems Guardian Corporation Integrated circuit having a micromagnetic device including a ferromagnetic core and method of manufacture therefor
US6097273A (en) * 1999-08-04 2000-08-01 Lucent Technologies Inc. Thin-film monolithic coupled spiral balun transformer
US6274474B1 (en) * 1999-10-25 2001-08-14 International Business Machines Corporation Method of forming BGA interconnections having mixed solder profiles
US6410135B1 (en) * 2000-01-21 2002-06-25 3M Innovative Properties Company Stretch releasing adhesive tape with differential adhesive properties
US6747307B1 (en) * 2000-04-04 2004-06-08 Koninklijke Philips Electronics N.V. Combined transistor-capacitor structure in deep sub-micron CMOS for power amplifiers
US6180445B1 (en) * 2000-04-24 2001-01-30 Taiwan Semiconductor Manufacturing Company Method to fabricate high Q inductor by redistribution layer when flip-chip package is employed
US6578754B1 (en) * 2000-04-27 2003-06-17 Advanpack Solutions Pte. Ltd. Pillar connections for semiconductor chips and method of manufacture
US6356453B1 (en) * 2000-06-29 2002-03-12 Amkor Technology, Inc. Electronic package having flip chip integrated circuit and passive chip component
US6683380B2 (en) * 2000-07-07 2004-01-27 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
US6518092B2 (en) * 2000-07-13 2003-02-11 Oki Electric Industry Co., Ltd. Semiconductor device and method for manufacturing
US20050275094A1 (en) * 2001-09-27 2005-12-15 Intel Corporation. Encapsulation of pin solder for maintaining accuracy in pin position

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080042238A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080042239A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080044977A1 (en) * 1998-12-21 2008-02-21 Megica Corporation High performance system-on-chip using post passivation process
US20080093745A1 (en) * 1998-12-21 2008-04-24 Megica Corporation High performance system-on-chip using post passivation process
US20080111243A1 (en) * 1998-12-21 2008-05-15 Megica Corporation High performance system-on-chip using post passivation process
US8487400B2 (en) 1998-12-21 2013-07-16 Megica Corporation High performance system-on-chip using post passivation process
US20080284032A1 (en) * 2005-03-29 2008-11-20 Megica Corporation High performance system-on-chip using post passivation process
US8384189B2 (en) 2005-03-29 2013-02-26 Megica Corporation High performance system-on-chip using post passivation process
US20070045855A1 (en) * 2005-07-22 2007-03-01 Megica Corporation Method for forming a double embossing structure
US7960269B2 (en) 2005-07-22 2011-06-14 Megica Corporation Method for forming a double embossing structure
US20110215469A1 (en) * 2005-07-22 2011-09-08 Megica Corporation Method for forming a double embossing structure

Also Published As

Publication number Publication date
US8384189B2 (en) 2013-02-26
US20080284032A1 (en) 2008-11-20

Similar Documents

Publication Publication Date Title
US8487400B2 (en) High performance system-on-chip using post passivation process
US6489656B1 (en) Resistor for high performance system-on-chip using post passivation process
US6869870B2 (en) High performance system-on-chip discrete components using post passivation process
US8178435B2 (en) High performance system-on-chip inductor using post passivation process
US7531417B2 (en) High performance system-on-chip passive device using post passivation process
US20070182521A1 (en) High performance system-on-chip inductor using post passivation process
US8384189B2 (en) High performance system-on-chip using post passivation process
JP5683765B2 (en) Integrated circuit chip and method of forming the same
JP2002246547A (en) Method of forming inductor for high-performance integrated circuit overlaid on surface of semiconductor substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: MEGICA CORPORATION, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIN, MOUSHIUNG;REEL/FRAME:021510/0768

Effective date: 20080909

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: MEGIT ACQUISITION CORP., CALIFORNIA

Free format text: MERGER;ASSIGNOR:MEGICA CORPORATION;REEL/FRAME:031283/0198

Effective date: 20130611

AS Assignment

Owner name: QUALCOMM INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MEGIT ACQUISITION CORP.;REEL/FRAME:033303/0124

Effective date: 20140709