US20090024808A1 - Memory controller and method for optimized read/modify/write performance - Google Patents

Memory controller and method for optimized read/modify/write performance Download PDF

Info

Publication number
US20090024808A1
US20090024808A1 US12/136,752 US13675208A US2009024808A1 US 20090024808 A1 US20090024808 A1 US 20090024808A1 US 13675208 A US13675208 A US 13675208A US 2009024808 A1 US2009024808 A1 US 2009024808A1
Authority
US
United States
Prior art keywords
read
command
rmw
write
queue
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/136,752
Inventor
Philip Rogers Hillier, III
William Paul Hovis
Joseph Allen Kirscht
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/136,752 priority Critical patent/US20090024808A1/en
Publication of US20090024808A1 publication Critical patent/US20090024808A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/1642Handling requests for interconnection or transfer for access to memory bus based on arbitration with request queuing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory

Definitions

  • This invention generally relates to computer memory systems, and more specifically relates to optimizing read/modify/write control in a computer memory system.
  • Computer systems typically include a combination of hardware (e.g., semiconductors, circuit boards, etc.) and software (e.g., computer programs).
  • hardware e.g., semiconductors, circuit boards, etc.
  • software e.g., computer programs
  • One key component in any computer system is memory.
  • DRAM dynamic random-access memory
  • static RAM in that its contents must be continually refreshed to avoid losing data.
  • a static RAM in contrast, maintains its contents as long as power is present without the need to refresh the memory. This maintenance of memory in a static RAM comes at the expense of additional transistors for each memory cell that are not required in a DRAM cell. For this reason, DRAMs typically have densities significantly greater than static RAMs, thereby providing a much greater amount of memory at a lower cost than is possible using static RAM.
  • DRAMs are also more prone to errors in the data read from the memory.
  • Sophisticated error correction circuitry has been developed that allow detecting errors in a DRAM.
  • a cache line is read, causing a corresponding read of an error correction code (ECC) from memory.
  • ECC error correction code
  • the error correction circuitry uses the ECC to detect if there are errors in the data within the ECC boundary.
  • the ECC boundary is the amount of data or size of the chunk of memory used to generated the ECC (such as a cache line).
  • the error correction circuitry When data is written to memory the error correction circuitry generates the ECC, which is then written to the cacheline with the data, and then into the memory.
  • Modern DRAM memory controllers support a memory command known as Read/Modify/Write (RMW).
  • RMW Read/Modify/Write
  • a RMW command is used to write less data than a full cache line. Before the write operation, the full cache line of data must be read to be combined with the new data of the RMW command. This is necessary to assure data integrity in the memory and so that a new error correction code can be generated for the store.
  • the entire RMW sequence is performed as an atomic operation to assure data integrity. If processor reads occur just after the read operation of the RMW cycle, the processor reads have to wait until the atomic RMW operation is completed.
  • prior art memory controllers negatively affect system performance when performing Read/Modify/Write operations due to excessive time spent processing RMW operations. Without a way for performing Read/Modify/Write operations in a way that does not make processor read cycles wait, the computer industry will continue to be plagued with decreased performance during Read/Modify/Write cycles.
  • a memory controller optimizes execution of read/modify/write (RMW) commands by breaking the RMW commands into separate and unique read and write commands that do not need to be executed together, but just in the proper sequence.
  • RMW read/modify/write
  • Some embodiments use a separate RMW queue in the controller in conjunction with the read queue and write queue.
  • the controller places the read and write portions of the RMW into the read and write queue, but where the write queue has a dependency indicator associated with the RMW write command in the write queue to insure the controller maintains the proper execution sequence.
  • the embodiments allow the memory controller to translate RMW commands into read and write commands with the proper sequence of execution to preserve data coherency.
  • FIG. 1 is a block diagram of a memory controller in accordance with the preferred embodiments
  • FIG. 2 is a sample timing diagram showing the function of the memory controller of FIG. 1 ;
  • FIG. 3 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments
  • FIG. 4 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments
  • FIG. 5 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments
  • FIG. 6 is another block diagram of a memory controller in accordance with the preferred embodiments.
  • FIG. 7 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments for the memory controller in FIG. 6 ;
  • FIG. 8 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments for the memory controller in FIG. 6 ;
  • FIG. 9 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments for the memory controller in FIG. 6 ;
  • FIG. 10 is a block diagram of a prior art memory controller
  • FIG. 11 is a sample timing diagram showing the function of the prior art memory controller of FIG. 10 .
  • a memory controller 1000 in accordance with the prior art includes a read queue 1020 , a write queue 1030 , and command formatting logic 1040 .
  • a read command 1050 from a processor may be written to the read queue 1020 .
  • the read queue 1020 includes a plurality of entries that are processed by the memory controller 1000 .
  • a write command 1060 from the processor may be written to the write queue 1030 .
  • the write queue 1030 includes a plurality of entries that are processed by the memory controller 1000 .
  • RMW commands 1065 from the processor are also written to the write queue 1030 .
  • read operations may have priority over write operations.
  • RMW commands 1065 are serviced by processing the read portion of the command from the write queue and then holding the write portion of the command until the read is completed.
  • the command formatting logic 1040 presents appropriate commands to the memory via the memory command interface 1070 .
  • the “read/modify/write” (RMW) operation presents unique problems to the memory controller 1000 .
  • the RMW operation is so designated due to its atomic operation.
  • Atomic operation means that once the RMW operation is commenced, all other accesses to the memory are delayed until the RMW operation is complete.
  • the RMW operation is used for systems having error correction or systems without error correction that don't have partial write capability. In some systems the RMWs are simply stores that are less than a full cacheline in size, so the full cache line of data must be read before being combined with the RMW data and then written back into memory.
  • By delaying processor accesses that occur during the atomic RMW cycle each subsequent processor access suffers the delay time that resulted from waiting for the RMW cycle to complete. The result is a decrease in system performance caused by this delay.
  • the delay in prior art RMW cycles is illustrated by a simplified timing diagram shown in FIG. 11 .
  • the activity on the memory controller 1000 is shown under the heading “Memory Bus Operation” compared with the timing of a “Memory Bus Clock.”
  • a first RMW cycle is designated as RMW 0 .
  • the RMW 0 cycle has a read command 1110 and a write command 1120 .
  • the time between the read command 1110 and a write command 1120 is a RMW time delay 1130 .
  • the time delay 1130 was unproductive, since the memory controller 100 had to delay other memory access commands until the RMW command was completed. This time delay 1130 can significantly reduce memory bandwidth in a data stream that contains a large number of RMW commands.
  • a memory controller 100 in accordance with the preferred embodiments includes a read queue 120 , a write queue 130 , a RMW queue 135 and command formatting logic 140 .
  • a read command 150 from a processor may be written to the read queue 120 .
  • the read queue 120 includes a plurality of entries that are processed by the memory controller 100 .
  • a write command 160 from the processor may be written to the write queue 130 .
  • the write queue 130 includes a plurality of entries that are processed by the memory controller 100 .
  • a RMW command 165 from the processor may be written to the RMW queue 135 .
  • the RMW queue 135 includes a plurality of entries that are processed by the memory controller 100 .
  • read operations may have priority over write operations (similar to the prior art), so the read queue 120 is serviced until all its entries have been processed, at which time one or more entries in the write queue 130 may be processed. Since the memory controller 100 in the preferred embodiments can distinguish a RMW read over a processor read, the memory controller 100 can also give priority to processor reads over RMW reads. RMW commands can be processed sequentially, in groups or upon a certain threshold as described below. The command formatting logic 140 presents appropriate commands to the memory via the memory command interface 170 .
  • the memory controller 100 in FIG. 1 processes incoming commands from the processor by identifying the type of command (read, write or RMW) and placing them in the appropriate queue. The memory controller 100 then executes the commands in the queues.
  • the read queue 120 may be given priority. Commands on the read queue 120 and the write queue 130 are executed from the respective queue in a manner known in the prior art except where described differently herein. Execution of commands on the RMW queue are accomplished by translating them and placing them on the read and write queues as described below.
  • This embodiment with a RMW queue takes much of the complexity out of the write queue 130 compared to prior art architectures for handling RMW commands within the write queue. The embodiment also simplifies the complexity of commands to be executed by the memory controller.
  • a RMW queue that does not execute commands directly simplifies the command execution for the memory controller. This includes optimization of command order within the queue and switching between command in the read and write queues.
  • Commands in the RMW queue 135 are translated into separate read and write operations.
  • the RMW commands are not executed out of the RMW queue 135 .
  • the memory controller 100 first writes the read portion of the RMW command in the RMW queue 135 to the read queue 120 as shown by arrow 142 in FIG. 1 .
  • the memory controller 100 then waits for data from the read command to be returned from the read portion of the RMW command that was placed on the read queue 120 and executed from the read queue.
  • the portion placed on the read queue 120 is processed and executed from the read queue 120 as is known in the prior art.
  • the memory controller 100 then combines data returned from the read command (represented by arrow 144 ) with the partial RMW data of the original RMW command (represented by arrow 146 ) into a single write command and places the write command on the write queue 130 .
  • the combining or merging of the data is done in a register or in the data queues (not shown) that are associated with the command queues.
  • the associated data queues are known in the prior art and are not shown for simplicity.
  • command processing in the RMW queue is deferred to achieve various advantages.
  • the memory controller 100 may defer the processing of the RMW command until meeting certain conditions or until there is a certain number of commands in the queue.
  • the deferring of commands allows for optimization and clustering as described further below.
  • the memory controller 100 may defer based on a low water mark, a high water mark, a full indicator and/or a timer.
  • Command clustering is where the memory controller 100 gathers disparate write and read commands and combines them together for increased efficiency of memory reads and writes.
  • Command clustering in the write queue 130 is simplified compared to the prior art since all commands in the queue are ready to execute, since there are no RMW commands waiting for data in the write queue 120 .
  • Command clustering in the RMW queue 135 is also simplified because it is separate from the queues dealing directly with execution. Clustering on the RMW queue 135 can also be done with less interruption of the execution process since accessing the RMW queue 135 can be done in parallel with execution occurring in the other queues. Clustering and optimization of RMW commands can also be accomplished as described below.
  • the memory controller 100 can perform optimizations of commands on the RMW queue 135 .
  • the memory controller 100 first attempts to combine RMW commands on the RMW queue 135 .
  • the memory controller 100 looks for RMW queue entries that are to the same cacheline.
  • the memory controller 100 can combine entries on the RMW queue that are to the same cacheline. This combination can be done before the read or after the read of the data for the RMW commands. If the merged entries accumulate to a full cacheline, then any reads that may have been sent to the read queue can be cancelled.
  • the memory controller 100 looks for RMW queue entries that are to the same cacheline as a write on the write queue 130 . Since data on the write queue 130 is to a full cacheline, the memory controller 100 can combines entries on the RMW queue 135 that are to the same cacheline as the writes on the write queue 130 without performing a read of the data.
  • FIG. 2 illustrates the timing according to the preferred embodiments.
  • FIG. 2 also readily shows the difference in timing when compared with the prior art timing in FIG. 11 .
  • the activity on the memory controller is shown under the heading “Memory Bus Operation” compared with the timing of a “Memory Bus Clock.”
  • a first RMW cycle is designated as RMW 0 .
  • the RMW 0 cycle has a read command 210 and a write command 220 .
  • the time between the read command 210 and a write command 220 is a RMW time 230 .
  • the time 230 between the read portion of the RMW command 210 and the write portion 220 includes other access commands to the memory.
  • FIG. 1 illustrates the timing according to the preferred embodiments.
  • FIG. 2 also readily shows the difference in timing when compared with the prior art timing in FIG. 11 .
  • the activity on the memory controller is shown under the heading “Memory Bus Operation” compared with the timing of a “Memory
  • RMW 1 , RMW 2 , and RMW 3 the read portion of other RMW commands (RMW 1 , RMW 2 , and RMW 3 ) are shown to be executed between the read and write of the RMW 0 command. Note, however, because the read command portion of a RMW command appears the same as a processor read command on the read queue 120 , the read cycles labeled RMW 1 , RMW 2 and RMW 3 in FIG. 2 could also represent processor reads as well.
  • FIG. 3 illustrates a flow diagram of a method 300 for processing RMW operations in accordance with the preferred embodiments.
  • Method 300 shows the logic of the memory controller 100 to translate the atomic read/modify/write operation into separate read and write operations as described above.
  • Method 300 is the initial part of the logic for processing incoming commands to the memory controller 100 .
  • step 330 yes
  • FIG. 4 illustrates a flow diagram of a method 400 for processing RMW operations in accordance with the preferred embodiments.
  • Method 400 shows the logic of the memory controller 100 to execute a RMW command on the RMW queue to translate the RMW command into separate read and write operations as described above.
  • the controller first writes the read portion of the RMW command in the RMW queue to the read queue (step 410 ).
  • the controller then waits for data from the read command (step 420 ) to be returned from the read portion of the RMW command that was placed on the read queue and executed from the read queue.
  • the controller then combines data returned from the read queue with the partial RMW data of the original RMW command into a single write command and places the write command on the write queue (step 430 ).
  • FIG. 5 illustrates a flow diagram of a method 500 for processing RMW operations in accordance with the preferred embodiments.
  • Method 500 shows the logic of the memory controller 100 to combine RMW commands on the RMW queue.
  • the controller first looks for RMW queue entries that are to the same cacheline (step 510 ).
  • the controller combines entries to the same cacheline on the RMW queue (step 520 ).
  • the controller looks for RMW queue entries that are to the same cacheline as a write on the write queue (step 530 ).
  • the controller combines the RMW command on the RMW queue and the write command on the write queue into the write command on the write queue (step 540 ).
  • FIG. 6 another memory controller 600 in accordance with the preferred embodiments is shown.
  • the features and operation of memory controller 600 are similar to those described above with reference to FIG. 1 .
  • the RMW commands are placed in the write queue 630 along with write commands.
  • the memory controller 600 includes a read queue 620 , a write queue 630 and command formatting logic 640 .
  • a read command 650 from a processor is written to the read queue 620 .
  • a write command 660 from the processor is written to the write queue 630 .
  • a RMW command 665 from the processor is also written to the write queue 630 .
  • the memory controller includes a control register 635 for each entry location in the write queue 630 , or at least those entries that are used for RMW commands.
  • the control register 635 may include one or more register bits or flags used by the memory controller 600 for executing the RMW command from the write queue 630 .
  • the control register for the described embodiment includes a RMW flag to indicate the command is a RMW, and a dependency flag to indicate the command is waiting for a read command to complete.
  • FIG. 7 illustrates a flow diagram of a method 700 for processing RMW operations in accordance with the preferred embodiments related to FIG. 6 .
  • Method 700 shows the logic of the memory controller 100 to translate the atomic read/modify/write operation into separate read and write operations as described above.
  • Method 700 is the initial part of the logic for processing incoming commands to the memory controller 600 .
  • step 730 yes
  • FIG. 8 illustrates a flow diagram of a method 800 for processing RMW operations in accordance with the preferred embodiments.
  • Method 800 shows the logic of the memory controller 600 to execute a RMW command on the write queue.
  • the controller first writes the read portion of the RMW command in the RMW queue to the read queue and sets a dependency flag (step 810 ).
  • the controller then waits for data from the read command (step 820 ) to be returned from the read portion of the RMW command that was placed on the read queue and executed from the read queue.
  • the controller then combines data returned from the read queue with the partial RMW data of the original RMW command into a single write operation and places the command on the write queue and clears the dependency flag (step 830 ).
  • FIG. 9 illustrates a flow diagram of a method 900 for processing RMW operations in accordance with the preferred embodiments.
  • Method 900 shows the logic of the memory controller 600 to combine RMW commands on the write queue.
  • the controller first looks for RMW queue entries that are to the same cacheline (step 910 ).
  • the controller combines entries to the same cacheline on the write queue (step 920 ).
  • the controller looks for RMW queue entries that are to the same cacheline as a write command on the write queue (step 930 ).
  • the controller combines the RMW command on the write queue and the write command on the write queue into the write command on the write queue (step 940 ).
  • the embodiments described herein provide important improvements over the prior art.
  • the memory controller optimizes RMW commands by breaking them into separate and unique read and write commands.
  • the embodiments allow the memory controller to translate RMW commands into read and write commands with the proper sequence of execution to preserve data consistency.
  • the preferred embodiments will provide the computer industry with increased memory bandwidth during Read/Modify/Write cycles for an overall increase in computer system performance.

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Abstract

A memory controller optimizes execution of a read/modify/write command by breaking the RMW command into separate and unique read and write commands that do not need to be executed together, but just need to be executed in the proper sequence. The most preferred embodiments use a separate RMW queue in the controller in conjunction with the read queue and write queue. In other embodiments, the controller places the read and write portions of the RMW into the read and write queue, but where the write queue has a dependency indicator associated with the RMW write command in the write queue to insure the controller maintains the proper execution sequence. The embodiments allow the memory controller to translate RMW commands into read and write commands with the proper sequence of execution to preserve data coherency.

Description

    CROSS-REFERENCE TO PARENT APPLICATIONS
  • This patent application is a continuation of Ser. No. 11/779,277 filed on Jul. 18, 2007 which is a continuation of U.S. Pat. No. 7,328,317. Both of these parent applications are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Technical Field
  • This invention generally relates to computer memory systems, and more specifically relates to optimizing read/modify/write control in a computer memory system.
  • 2. Background Art
  • Since the dawn of the computer age, computer systems have evolved into extremely sophisticated devices that may be found in many different settings. Computer systems typically include a combination of hardware (e.g., semiconductors, circuit boards, etc.) and software (e.g., computer programs). One key component in any computer system is memory.
  • Modern computer systems typically include dynamic random-access memory (DRAM). DRAM is different than static RAM in that its contents must be continually refreshed to avoid losing data. A static RAM, in contrast, maintains its contents as long as power is present without the need to refresh the memory. This maintenance of memory in a static RAM comes at the expense of additional transistors for each memory cell that are not required in a DRAM cell. For this reason, DRAMs typically have densities significantly greater than static RAMs, thereby providing a much greater amount of memory at a lower cost than is possible using static RAM.
  • However, DRAMs are also more prone to errors in the data read from the memory. Sophisticated error correction circuitry has been developed that allow detecting errors in a DRAM. During a typical read cycle, a cache line is read, causing a corresponding read of an error correction code (ECC) from memory. The error correction circuitry uses the ECC to detect if there are errors in the data within the ECC boundary. The ECC boundary is the amount of data or size of the chunk of memory used to generated the ECC (such as a cache line). When data is written to memory the error correction circuitry generates the ECC, which is then written to the cacheline with the data, and then into the memory.
  • Modern DRAM memory controllers support a memory command known as Read/Modify/Write (RMW). A RMW command is used to write less data than a full cache line. Before the write operation, the full cache line of data must be read to be combined with the new data of the RMW command. This is necessary to assure data integrity in the memory and so that a new error correction code can be generated for the store. In the prior art, once the RMW cycle starts, the entire RMW sequence is performed as an atomic operation to assure data integrity. If processor reads occur just after the read operation of the RMW cycle, the processor reads have to wait until the atomic RMW operation is completed. As a result, prior art memory controllers negatively affect system performance when performing Read/Modify/Write operations due to excessive time spent processing RMW operations. Without a way for performing Read/Modify/Write operations in a way that does not make processor read cycles wait, the computer industry will continue to be plagued with decreased performance during Read/Modify/Write cycles.
  • DISCLOSURE OF INVENTION
  • A memory controller optimizes execution of read/modify/write (RMW) commands by breaking the RMW commands into separate and unique read and write commands that do not need to be executed together, but just in the proper sequence. Some embodiments use a separate RMW queue in the controller in conjunction with the read queue and write queue. In other embodiments, the controller places the read and write portions of the RMW into the read and write queue, but where the write queue has a dependency indicator associated with the RMW write command in the write queue to insure the controller maintains the proper execution sequence. The embodiments allow the memory controller to translate RMW commands into read and write commands with the proper sequence of execution to preserve data coherency.
  • The foregoing and other features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The preferred embodiments of the present invention will hereinafter be described in conjunction with the appended drawings, where like designations denote like elements, and:
  • FIG. 1 is a block diagram of a memory controller in accordance with the preferred embodiments;
  • FIG. 2 is a sample timing diagram showing the function of the memory controller of FIG. 1;
  • FIG. 3 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments;
  • FIG. 4 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments;
  • FIG. 5 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments;
  • FIG. 6 is another block diagram of a memory controller in accordance with the preferred embodiments;
  • FIG. 7 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments for the memory controller in FIG. 6;
  • FIG. 8 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments for the memory controller in FIG. 6;
  • FIG. 9 is a flow diagram of a method for processing RMW operations in accordance with the preferred embodiments for the memory controller in FIG. 6;
  • FIG. 10 is a block diagram of a prior art memory controller; and
  • FIG. 11 is a sample timing diagram showing the function of the prior art memory controller of FIG. 10.
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • A prior art memory controller and method are first presented herein to provide a context for the discussion of the preferred embodiments.
  • Referring to FIG. 10, a memory controller 1000 in accordance with the prior art includes a read queue 1020, a write queue 1030, and command formatting logic 1040. A read command 1050 from a processor may be written to the read queue 1020. The read queue 1020 includes a plurality of entries that are processed by the memory controller 1000. A write command 1060 from the processor may be written to the write queue 1030. The write queue 1030 includes a plurality of entries that are processed by the memory controller 1000. RMW commands 1065 from the processor are also written to the write queue 1030. In the memory controller 1000 read operations may have priority over write operations. RMW commands 1065 are serviced by processing the read portion of the command from the write queue and then holding the write portion of the command until the read is completed. The command formatting logic 1040 presents appropriate commands to the memory via the memory command interface 1070.
  • The “read/modify/write” (RMW) operation presents unique problems to the memory controller 1000. The RMW operation is so designated due to its atomic operation. Atomic operation means that once the RMW operation is commenced, all other accesses to the memory are delayed until the RMW operation is complete. The RMW operation is used for systems having error correction or systems without error correction that don't have partial write capability. In some systems the RMWs are simply stores that are less than a full cacheline in size, so the full cache line of data must be read before being combined with the RMW data and then written back into memory. By delaying processor accesses that occur during the atomic RMW cycle, each subsequent processor access suffers the delay time that resulted from waiting for the RMW cycle to complete. The result is a decrease in system performance caused by this delay.
  • The delay in prior art RMW cycles is illustrated by a simplified timing diagram shown in FIG. 11. The activity on the memory controller 1000 is shown under the heading “Memory Bus Operation” compared with the timing of a “Memory Bus Clock.”A first RMW cycle is designated as RMW0. The RMW0 cycle has a read command 1110 and a write command 1120. The time between the read command 1110 and a write command 1120 is a RMW time delay 1130. In the prior art memory controllers, the time delay 1130 was unproductive, since the memory controller 100 had to delay other memory access commands until the RMW command was completed. This time delay 1130 can significantly reduce memory bandwidth in a data stream that contains a large number of RMW commands.
  • The preferred embodiments translate the formerly atomic read/modify/write operation into separate read and write operations using an architecture and protocol that assures that processor read cycles are not delayed while the RMW cycles are in progress. Referring to FIG. 1, a memory controller 100 in accordance with the preferred embodiments includes a read queue 120, a write queue 130, a RMW queue 135 and command formatting logic 140. A read command 150 from a processor may be written to the read queue 120. The read queue 120 includes a plurality of entries that are processed by the memory controller 100. A write command 160 from the processor may be written to the write queue 130. The write queue 130 includes a plurality of entries that are processed by the memory controller 100. A RMW command 165 from the processor may be written to the RMW queue 135. The RMW queue 135 includes a plurality of entries that are processed by the memory controller 100.
  • In the memory controller 100 of the preferred embodiments, read operations may have priority over write operations (similar to the prior art), so the read queue 120 is serviced until all its entries have been processed, at which time one or more entries in the write queue 130 may be processed. Since the memory controller 100 in the preferred embodiments can distinguish a RMW read over a processor read, the memory controller 100 can also give priority to processor reads over RMW reads. RMW commands can be processed sequentially, in groups or upon a certain threshold as described below. The command formatting logic 140 presents appropriate commands to the memory via the memory command interface 170.
  • The memory controller 100 in FIG. 1 processes incoming commands from the processor by identifying the type of command (read, write or RMW) and placing them in the appropriate queue. The memory controller 100 then executes the commands in the queues. The read queue 120 may be given priority. Commands on the read queue 120 and the write queue 130 are executed from the respective queue in a manner known in the prior art except where described differently herein. Execution of commands on the RMW queue are accomplished by translating them and placing them on the read and write queues as described below. This embodiment with a RMW queue takes much of the complexity out of the write queue 130 compared to prior art architectures for handling RMW commands within the write queue. The embodiment also simplifies the complexity of commands to be executed by the memory controller. A RMW queue that does not execute commands directly simplifies the command execution for the memory controller. This includes optimization of command order within the queue and switching between command in the read and write queues.
  • Commands in the RMW queue 135 are translated into separate read and write operations. The RMW commands are not executed out of the RMW queue 135. The memory controller 100 first writes the read portion of the RMW command in the RMW queue 135 to the read queue 120 as shown by arrow 142 in FIG. 1. The memory controller 100 then waits for data from the read command to be returned from the read portion of the RMW command that was placed on the read queue 120 and executed from the read queue. The portion placed on the read queue 120 is processed and executed from the read queue 120 as is known in the prior art. The memory controller 100 then combines data returned from the read command (represented by arrow 144) with the partial RMW data of the original RMW command (represented by arrow 146) into a single write command and places the write command on the write queue 130. The combining or merging of the data is done in a register or in the data queues (not shown) that are associated with the command queues. The associated data queues are known in the prior art and are not shown for simplicity.
  • In preferred embodiments, command processing in the RMW queue is deferred to achieve various advantages. Rather than process a single RMW command, the memory controller 100 may defer the processing of the RMW command until meeting certain conditions or until there is a certain number of commands in the queue. The deferring of commands allows for optimization and clustering as described further below. The memory controller 100 may defer based on a low water mark, a high water mark, a full indicator and/or a timer.
  • The architecture of the most preferred embodiments facilitate the use of command clustering and optimization. Command clustering is where the memory controller 100 gathers disparate write and read commands and combines them together for increased efficiency of memory reads and writes. Command clustering in the write queue 130 is simplified compared to the prior art since all commands in the queue are ready to execute, since there are no RMW commands waiting for data in the write queue 120. Command clustering in the RMW queue 135 is also simplified because it is separate from the queues dealing directly with execution. Clustering on the RMW queue 135 can also be done with less interruption of the execution process since accessing the RMW queue 135 can be done in parallel with execution occurring in the other queues. Clustering and optimization of RMW commands can also be accomplished as described below.
  • Again referring to FIG. 1, the memory controller 100 can perform optimizations of commands on the RMW queue 135. The memory controller 100 first attempts to combine RMW commands on the RMW queue 135. The memory controller 100 looks for RMW queue entries that are to the same cacheline. The memory controller 100 can combine entries on the RMW queue that are to the same cacheline. This combination can be done before the read or after the read of the data for the RMW commands. If the merged entries accumulate to a full cacheline, then any reads that may have been sent to the read queue can be cancelled. In another optimization, the memory controller 100 looks for RMW queue entries that are to the same cacheline as a write on the write queue 130. Since data on the write queue 130 is to a full cacheline, the memory controller 100 can combines entries on the RMW queue 135 that are to the same cacheline as the writes on the write queue 130 without performing a read of the data.
  • The timing diagram of FIG. 2 illustrates the timing according to the preferred embodiments. FIG. 2. also readily shows the difference in timing when compared with the prior art timing in FIG. 11. The activity on the memory controller is shown under the heading “Memory Bus Operation” compared with the timing of a “Memory Bus Clock.” A first RMW cycle is designated as RMW0. The RMW0 cycle has a read command 210 and a write command 220. The time between the read command 210 and a write command 220 is a RMW time 230. In contrast to the prior art memory controllers, the time 230 between the read portion of the RMW command 210 and the write portion 220 includes other access commands to the memory. In FIG. 2 the read portion of other RMW commands (RMW1, RMW2, and RMW3) are shown to be executed between the read and write of the RMW0 command. Note, however, because the read command portion of a RMW command appears the same as a processor read command on the read queue 120, the read cycles labeled RMW1, RMW2 and RMW3 in FIG. 2 could also represent processor reads as well.
  • FIG. 3 illustrates a flow diagram of a method 300 for processing RMW operations in accordance with the preferred embodiments. Method 300 shows the logic of the memory controller 100 to translate the atomic read/modify/write operation into separate read and write operations as described above. Method 300 is the initial part of the logic for processing incoming commands to the memory controller 100. Upon receiving a new command, the controller checks if the command is a read command (step 310). If the command is a read command (step 310=yes) then the command is put on the read queue (step 320). If the command is not a read command (step 310=no) then the controller checks if it is a write command (step 330). If the command is a write command (step 330=yes) then the command is put on the write queue (step 340). If the command is not a write command (step 330=no) then the command must be a RMW command and the controller puts the command on the RMW queue (step 350).
  • FIG. 4 illustrates a flow diagram of a method 400 for processing RMW operations in accordance with the preferred embodiments. Method 400 shows the logic of the memory controller 100 to execute a RMW command on the RMW queue to translate the RMW command into separate read and write operations as described above. The controller first writes the read portion of the RMW command in the RMW queue to the read queue (step 410). The controller then waits for data from the read command (step 420) to be returned from the read portion of the RMW command that was placed on the read queue and executed from the read queue. The controller then combines data returned from the read queue with the partial RMW data of the original RMW command into a single write command and places the write command on the write queue (step 430).
  • FIG. 5 illustrates a flow diagram of a method 500 for processing RMW operations in accordance with the preferred embodiments. Method 500 shows the logic of the memory controller 100 to combine RMW commands on the RMW queue. The controller first looks for RMW queue entries that are to the same cacheline (step 510). The controller combines entries to the same cacheline on the RMW queue (step 520). The controller then looks for RMW queue entries that are to the same cacheline as a write on the write queue (step 530). The controller combines the RMW command on the RMW queue and the write command on the write queue into the write command on the write queue (step 540).
  • Referring to FIG. 6, another memory controller 600 in accordance with the preferred embodiments is shown. The features and operation of memory controller 600 are similar to those described above with reference to FIG. 1. However, in this embodiment, the RMW commands are placed in the write queue 630 along with write commands. The memory controller 600 includes a read queue 620, a write queue 630 and command formatting logic 640. A read command 650 from a processor is written to the read queue 620. A write command 660 from the processor is written to the write queue 630. A RMW command 665 from the processor is also written to the write queue 630. The memory controller includes a control register 635 for each entry location in the write queue 630, or at least those entries that are used for RMW commands. The control register 635 may include one or more register bits or flags used by the memory controller 600 for executing the RMW command from the write queue 630. The control register for the described embodiment includes a RMW flag to indicate the command is a RMW, and a dependency flag to indicate the command is waiting for a read command to complete.
  • FIG. 7 illustrates a flow diagram of a method 700 for processing RMW operations in accordance with the preferred embodiments related to FIG. 6. Method 700 shows the logic of the memory controller 100 to translate the atomic read/modify/write operation into separate read and write operations as described above. Method 700 is the initial part of the logic for processing incoming commands to the memory controller 600. Upon receiving a new command, the controller checks if the command is a read command (step 710). If the command is a read command (step 710=yes) then the command is put on the read queue (step 720). If the command is not a read command (step 710=no) then the controller checks if it is a write command (step 730). If the command is a write command (step 730=yes) then the command is put on the write queue (step 740). If the command is not a write command (step 730=no) then the command must be a RMW command and the controller puts the command on the write queue and sets a RMW flag or a dependency indicator associated with the command in the write queue (step 750).
  • FIG. 8 illustrates a flow diagram of a method 800 for processing RMW operations in accordance with the preferred embodiments. Method 800 shows the logic of the memory controller 600 to execute a RMW command on the write queue. The controller first writes the read portion of the RMW command in the RMW queue to the read queue and sets a dependency flag (step 810). The controller then waits for data from the read command (step 820) to be returned from the read portion of the RMW command that was placed on the read queue and executed from the read queue. The controller then combines data returned from the read queue with the partial RMW data of the original RMW command into a single write operation and places the command on the write queue and clears the dependency flag (step 830).
  • FIG. 9 illustrates a flow diagram of a method 900 for processing RMW operations in accordance with the preferred embodiments. Method 900 shows the logic of the memory controller 600 to combine RMW commands on the write queue. The controller first looks for RMW queue entries that are to the same cacheline (step 910). The controller combines entries to the same cacheline on the write queue (step 920). The controller then looks for RMW queue entries that are to the same cacheline as a write command on the write queue (step 930). The controller combines the RMW command on the write queue and the write command on the write queue into the write command on the write queue (step 940).
  • The embodiments described herein provide important improvements over the prior art. The memory controller optimizes RMW commands by breaking them into separate and unique read and write commands. The embodiments allow the memory controller to translate RMW commands into read and write commands with the proper sequence of execution to preserve data consistency. The preferred embodiments will provide the computer industry with increased memory bandwidth during Read/Modify/Write cycles for an overall increase in computer system performance.
  • One skilled in the art will appreciate that many variations are possible within the scope of the present invention. Thus, while the invention has been particularly shown and described with reference to preferred embodiments thereof, it will be understood by those skilled in the art that these and other changes in form and details may be made therein without departing from the spirit and scope of the invention. For example, while the preferred embodiments are discussed herein with particular regard to DRAMs, the memory controller and methods of the preferred embodiments may be applied to any semiconductor memory including embedded memory systems.

Claims (6)

1) A method for a memory controller to access memory, the method comprising the steps of:
writing a read command to a read queue;
writing a write command to a write queue;
writing a read-modify-write (RMW) command to a RMW queue;
translating the RMW command on the RMW queue into a read command on the read queue and a write command on the write queue; and
controlling a sequence of executing the read command and the write command.
2) The method of claim 1, further comprising the step of removing the RMW command from the RMW queue after receiving results from executing the read command and writing the write command to the write queue.
3) The method of claim 1, further comprising the step of setting a dependency indicator for the RMW command in the write queue.
4) The method of claim 1, wherein a read command on the read queue is executed after commencing a read portion of a read-modify-write cycle and before completion of the read-modify-write cycle.
5) A method for a memory controller to access memory, the method comprising the steps of:
writing a read command to a read queue;
writing a write command to a write queue;
writing a read-modify-write (RMW) command to a RMW queue;
writing a read command portion of the RMW command to the read queue;
waiting for data from an executing read command portion of the RMW command;
combining the data from the read command portion of the RMW command with partial data from the RMW command into a single write command; and
writing the single write command to the write queue.
6) The method of claim 5 wherein a read command on the read queue may be executed after commencing a read portion of a read-modify-write cycle and before completion of the read-modify-write cycle.
US12/136,752 2004-10-21 2008-06-10 Memory controller and method for optimized read/modify/write performance Abandoned US20090024808A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/136,752 US20090024808A1 (en) 2004-10-21 2008-06-10 Memory controller and method for optimized read/modify/write performance

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/970,400 US7328317B2 (en) 2004-10-21 2004-10-21 Memory controller and method for optimized read/modify/write performance
US11/779,277 US7475202B2 (en) 2004-10-21 2007-07-18 Memory controller and method for optimized read/modify/write performance
US12/136,752 US20090024808A1 (en) 2004-10-21 2008-06-10 Memory controller and method for optimized read/modify/write performance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/779,277 Continuation US7475202B2 (en) 2004-10-21 2007-07-18 Memory controller and method for optimized read/modify/write performance

Publications (1)

Publication Number Publication Date
US20090024808A1 true US20090024808A1 (en) 2009-01-22

Family

ID=36207344

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/970,400 Expired - Fee Related US7328317B2 (en) 2004-10-21 2004-10-21 Memory controller and method for optimized read/modify/write performance
US11/779,277 Expired - Fee Related US7475202B2 (en) 2004-10-21 2007-07-18 Memory controller and method for optimized read/modify/write performance
US12/136,750 Expired - Fee Related US7908443B2 (en) 2004-10-21 2008-06-10 Memory controller and method for optimized read/modify/write performance
US12/136,752 Abandoned US20090024808A1 (en) 2004-10-21 2008-06-10 Memory controller and method for optimized read/modify/write performance

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US10/970,400 Expired - Fee Related US7328317B2 (en) 2004-10-21 2004-10-21 Memory controller and method for optimized read/modify/write performance
US11/779,277 Expired - Fee Related US7475202B2 (en) 2004-10-21 2007-07-18 Memory controller and method for optimized read/modify/write performance
US12/136,750 Expired - Fee Related US7908443B2 (en) 2004-10-21 2008-06-10 Memory controller and method for optimized read/modify/write performance

Country Status (1)

Country Link
US (4) US7328317B2 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100082887A1 (en) * 2008-09-30 2010-04-01 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US20100082889A1 (en) * 2008-09-30 2010-04-01 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US20100095054A1 (en) * 2008-10-15 2010-04-15 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US20110320866A1 (en) * 2010-06-24 2011-12-29 International Business Machines Corporation Dynamic pipeline cache error correction
US8112595B1 (en) * 2008-05-01 2012-02-07 Marvell Semiconductor Israel Ltd. Command cancellation channel for read—modify—write operation in a memory
US20120144094A1 (en) * 2010-12-01 2012-06-07 Kabushiki Kaisha Toshiba Data storage apparatus and method for controlling flash memory
US20120278664A1 (en) * 2011-04-28 2012-11-01 Kabushiki Kaisha Toshiba Memory system
EP2866137A1 (en) * 2013-10-24 2015-04-29 Fujitsu Limited Apparatus, program, and method for controlling storage devices
WO2015183016A1 (en) * 2014-05-29 2015-12-03 삼성에스디에스 주식회사 Data processing device and method for checking data recorded on memory of data processing device
US9304709B2 (en) 2013-09-06 2016-04-05 Western Digital Technologies, Inc. High performance system providing selective merging of dataframe segments in hardware
US10191660B2 (en) * 2015-08-19 2019-01-29 Fujitsu Limited Storage control method, storage control device, and storage medium
US10282324B2 (en) 2015-07-13 2019-05-07 Samsung Electronics Co., Ltd. Smart I/O stream detection based on multiple attributes
US11461010B2 (en) 2015-07-13 2022-10-04 Samsung Electronics Co., Ltd. Data property-based data placement in a nonvolatile memory device
US11899956B2 (en) 2022-06-22 2024-02-13 Western Digital Technologies, Inc. Optimized read-modify-writes during relocation of overlapping logical blocks

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060129764A1 (en) * 2004-12-09 2006-06-15 International Business Machines Corporation Methods and apparatus for storing a command
US7418543B2 (en) * 2004-12-21 2008-08-26 Intel Corporation Processor having content addressable memory with command ordering
US7467256B2 (en) * 2004-12-28 2008-12-16 Intel Corporation Processor having content addressable memory for block-based queue structures
US8296337B2 (en) 2006-12-06 2012-10-23 Fusion-Io, Inc. Apparatus, system, and method for managing data from a requesting device with an empty data token directive
US7836226B2 (en) 2007-12-06 2010-11-16 Fusion-Io, Inc. Apparatus, system, and method for coordinating storage requests in a multi-processor/multi-thread environment
US9298393B2 (en) * 2008-06-12 2016-03-29 Seagate Technology Llc Buffer management for increased write speed in large sector data storage device
US8078848B2 (en) 2009-01-09 2011-12-13 Micron Technology, Inc. Memory controller having front end and back end channels for modifying commands
JP5347657B2 (en) * 2009-03-31 2013-11-20 富士通株式会社 Data storage program, method, and information processing apparatus
US8055816B2 (en) 2009-04-09 2011-11-08 Micron Technology, Inc. Memory controllers, memory systems, solid state drives and methods for processing a number of commands
CN101694610B (en) * 2009-10-16 2011-11-09 成都市华为赛门铁克科技有限公司 Command processing method, device and memory device
US8832415B2 (en) * 2010-01-08 2014-09-09 International Business Machines Corporation Mapping virtual addresses to different physical addresses for value disambiguation for thread memory access requests
US9507647B2 (en) * 2010-01-08 2016-11-29 Globalfoundries Inc. Cache as point of coherence in multiprocessor system
US9652301B2 (en) * 2010-09-15 2017-05-16 Wisconsin Alumni Research Foundation System and method providing run-time parallelization of computer software using data associated tokens
US9251086B2 (en) 2012-01-24 2016-02-02 SanDisk Technologies, Inc. Apparatus, system, and method for managing a cache
CN110265071B (en) 2013-09-24 2023-05-12 拉姆伯斯公司 Memory component with internal read-modify-write operation
US9454310B2 (en) 2014-02-14 2016-09-27 Micron Technology, Inc. Command queuing
US10310923B1 (en) 2014-08-28 2019-06-04 Seagate Technology Llc Probabilistic aging command sorting
US9996329B2 (en) * 2016-02-16 2018-06-12 Microsoft Technology Licensing, Llc Translating atomic read-modify-write accesses
US10831403B2 (en) 2017-05-19 2020-11-10 Seagate Technology Llc Probabalistic command aging and selection
US10566062B2 (en) 2017-12-14 2020-02-18 Macronix International Co., Ltd. Memory device and method for operating the same
US10572260B2 (en) * 2017-12-29 2020-02-25 Intel Corporation Spatial and temporal merging of remote atomic operations
WO2019233590A1 (en) * 2018-06-08 2019-12-12 Huawei Technologies Co., Ltd. Concurrent datastructure and device to access it
US11614889B2 (en) * 2018-11-29 2023-03-28 Advanced Micro Devices, Inc. Aggregating commands in a stream based on cache line addresses
KR20200126155A (en) 2019-04-29 2020-11-06 에스케이하이닉스 주식회사 Semiconductor memory device performing command merging and operating method thereof
CN114281723A (en) 2020-09-28 2022-04-05 马来西亚瑞天芯私人有限公司 Memory controller system and memory scheduling method of storage device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5987628A (en) * 1997-11-26 1999-11-16 Intel Corporation Method and apparatus for automatically correcting errors detected in a memory subsystem
US6490462B2 (en) * 1996-06-27 2002-12-03 Interdigital Technology Corporation Method of controlling initial power ramp-up in a CDMA system by using short codes
US6490642B1 (en) * 1999-08-12 2002-12-03 Mips Technologies, Inc. Locked read/write on separate address/data bus using write barrier
US6718444B1 (en) * 2001-12-20 2004-04-06 Advanced Micro Devices, Inc. Read-modify-write for partial writes in a memory controller
US6973551B1 (en) * 2002-12-30 2005-12-06 Emc Corporation Data storage system having atomic memory operation

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6490462B2 (en) * 1996-06-27 2002-12-03 Interdigital Technology Corporation Method of controlling initial power ramp-up in a CDMA system by using short codes
US5987628A (en) * 1997-11-26 1999-11-16 Intel Corporation Method and apparatus for automatically correcting errors detected in a memory subsystem
US6490642B1 (en) * 1999-08-12 2002-12-03 Mips Technologies, Inc. Locked read/write on separate address/data bus using write barrier
US6718444B1 (en) * 2001-12-20 2004-04-06 Advanced Micro Devices, Inc. Read-modify-write for partial writes in a memory controller
US6973551B1 (en) * 2002-12-30 2005-12-06 Emc Corporation Data storage system having atomic memory operation

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8250320B1 (en) * 2008-05-01 2012-08-21 Marvell Israel (M.I.S.L.) Ltd. Command cancellation channel for read—modify—write operation in a memory
US8112595B1 (en) * 2008-05-01 2012-02-07 Marvell Semiconductor Israel Ltd. Command cancellation channel for read—modify—write operation in a memory
US8464008B1 (en) 2008-05-01 2013-06-11 Marvell Israel (M.I.S.L) Ltd. Command cancellation channel for read-modify-write operation in a memory
US20100082888A1 (en) * 2008-09-30 2010-04-01 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US20100082889A1 (en) * 2008-09-30 2010-04-01 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US20100082887A1 (en) * 2008-09-30 2010-04-01 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US8200890B2 (en) * 2008-09-30 2012-06-12 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US8214579B2 (en) 2008-09-30 2012-07-03 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US8239615B2 (en) 2008-09-30 2012-08-07 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US20100095054A1 (en) * 2008-10-15 2010-04-15 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US8219742B2 (en) * 2008-10-15 2012-07-10 Tdk Corporation Memory controller, flash memory system with memory controller, and method of controlling flash memory
US8645796B2 (en) * 2010-06-24 2014-02-04 International Business Machines Corporation Dynamic pipeline cache error correction
US20110320866A1 (en) * 2010-06-24 2011-12-29 International Business Machines Corporation Dynamic pipeline cache error correction
US20120144094A1 (en) * 2010-12-01 2012-06-07 Kabushiki Kaisha Toshiba Data storage apparatus and method for controlling flash memory
US8914592B2 (en) * 2010-12-01 2014-12-16 Kabushiki Kaisha Toshiba Data storage apparatus with nonvolatile memories and method for controlling nonvolatile memories
US20120278664A1 (en) * 2011-04-28 2012-11-01 Kabushiki Kaisha Toshiba Memory system
US8977833B2 (en) * 2011-04-28 2015-03-10 Kabushiki Kaisha Toshiba Memory system
US9304709B2 (en) 2013-09-06 2016-04-05 Western Digital Technologies, Inc. High performance system providing selective merging of dataframe segments in hardware
US9760304B2 (en) 2013-09-06 2017-09-12 Western Digital Technologies, Inc. High performance system for selective merging of dataframe segments
EP2866137A1 (en) * 2013-10-24 2015-04-29 Fujitsu Limited Apparatus, program, and method for controlling storage devices
US9529707B2 (en) 2013-10-24 2016-12-27 Fujitsu Limited Apparatus and method for reducing read-modify-write cycles by combining unaligned write commands
WO2015183016A1 (en) * 2014-05-29 2015-12-03 삼성에스디에스 주식회사 Data processing device and method for checking data recorded on memory of data processing device
KR20150137369A (en) * 2014-05-29 2015-12-09 삼성에스디에스 주식회사 Data processing apparatus and data check method stored in a memory of the data processing apparatus
KR101968501B1 (en) 2014-05-29 2019-04-15 삼성에스디에스 주식회사 Data processing apparatus and data check method stored in a memory of the data processing apparatus
US10282324B2 (en) 2015-07-13 2019-05-07 Samsung Electronics Co., Ltd. Smart I/O stream detection based on multiple attributes
US10824576B2 (en) 2015-07-13 2020-11-03 Samsung Electronics Co., Ltd. Smart I/O stream detection based on multiple attributes
US11461010B2 (en) 2015-07-13 2022-10-04 Samsung Electronics Co., Ltd. Data property-based data placement in a nonvolatile memory device
US10191660B2 (en) * 2015-08-19 2019-01-29 Fujitsu Limited Storage control method, storage control device, and storage medium
US11899956B2 (en) 2022-06-22 2024-02-13 Western Digital Technologies, Inc. Optimized read-modify-writes during relocation of overlapping logical blocks

Also Published As

Publication number Publication date
US7475202B2 (en) 2009-01-06
US20060090044A1 (en) 2006-04-27
US20090024807A1 (en) 2009-01-22
US7328317B2 (en) 2008-02-05
US7908443B2 (en) 2011-03-15
US20080016294A1 (en) 2008-01-17

Similar Documents

Publication Publication Date Title
US7908443B2 (en) Memory controller and method for optimized read/modify/write performance
US20070288698A1 (en) Memory controller and method for scrubbing memory without using explicit atomic operations
US8954681B1 (en) Multi-stage command processing pipeline and method for shared cache access
CN1961300A (en) Apparatus and method for high performance volatile disk drive memory access using an integrated DMA engine
US7426728B2 (en) Reducing latency, when accessing task priority levels
US6880057B1 (en) Split write data processing mechanism for memory controllers utilizing inactive periods during write data processing for other transactions
US11321097B2 (en) Super-thread processor
EP0730228B1 (en) ECC protected memory organization with pipelined read-modify-write accesses
US8601488B2 (en) Controlling the task switch timing of a multitask system
US7194573B1 (en) CAM-based search engine devices having advanced search and learn instruction handling
JPH09282221A (en) Main memory controller
US6279082B1 (en) System and method for efficient use of cache to improve access to memory of page type
US11681527B2 (en) Electronic device and multiplexing method of spatial
US20050251795A1 (en) Method, system, and program for optimizing code
US20220229662A1 (en) Super-thread processor
US10599364B2 (en) Command processing method and storage controller
JP2615677B2 (en) Shared extended storage control method
JPH1083357A (en) Data storage control method and its device
JPS5842546B2 (en) Store control method
JP2005182783A (en) Method for reducing processing time of data processing device
JPH05158754A (en) System for recording cpu execution command history
JPH09179736A (en) Pipeline processor
JPH04323760A (en) Parallel priority control system
JP2000155690A (en) Operation processor
JPH01315831A (en) Information processor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION