US20090056875A1 - Enhanced stripping of low-K films using downstream gas mixing - Google Patents

Enhanced stripping of low-K films using downstream gas mixing Download PDF

Info

Publication number
US20090056875A1
US20090056875A1 US11/712,253 US71225307A US2009056875A1 US 20090056875 A1 US20090056875 A1 US 20090056875A1 US 71225307 A US71225307 A US 71225307A US 2009056875 A1 US2009056875 A1 US 2009056875A1
Authority
US
United States
Prior art keywords
plasma
inert gas
plasma source
hydrogen
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/712,253
Inventor
Haruhiro Harry Goto
David Cheung
Prabhat Kumar Sinha
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Priority to US11/712,253 priority Critical patent/US20090056875A1/en
Priority to US12/251,305 priority patent/US8193096B2/en
Publication of US20090056875A1 publication Critical patent/US20090056875A1/en
Priority to US13/462,660 priority patent/US8641862B2/en
Priority to US14/171,564 priority patent/US9941108B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B3/00Hydrogen; Gaseous mixtures containing hydrogen; Separation of hydrogen from mixtures containing it; Purification of hydrogen
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/19Fluorine; Hydrogen fluoride
    • C01B7/20Fluorine
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Definitions

  • the present invention pertains to methods and systems for stripping photo-resist material and removing etch-related residues from the surface of a partially fabricated integrated circuit in preparation for further processing. More specifically, the invention pertains to methods and systems for implementing a plasma operation that includes introducing an inert gas downstream of the plasma source. The invention is effective at efficiently stripping photo-resist and removing residues from low-k dielectric layers after etching processes used to produce Damascene devices.
  • Damascene processing techniques are often preferred methods in modern integrated circuit manufacturing schemes because they require fewer processing steps and offers a higher yield than other methods.
  • Damascene processing involves forming metal conductors on integrated circuits by forming inlaid metal lines in trenches and vias in a dielectric layer (inter-metal dielectric).
  • a layer of photoresist is deposited on a dielectric layer.
  • the photoresist is a light-sensitive organic polymer which can be “spun on” in liquid form and dries to a solid thin film.
  • the photosensitive photoresist is then patterned using light through the mask and wet solvent.
  • a plasma etching process (dry etch) is then used to etch exposed portions of dielectric and transfer the pattern into the dielectric, forming vias and trenches in the dielectric layer.
  • the photoresist must be stripped and any etch-related residues must be thoroughly removed before subsequent processing to avoid embedding impurities in the device.
  • Conventional processes for stripping photoresist employ a plasma formed from a mixture of gases with the presence of oxygen in the plasma. The highly reactive oxygen based plasma reacts with and oxidizes the organic photoresist to form volatile components that are carried away from the wafer surface.
  • Low-k materials have been used as inter-metal and/or inter-layer dielectrics between conductive interconnects employed to reduce the delay in signal propagation due to capacitive effects.
  • the lower the dielectric constant of the dielectric material the lower the capacitance of the dielectric and the lower the RC delay of the integrated circuit.
  • low-k dielectrics are silicon-oxide based materials with some amount of incorporated carbon, commonly referred to as carbon doped oxide (CDO). It is believed, although not necessarily proven, that the oxygen scavenges or removes carbon from the low-k materials.
  • Hydrogen plasmas or hydrogen-based plasmas with a weak oxidizing agent are effective at stripping photo-resist and removing residues from low-k dielectric layers without the problems associated with conventional strip plasmas.
  • these methods require a high hydrogen flow to achieve an acceptable strip rate. Because high hydrogen flow requires costly abatement and pump systems, it is desirable to have hydrogen flow as low as possible while maintaining an acceptable strip rate. In addition, it is desirable to reduce hydrogen flow due to hydrogen's flammability and the dangers associated with handling and abating it.
  • the present invention addresses the aforementioned need by providing improved methods and an apparatus for stripping photoresist and removing etch-related residues from dielectric materials.
  • An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas into the reaction chamber. The inert gas mixes with the plasma, reducing the required hydrogen flow rate and improving strip rate and strip rate uniformity.
  • methods involve removing material from a work piece in a process chamber according to the following operations: (a) introducing a gas comprising hydrogen into a plasma source, (b) generating a plasma from the gas introduced into the plasma source, (c) introducing an inert gas downstream of the plasma source and upstream of the work piece; and (d) removing the material from the work piece.
  • Another aspect of this invention relates to an apparatus for removing material from a work piece surface comprising: (a) a plasma source, (b) a gas inlet for introducing a hydrogen-based gas into the plasma source, (c) a gas inlet for introducing an inert gas downstream of the plasma source and upstream of the work piece; and (e) a process chamber.
  • the methods and apparatus of the invention may be used to remove photoresist/etch byproduct materials from dielectric materials on a partially fabricated integrated circuit.
  • the work piece comprises a single or dual Damascene device.
  • the inert gas comprises argon or helium. In a particularly preferred embodiment, the inert gas comprises argon. In preferred embodiments, the inert gas flow rate is between 0.15 and 10.0 times the hydrogen flow rate. In particularly preferred embodiments, the inert gas flow rate is between 0.75 and 6.0 times the hydrogen flow rate.
  • the inert gas is introduced downstream of the plasma source and upstream of work piece via gas inlets.
  • the inert gas is introduced upstream of a showerhead that directs the plasma/inert gas mixture into the process chamber.
  • the gas inlets comprise jets which may be positioned to optimize mixing of the inert gas with the plasma.
  • the jets are positioned such that the inert gas enters at a zero degree angle from the bottom of the plasma source.
  • the gas comprising hydrogen introduced into the plasma source further comprises a weak oxidizing agent.
  • the weak oxidizing agent comprises carbon dioxide.
  • the plasma source used in accordance with the methods and apparatus of the invention may be any type of plasma source.
  • an RF plasma source is used.
  • the process chamber used in accordance with the methods and apparatus of the invention may be any suitable process chamber.
  • the process chamber may be one chamber of a multi-chambered apparatus or it may be part of a single chamber apparatus.
  • FIG. 1 is a schematic illustration showing an apparatus according to one embodiment of the claimed invention and suitable for practicing the methods of the claimed invention.
  • FIG. 2 is a graph showing the effect of downstream mixing with argon or helium flows on dry etch/photoresist strip rate of a wafer and the uniformity of the strip rate over the wafer.
  • FIG. 3 is a graph showing the effect of downstream mixing with argon on change in k value of a low-k dielectric.
  • FIGS. 4 a - 4 c are plots representing strip rate topography across the surfaces of 3 wafers treated at different conditions with 3-jet downstream argon gas inlet.
  • FIG. 5 is a chart showing argon gas inlet jet angle on strip rate and strip rate uniformity of a wafer in a process in accordance with this invention.
  • FIGS. 6 a and 6 b are charts showing the effect of inert gas inlet jet angle on strip rate and strip rate uniformity of a wafer in a process in accordance with this invention.
  • FIG. 7 is a graph showing the effect of hydrogen flow rate on dry etch/photoresist strip rate of a wafer and strip rate uniformity over the wafer.
  • semiconductor wafer semiconductor wafer
  • wafer wafer
  • partially fabricated integrated circuit can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon.
  • the following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • the methods and apparatus of the invention may be used to efficiently and effectively to remove materials from a low-k dielectric materials.
  • the invention is not limited to dielectric materials or low-k dielectric materials.
  • the invention is also not limited to any particular category of low-k dielectrics. For instance, described methods and apparatus may be effectively used with dielectrics with k values less than 4.0 (“first generation” low-k dielectrics), dielectrics with k values less than about 2.8 (“second generation” low-k dielectrics) and dielectrics with k values less than about 2.0 (“ultra-low-k” dielectrics).
  • the low-k dielectric may be porous or non-porous (sometimes referred to as a “dense” low-k dielectric).
  • low-k dense dielectrics are those having k values no greater than 2.8 and low-k porous dielectrics are those having k values no greater than 2.2.
  • Low-k dielectrics of any suitable composition may be used, including silicon oxide based dielectrics doped with fluorine and/or carbon. Non-silicon oxide based dielectrics, such as polymeric materials, may also be used. Any suitable process may be used to deposit the low-k dielectric, including as spin-on deposit and CVD deposit techniques. In the case of forming porous dielectrics, any suitable method may be used. A typical method involves co-depositing a silicon-based backbone and an organic porogen and subsequently removing the porogen component, leaving a porous dielectric film. Other methods include sol-gel techniques. Specific examples of suitable low-k films are carbon based spin-on type films such as SILKTM and CVD deposited porous films such as CoralTM.
  • the methods and apparatus of the invention use plasmas that are produced from gases that contain hydrogen.
  • the gases may also contain a weak oxidizing agent.
  • the actual species present in the plasma may be a mixture of different ions and molecules derived from the hydrogen and/or weak oxidizing agent. It is noted that other species may be present in the reaction chamber, such as small hydrocarbons, carbon dioxide, water vapor and other volatile components as the plasma reacts with and breaks down the organic photoresist and other residues.
  • reference to the initial gas/gases introduced into the plasma is/are different from other gas/gases that may exist after the plasma is formed.
  • FIG. 1 is a schematic illustration of an apparatus 100 according to one embodiment of the claimed invention.
  • the apparatus depicted in FIG. 1 is also suitable to practice methods of claimed invention.
  • Apparatus 100 has a plasma source 101 and a process chamber 103 separated by a showerhead assembly 105 .
  • Plasma source 101 is connected to gas inlet 111 .
  • showerhead 109 forms the bottom of showerhead assembly 105 .
  • Inert gas inlets 113 are downstream of plasma source 101 and upstream of wafer 115 and showerhead 109 .
  • a wafer 115 with photoresist/dry etch byproduct material rests on a platen (or stage) 117 .
  • Platen 117 may be fitted with a heating/cooling element.
  • platen 117 is also configured for applying a bias to wafer 115 .
  • Low pressure is attained in reaction chamber 103 via vacuum pump and conduit 119 .
  • a gas is introduced via gas inlet 111 to the plasma source 101 .
  • the gas introduced to the plasma source contains the chemically active species that will be ionized in the plasma source to form a plasma.
  • Gas inlet 111 may be any type of gas inlet and may include multiple ports or jets.
  • Plasma source 101 is where the active species of the gas introduced to the source is generated to form a plasma.
  • an RF plasma source is shown with induction coils 115 . Induction coils 115 are energized and the plasma is generated.
  • An inert gas is introduced via gas inlets 113 upstream of the showerhead and downstream of the plasma source. The inert gas mixes with the plasma.
  • Gas inlets 113 may be any type of gas inlets and may include multiple ports or jets to optimize mixing the inert gas with the plasma.
  • showerhead 109 directs the plasma/inert gas mixture into process chamber 103 through showerhead holes 121 . There may be any number and arrangement of showerhead holes 121 to maximize uniformity of the plasma/gas mixture in process chamber 103 .
  • showerhead assembly 105 which has an applied voltage, terminates the flow of some ions and allows the flow of neutral species into process chamber 103 .
  • wafer 115 may be temperature controlled and/or a RF bias may be applied.
  • the plasma/inert gas mixture removes the photoresist/etch byproduct material from the wafer.
  • the apparatus does not include showerhead assembly 105 and showerhead 109 .
  • the inert gas inlets 113 introduce the inert gas directly into the process chamber where it mixes with the plasma upstream of wafer 115 .
  • FIG. 2 is graph showing the effects of downstream mixing with argon and helium on the etch/photoresist strip rate of a wafer and the uniformity of the strip rate over the wafer for various hydrogen flows.
  • Hydrogen flow rate is shown on the x-axis.
  • Net strip rate is shown on the left y-axis in ⁇ /min. Net strip rate does not include any shrinkage due to evaporation of the solvent in the photoresist.
  • Strip rate uniformity over the wafer is shown on the right y-axis.
  • FIG. 2 shows strip rate is highest when the hydrogen based plasma is mixed downstream with argon. In particular, mixing 3.5 slm hydrogen/30 sccm carbon dioxide with 3 slm argon resulted in a higher strip rate than achieved with 6.5 slm hydrogen/30 sccm carbon dioxide and no mixing. Thus, downstream mixing results in a strip rate superior to that obtained with a conventional process and a 44% reduction in hydrogen flow.
  • Strip rates when the hydrogen-based plasma is mixed downstream with helium are also greater than when there is no downstream mixing. Further, strip rate uniformity is shown to be improved for most cases with downstream mixing. Thus, FIG. 2 demonstrates that strip rate and strip rate uniformity are maintained for lower hydrogen flows using methods and apparatus in accordance with the present invention.
  • FIG. 3 is chart showing the effect of various flow rates of argon introduced downstream of a plasma source on change in dielectric constant of a low-k dielectric.
  • a 300 mm wafer with 200 mm Novellus Coral low-k film was stripped at 1 Torr.
  • Flow rate of hydrogen plus inert gas was kept constant at 6.5 slm.
  • 30 sccm of carbon dioxide was introduced with hydrogen to the plasma source.
  • a 1300 W RF plasma source was used.
  • the reference value shown is the ⁇ k for a wafer not exposed to plasma and reflects the ⁇ k due to exposure to ambient conditions.
  • FIG. 3 shows the reference value in FIG. 3 shows the ⁇ k resulting when the wafer is exposed to air only.
  • FIG. 3 shows that ⁇ k values for downstream mixing with argon are all less than or about the reference value. All are well below maximum acceptable ⁇ k.
  • FIG. 3 shows that the methods and apparatus of the invention are effective to strip low-k dielectric materials.
  • a hydrogen-based gas is introduced to the plasma source.
  • the gas introduced to the plasma source contains the chemically active species that will be ionized in the plasma source to form a plasma.
  • the gas introduced to the plasma source further comprises a weak oxidizing agent such as carbon dioxide, carbon monoxide, nitrogen dioxide, nitrogen oxide and water.
  • the weak oxidizing agent is carbon dioxide.
  • the gas introduced to the plasma source comprises between about 0.1% to about 1.0% carbon dioxide by volume.
  • the gas introduced to the plasma source may further comprise other gases as needed, for example, to remove any plasma residue from the wafer.
  • a small amount of nitrogen triflouride is introduced at the last station (in a multi-station process) to remove residue from the wafer.
  • any known plasma source may be used in accordance with the invention, including a RF, DC, microwave any other known plasma source.
  • a downstream RF plasma source is used.
  • the RF plasma power for a 300 mm wafer ranges between about 300 Watts to about 3 Kilowatts. In a preferred embodiment, the RF plasma power is between about 1000 Watts and 1500 Watts.
  • any inert gas may be introduced downstream of the plasma source and upstream of the showerhead for mixing with the plasma.
  • the inert gas is argon or helium.
  • the inert gas is argon.
  • any inert gas, such as nitrogen, may be used.
  • the inert gas flow rate is between about 0.15 and 10.0 times the hydrogen flow rate. In particularly preferred embodiments, the inert gas flow rate is between about 0.75 and 6.0 times the hydrogen flow rate.
  • the inert gas inlet may be any type of gas inlets and may include multiple ports or jets to maximize mixing with the plasma.
  • the angle of the inlet jets may also optimized to maximize mixing.
  • the angle of the inlet jets, as measured from the bottom of the plasma source is zero degrees so that the inert gas is injected perpendicular to the direction of flow of the plasma entering the showerhead assembly (or the process chamber if there is no showerhead assembly) from the plasma source.
  • An angle of zero degrees also corresponds a direction parallel to the face of the work piece.
  • FIGS. 4 a - 4 c are plots representing strip rate topography across the surfaces of 3 wafers treated at different conditions with 3-jet downstream argon gas inlet.
  • FIG. 4 a shows the topography of a wafer exposed to a plasma with no downstream mixing.
  • FIG. 4 b shows the topography of a wafer exposed to a plasma mixed with 1 slm argon downstream, and
  • FIG. 4 c shows topography of a wafer exposed to a plasma mixed with 3 slm argon downstream.
  • Total hydrogen plus argon flow rate was 6.5 slm for all figures. 30 sccm carbon dioxide was also used.
  • Temperature and pressure were kept at 280° C. and 1 Torr and exposure time at 60 seconds.
  • FIGS. 4 a and 4 b Areas of higher strip rate 401 can be seen in FIGS. 4 a and 4 b . This indicates that more than three inert gas inlet jets should be used to achieve better mixing and strip rate uniformity.
  • FIG. 5 is a chart showing argon gas inlet jet angle on strip rate and strip rate uniformity of a wafer in a process in accordance with this invention.
  • 1.2 ⁇ m of a photoresist was deposited on the dielectric.
  • One station was used.
  • 60 seconds of stabilization time to pre-heat the wafer before exposing it to plasma was used followed by 60 seconds of exposure to the plasma.
  • Hydrogen/carbon dioxide flow rates were 3 slm/30 sccm.
  • Downstream argon flow rate was 5 slm.
  • Net strip rate is shown on the left y-axis in ⁇ /min. Strip rate uniformity over the wafer, calculated as 1 standard deviation/average strip rate, is shown on the right y-axis. Strip rate was maximized when the argon inlet jets were at zero degrees. No difference in strip rate uniformity was detected.
  • FIGS. 6 a and 6 b also show that a jet angle of zero degrees maximizes strip rates.
  • FIGS. 6 a and 6 b show the results of models that predict helium mass fraction as a function of wafer radius for helium injected at ⁇ 45°, 0° and 45°. Helium mass fraction is proportional to strip rate. Results shown FIG. 6 a were found for flow 5.5 slm hydrogen, 1 slm helium and in FIG. 6 b for 1 slm hydrogen, 5.5 slm helium. The charts show that for both cases, strip rate is maximizes for an inlet jet angle of zero degrees.
  • Preferred embodiments of the present invention include a showerhead assembly.
  • the showerhead assembly may have an applied voltage, terminates the flow of some ions and allows the flow of neutral species into the reaction chamber.
  • the assembly includes the showerhead itself which may be a plate having holes to direct the plasma and inert gas mixture into the reaction chamber.
  • the showerhead redistributes the active hydrogen from the plasma source over a larger area, allowing a smaller plasma source to be used.
  • the number and arrangement of the showerhead holes may be set to optimize strip rate and strip rate uniformity. Fewer holes improve uniformity, but increase recombination of the plasma ions and electrons which results in a lower strip rate.
  • the showerhead holes are preferably smaller and fewer in the center of the showerhead in order to push the active gases toward the outer regions.
  • the showerhead preferably has at least 100 holes.
  • the plasma enters the process chamber directly.
  • the process chamber may be any suitable reaction chamber. It may be one chamber of a multi-chambered apparatus or it may simply be a single chamber apparatus. The chamber may also include multiple stations where different wafers are processed simultaneously. The process chamber may be the same chamber where the etch takes place or a different chamber than where the etch takes place.
  • Process chamber pressure may range from 300 mTorr to 2 Torr. Preferably the pressure ranges from 0.9 Torr to 1.1 Torr.
  • the work piece used in accordance with the methods and apparatus of the invention is a semiconductor wafer. Any size wafer may be used. Most modern wafer fabrication facilities use either 200 mm or 300 mm wafers. Process conditions may vary depending upon the wafer size.
  • the work piece comprises a single or dual Damascene device.
  • Preferred wafer temperatures can range between about 220 degrees and about 400 degrees Celsius.
  • the surface of the work piece comprises low-k dielectric materials, including carbon-doped low-k dielectric materials such as carbon-doped oxides (CDOs).
  • CDOs carbon-doped oxides
  • Non-porous and porous dielectric materials, including CDOs and other compositions may be used.
  • 300 mm sized wafers were processed (i.e., photoresist stripped) on a strip station. Each wafer was covered with 1.2 ⁇ m of photoresist.
  • RF power was set at 1300 W and pressure at 1 Torr.
  • 30 sccm of carbon dioxide was introduced into the plasma source with the hydrogen.
  • Flow rate of hydrogen plus inert gas was kept at 6.5 slm. The results are shown in FIG. 2 as described above.
  • Table 1 shows strip rates and strip rate uniformity obtained for various argon flow rates, pressures, and RF powers. All data was collected using in a five-station chamber with hydrogen flow of 3 slm per station (15 slm total) and carbon dioxide flow of 30 sccm per station (150 sccm total).

Abstract

The present invention pertains to methods for removing unwanted material from a work piece. More specifically, the invention pertains to stripping photo-resist material and removing etch-related residues from a semiconductor wafer during semiconductor manufacturing. Methods involve implementing a hydrogen plasma operation with downstream mixing with an inert gas. The invention is effective at stripping photo-resist and removing residues from low-k dielectric material used in Damascene devices.

Description

    BACKGROUND
  • The present invention pertains to methods and systems for stripping photo-resist material and removing etch-related residues from the surface of a partially fabricated integrated circuit in preparation for further processing. More specifically, the invention pertains to methods and systems for implementing a plasma operation that includes introducing an inert gas downstream of the plasma source. The invention is effective at efficiently stripping photo-resist and removing residues from low-k dielectric layers after etching processes used to produce Damascene devices.
  • Damascene processing techniques are often preferred methods in modern integrated circuit manufacturing schemes because they require fewer processing steps and offers a higher yield than other methods. Damascene processing involves forming metal conductors on integrated circuits by forming inlaid metal lines in trenches and vias in a dielectric layer (inter-metal dielectric). As part of the Damascene process, a layer of photoresist is deposited on a dielectric layer. The photoresist is a light-sensitive organic polymer which can be “spun on” in liquid form and dries to a solid thin film. The photosensitive photoresist is then patterned using light through the mask and wet solvent. A plasma etching process (dry etch) is then used to etch exposed portions of dielectric and transfer the pattern into the dielectric, forming vias and trenches in the dielectric layer.
  • Once the dielectric layer is etched, the photoresist must be stripped and any etch-related residues must be thoroughly removed before subsequent processing to avoid embedding impurities in the device. Conventional processes for stripping photoresist employ a plasma formed from a mixture of gases with the presence of oxygen in the plasma. The highly reactive oxygen based plasma reacts with and oxidizes the organic photoresist to form volatile components that are carried away from the wafer surface.
  • Highly oxidizing conditions are also generally unsuitable for use on low dielectric constant (low-k) materials. Low-k materials have been used as inter-metal and/or inter-layer dielectrics between conductive interconnects employed to reduce the delay in signal propagation due to capacitive effects. The lower the dielectric constant of the dielectric material, the lower the capacitance of the dielectric and the lower the RC delay of the integrated circuit. Typically, low-k dielectrics are silicon-oxide based materials with some amount of incorporated carbon, commonly referred to as carbon doped oxide (CDO). It is believed, although not necessarily proven, that the oxygen scavenges or removes carbon from the low-k materials. In many of these materials such as CDOs, the presence of carbon is instrumental in providing a low dielectric constant. Hence, to the extent that the oxygen removes carbon from these materials, it effectively increases the dielectric constant. As processes used to fabricate integrated circuits move toward smaller and smaller dimensions and requires the use of dielectric materials having lower and lower dielectric constants, it has been found that the conventional strip plasma conditions are not suitable.
  • Hydrogen plasmas or hydrogen-based plasmas with a weak oxidizing agent are effective at stripping photo-resist and removing residues from low-k dielectric layers without the problems associated with conventional strip plasmas. However, these methods require a high hydrogen flow to achieve an acceptable strip rate. Because high hydrogen flow requires costly abatement and pump systems, it is desirable to have hydrogen flow as low as possible while maintaining an acceptable strip rate. In addition, it is desirable to reduce hydrogen flow due to hydrogen's flammability and the dangers associated with handling and abating it.
  • Others have reported using hydrogen-based plasmas with inert gases such as hydrogen and helium introduced with hydrogen at the plasma source. Han et al (U.S. Pat. Nos. 6,281,135 and 6,638,875) describe using a mixture of hydrogen, helium and fluorine and Zhao et al (U.S. Pat. Nos. 5,660,682 and 6,204,192) describe using a mixture of hydrogen and argon. However, helium or argon ions in the plasma have harmful effects. Mixtures of hydrogen and helium result in high plasma damage on low-k materials due to the long life of ionized helium plasma. Ionized argon causes unwanted sputtering of the quartz material in the plasma tube (the portion of some reactors where the plasma is formed). Introduction of argon to hydrogen plasmas has also been shown to reduce strip rate.
  • What is needed therefore are improved and methods and apparatus for stripping photoresist and etch-related materials from dielectric materials, especially from low-k dielectric materials, which reduce the required hydrogen flow rate while maintaining an acceptable strip rate.
  • SUMMARY OF THE INVENTION
  • The present invention addresses the aforementioned need by providing improved methods and an apparatus for stripping photoresist and removing etch-related residues from dielectric materials. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas into the reaction chamber. The inert gas mixes with the plasma, reducing the required hydrogen flow rate and improving strip rate and strip rate uniformity.
  • In one aspect of the invention, methods involve removing material from a work piece in a process chamber according to the following operations: (a) introducing a gas comprising hydrogen into a plasma source, (b) generating a plasma from the gas introduced into the plasma source, (c) introducing an inert gas downstream of the plasma source and upstream of the work piece; and (d) removing the material from the work piece. Another aspect of this invention relates to an apparatus for removing material from a work piece surface comprising: (a) a plasma source, (b) a gas inlet for introducing a hydrogen-based gas into the plasma source, (c) a gas inlet for introducing an inert gas downstream of the plasma source and upstream of the work piece; and (e) a process chamber.
  • The methods and apparatus of the invention may be used to remove photoresist/etch byproduct materials from dielectric materials on a partially fabricated integrated circuit. In a preferred embodiment, the work piece comprises a single or dual Damascene device.
  • In preferred embodiments of the invention, the inert gas comprises argon or helium. In a particularly preferred embodiment, the inert gas comprises argon. In preferred embodiments, the inert gas flow rate is between 0.15 and 10.0 times the hydrogen flow rate. In particularly preferred embodiments, the inert gas flow rate is between 0.75 and 6.0 times the hydrogen flow rate.
  • The inert gas is introduced downstream of the plasma source and upstream of work piece via gas inlets. In a preferred embodiment, the inert gas is introduced upstream of a showerhead that directs the plasma/inert gas mixture into the process chamber.
  • In preferred embodiments, the gas inlets comprise jets which may be positioned to optimize mixing of the inert gas with the plasma. In preferred embodiments, the jets are positioned such that the inert gas enters at a zero degree angle from the bottom of the plasma source.
  • In preferred embodiments of the invention, the gas comprising hydrogen introduced into the plasma source further comprises a weak oxidizing agent. In a particularly preferred embodiment, the weak oxidizing agent comprises carbon dioxide.
  • The plasma source used in accordance with the methods and apparatus of the invention may be any type of plasma source. In a preferred embodiment an RF plasma source is used.
  • The process chamber used in accordance with the methods and apparatus of the invention may be any suitable process chamber. The process chamber may be one chamber of a multi-chambered apparatus or it may be part of a single chamber apparatus.
  • These and other features and advantages of the present invention will be described in more detail below with reference to the associated drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic illustration showing an apparatus according to one embodiment of the claimed invention and suitable for practicing the methods of the claimed invention.
  • FIG. 2 is a graph showing the effect of downstream mixing with argon or helium flows on dry etch/photoresist strip rate of a wafer and the uniformity of the strip rate over the wafer.
  • FIG. 3 is a graph showing the effect of downstream mixing with argon on change in k value of a low-k dielectric.
  • FIGS. 4 a-4 c are plots representing strip rate topography across the surfaces of 3 wafers treated at different conditions with 3-jet downstream argon gas inlet.
  • FIG. 5 is a chart showing argon gas inlet jet angle on strip rate and strip rate uniformity of a wafer in a process in accordance with this invention.
  • FIGS. 6 a and 6 b are charts showing the effect of inert gas inlet jet angle on strip rate and strip rate uniformity of a wafer in a process in accordance with this invention.
  • FIG. 7 is a graph showing the effect of hydrogen flow rate on dry etch/photoresist strip rate of a wafer and strip rate uniformity over the wafer.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Introduction
  • In the following detailed description of the present invention, numerous specific embodiments are set forth in order to provide a thorough understanding of the invention. However, as will be apparent to those skilled in the art, the present invention may be practiced without these specific details or by using alternate elements or processes. In other instances well-known processes, procedures and components have not been described in detail so as not to unnecessarily obscure aspects of the present invention.
  • In this application, the terms “semiconductor wafer”, “wafer” and “partially fabricated integrated circuit” will be used interchangeably. One skilled in the art would understand that the term “partially fabricated integrated circuit” can refer to a silicon wafer during any of many stages of integrated circuit fabrication thereon. The following detailed description assumes the invention is implemented on a wafer. However, the invention is not so limited. The work piece may be of various shapes, sizes, and materials. In addition to semiconductor wafers, other work pieces that may take advantage of this invention include various articles such as printed circuit boards and the like.
  • As mentioned previously, the methods and apparatus of the invention may be used to efficiently and effectively to remove materials from a low-k dielectric materials. The invention is not limited to dielectric materials or low-k dielectric materials. The invention is also not limited to any particular category of low-k dielectrics. For instance, described methods and apparatus may be effectively used with dielectrics with k values less than 4.0 (“first generation” low-k dielectrics), dielectrics with k values less than about 2.8 (“second generation” low-k dielectrics) and dielectrics with k values less than about 2.0 (“ultra-low-k” dielectrics). The low-k dielectric may be porous or non-porous (sometimes referred to as a “dense” low-k dielectric). Generally, low-k dense dielectrics are those having k values no greater than 2.8 and low-k porous dielectrics are those having k values no greater than 2.2. Low-k dielectrics of any suitable composition may be used, including silicon oxide based dielectrics doped with fluorine and/or carbon. Non-silicon oxide based dielectrics, such as polymeric materials, may also be used. Any suitable process may be used to deposit the low-k dielectric, including as spin-on deposit and CVD deposit techniques. In the case of forming porous dielectrics, any suitable method may be used. A typical method involves co-depositing a silicon-based backbone and an organic porogen and subsequently removing the porogen component, leaving a porous dielectric film. Other methods include sol-gel techniques. Specific examples of suitable low-k films are carbon based spin-on type films such as SILK™ and CVD deposited porous films such as Coral™.
  • The methods and apparatus of the invention use plasmas that are produced from gases that contain hydrogen. The gases may also contain a weak oxidizing agent. One skilled in the art will recognize that the actual species present in the plasma may be a mixture of different ions and molecules derived from the hydrogen and/or weak oxidizing agent. It is noted that other species may be present in the reaction chamber, such as small hydrocarbons, carbon dioxide, water vapor and other volatile components as the plasma reacts with and breaks down the organic photoresist and other residues. One of skill in the art will also recognize that reference to the initial gas/gases introduced into the plasma is/are different from other gas/gases that may exist after the plasma is formed.
  • FIG. 1 is a schematic illustration of an apparatus 100 according to one embodiment of the claimed invention. The apparatus depicted in FIG. 1 is also suitable to practice methods of claimed invention. Apparatus 100 has a plasma source 101 and a process chamber 103 separated by a showerhead assembly 105. Plasma source 101 is connected to gas inlet 111. Showerhead 109 forms the bottom of showerhead assembly 105. Inert gas inlets 113 are downstream of plasma source 101 and upstream of wafer 115 and showerhead 109. Inside process chamber 103, a wafer 115 with photoresist/dry etch byproduct material rests on a platen (or stage) 117. Platen 117 may be fitted with a heating/cooling element. In some embodiments, platen 117 is also configured for applying a bias to wafer 115. Low pressure is attained in reaction chamber 103 via vacuum pump and conduit 119.
  • In operation, a gas is introduced via gas inlet 111 to the plasma source 101. The gas introduced to the plasma source contains the chemically active species that will be ionized in the plasma source to form a plasma. Gas inlet 111 may be any type of gas inlet and may include multiple ports or jets. Plasma source 101 is where the active species of the gas introduced to the source is generated to form a plasma. In FIG. 1, an RF plasma source is shown with induction coils 115. Induction coils 115 are energized and the plasma is generated. An inert gas is introduced via gas inlets 113 upstream of the showerhead and downstream of the plasma source. The inert gas mixes with the plasma. Gas inlets 113 may be any type of gas inlets and may include multiple ports or jets to optimize mixing the inert gas with the plasma. Showerhead 109 directs the plasma/inert gas mixture into process chamber 103 through showerhead holes 121. There may be any number and arrangement of showerhead holes 121 to maximize uniformity of the plasma/gas mixture in process chamber 103. Showerhead assembly 105, which has an applied voltage, terminates the flow of some ions and allows the flow of neutral species into process chamber 103. As mentioned, wafer 115 may be temperature controlled and/or a RF bias may be applied. The plasma/inert gas mixture removes the photoresist/etch byproduct material from the wafer.
  • In some embodiments of the claimed invention, the apparatus does not include showerhead assembly 105 and showerhead 109. In these embodiments, the inert gas inlets 113 introduce the inert gas directly into the process chamber where it mixes with the plasma upstream of wafer 115.
  • FIG. 2 is graph showing the effects of downstream mixing with argon and helium on the etch/photoresist strip rate of a wafer and the uniformity of the strip rate over the wafer for various hydrogen flows.
  • Hydrogen flow rate is shown on the x-axis. Net strip rate is shown on the left y-axis in Å/min. Net strip rate does not include any shrinkage due to evaporation of the solvent in the photoresist. Strip rate uniformity over the wafer, calculated as 1 standard deviation/average strip rate, is shown on the right y-axis. FIG. 2 shows strip rate is highest when the hydrogen based plasma is mixed downstream with argon. In particular, mixing 3.5 slm hydrogen/30 sccm carbon dioxide with 3 slm argon resulted in a higher strip rate than achieved with 6.5 slm hydrogen/30 sccm carbon dioxide and no mixing. Thus, downstream mixing results in a strip rate superior to that obtained with a conventional process and a 44% reduction in hydrogen flow.
  • Strip rates when the hydrogen-based plasma is mixed downstream with helium are also greater than when there is no downstream mixing. Further, strip rate uniformity is shown to be improved for most cases with downstream mixing. Thus, FIG. 2 demonstrates that strip rate and strip rate uniformity are maintained for lower hydrogen flows using methods and apparatus in accordance with the present invention.
  • As discussed above, many conventional photoresist/etch strip processes are not effective to strip low-k dielectric materials because they effectively raise the dielectric constant. FIG. 3 is chart showing the effect of various flow rates of argon introduced downstream of a plasma source on change in dielectric constant of a low-k dielectric. A 300 mm wafer with 200 mm Novellus Coral low-k film was stripped at 1 Torr. Flow rate of hydrogen plus inert gas was kept constant at 6.5 slm. 30 sccm of carbon dioxide was introduced with hydrogen to the plasma source. A 1300 W RF plasma source was used. The reference value shown is the Δk for a wafer not exposed to plasma and reflects the Δk due to exposure to ambient conditions.
  • Typically, a Δk of less than 0.1 is acceptable. The reference value in FIG. 3 shows the Δk resulting when the wafer is exposed to air only. FIG. 3 shows that Δk values for downstream mixing with argon are all less than or about the reference value. All are well below maximum acceptable Δk. Thus, FIG. 3 shows that the methods and apparatus of the invention are effective to strip low-k dielectric materials.
  • Process Parameters Upstream Inlet Gas
  • A hydrogen-based gas is introduced to the plasma source. Typically the gas introduced to the plasma source contains the chemically active species that will be ionized in the plasma source to form a plasma. In preferred embodiments, the gas introduced to the plasma source further comprises a weak oxidizing agent such as carbon dioxide, carbon monoxide, nitrogen dioxide, nitrogen oxide and water. In particularly preferred embodiments, the weak oxidizing agent is carbon dioxide. In particularly preferred embodiments, the gas introduced to the plasma source comprises between about 0.1% to about 1.0% carbon dioxide by volume. Applicants disclose methods of stripping photoresist and etch materials from a low-k dielectric using hydrogen-based plasmas with weak oxidizing agents in previously filed U.S. patent application Ser. No. 10/890,653, which is hereby incorporated by reference. The gas introduced to the plasma source may further comprise other gases as needed, for example, to remove any plasma residue from the wafer. In a preferred embodiment, a small amount of nitrogen triflouride is introduced at the last station (in a multi-station process) to remove residue from the wafer.
  • Plasma Generation
  • Any known plasma source may be used in accordance with the invention, including a RF, DC, microwave any other known plasma source. In a preferred embodiment, a downstream RF plasma source is used. Typically, the RF plasma power for a 300 mm wafer ranges between about 300 Watts to about 3 Kilowatts. In a preferred embodiment, the RF plasma power is between about 1000 Watts and 1500 Watts.
  • Inert Gas
  • Any inert gas may be introduced downstream of the plasma source and upstream of the showerhead for mixing with the plasma. In a preferred embodiment, the inert gas is argon or helium. In a particularly preferred embodiment, the inert gas is argon. However, any inert gas, such as nitrogen, may be used. In preferred embodiments, the inert gas flow rate is between about 0.15 and 10.0 times the hydrogen flow rate. In particularly preferred embodiments, the inert gas flow rate is between about 0.75 and 6.0 times the hydrogen flow rate.
  • Inert Gas Inlet
  • The inert gas inlet may be any type of gas inlets and may include multiple ports or jets to maximize mixing with the plasma. The angle of the inlet jets may also optimized to maximize mixing. In a preferred embodiment, there are at least four inert gas inlet jets. In a particularly preferred embodiment, there are sixteen inlet jets. In a preferred embodiment the angle of the inlet jets, as measured from the bottom of the plasma source, is zero degrees so that the inert gas is injected perpendicular to the direction of flow of the plasma entering the showerhead assembly (or the process chamber if there is no showerhead assembly) from the plasma source. An angle of zero degrees also corresponds a direction parallel to the face of the work piece.
  • FIGS. 4 a-4 c are plots representing strip rate topography across the surfaces of 3 wafers treated at different conditions with 3-jet downstream argon gas inlet. FIG. 4 a shows the topography of a wafer exposed to a plasma with no downstream mixing. FIG. 4 b shows the topography of a wafer exposed to a plasma mixed with 1 slm argon downstream, and FIG. 4 c shows topography of a wafer exposed to a plasma mixed with 3 slm argon downstream. Total hydrogen plus argon flow rate was 6.5 slm for all figures. 30 sccm carbon dioxide was also used. Temperature and pressure were kept at 280° C. and 1 Torr and exposure time at 60 seconds.
  • Areas of higher strip rate 401 can be seen in FIGS. 4 a and 4 b. This indicates that more than three inert gas inlet jets should be used to achieve better mixing and strip rate uniformity.
  • FIG. 5 is a chart showing argon gas inlet jet angle on strip rate and strip rate uniformity of a wafer in a process in accordance with this invention. 1.2 μm of a photoresist was deposited on the dielectric. One station was used. 60 seconds of stabilization time to pre-heat the wafer before exposing it to plasma was used followed by 60 seconds of exposure to the plasma. Hydrogen/carbon dioxide flow rates were 3 slm/30 sccm. Downstream argon flow rate was 5 slm.
  • Net strip rate is shown on the left y-axis in Å/min. Strip rate uniformity over the wafer, calculated as 1 standard deviation/average strip rate, is shown on the right y-axis. Strip rate was maximized when the argon inlet jets were at zero degrees. No difference in strip rate uniformity was detected.
  • FIGS. 6 a and 6 b also show that a jet angle of zero degrees maximizes strip rates. FIGS. 6 a and 6 b show the results of models that predict helium mass fraction as a function of wafer radius for helium injected at −45°, 0° and 45°. Helium mass fraction is proportional to strip rate. Results shown FIG. 6 a were found for flow 5.5 slm hydrogen, 1 slm helium and in FIG. 6 b for 1 slm hydrogen, 5.5 slm helium. The charts show that for both cases, strip rate is maximizes for an inlet jet angle of zero degrees.
  • Showerhead Assembly
  • Preferred embodiments of the present invention include a showerhead assembly. The showerhead assembly may have an applied voltage, terminates the flow of some ions and allows the flow of neutral species into the reaction chamber. The assembly includes the showerhead itself which may be a plate having holes to direct the plasma and inert gas mixture into the reaction chamber. The showerhead redistributes the active hydrogen from the plasma source over a larger area, allowing a smaller plasma source to be used. The number and arrangement of the showerhead holes may be set to optimize strip rate and strip rate uniformity. Fewer holes improve uniformity, but increase recombination of the plasma ions and electrons which results in a lower strip rate. If the plasma source is centrally located over the wafer, the showerhead holes are preferably smaller and fewer in the center of the showerhead in order to push the active gases toward the outer regions. The showerhead preferably has at least 100 holes.
  • In embodiments in which there is no showerhead assembly, the plasma enters the process chamber directly.
  • Process Chamber
  • The process chamber may be any suitable reaction chamber. It may be one chamber of a multi-chambered apparatus or it may simply be a single chamber apparatus. The chamber may also include multiple stations where different wafers are processed simultaneously. The process chamber may be the same chamber where the etch takes place or a different chamber than where the etch takes place. Process chamber pressure may range from 300 mTorr to 2 Torr. Preferably the pressure ranges from 0.9 Torr to 1.1 Torr.
  • Work Piece
  • In preferred embodiments, the work piece used in accordance with the methods and apparatus of the invention is a semiconductor wafer. Any size wafer may be used. Most modern wafer fabrication facilities use either 200 mm or 300 mm wafers. Process conditions may vary depending upon the wafer size. In particularly preferred embodiments, the work piece comprises a single or dual Damascene device.
  • In some embodiments of the invention, it is desired to keep the work piece at a particular temperature during the application of plasmas to its surface. Preferred wafer temperatures can range between about 220 degrees and about 400 degrees Celsius.
  • In preferred embodiments, the surface of the work piece comprises low-k dielectric materials, including carbon-doped low-k dielectric materials such as carbon-doped oxides (CDOs). Non-porous and porous dielectric materials, including CDOs and other compositions may be used.
  • EXAMPLES
  • 300 mm sized wafers were processed (i.e., photoresist stripped) on a strip station. Each wafer was covered with 1.2 μm of photoresist. RF power was set at 1300 W and pressure at 1 Torr. 30 sccm of carbon dioxide was introduced into the plasma source with the hydrogen. Flow rate of hydrogen plus inert gas was kept at 6.5 slm. The results are shown in FIG. 2 as described above.
  • 300 mm sized wafers were processed. Each wafer was covered with 1.2 μm of photoresist. RF power was set at 1500 W and pressure at 1 Torr. Argon flow rate was kept at 6 slm. Net strip rate and strip rate uniformity was found for argon/hydrogen rations of hydrogen flow rates of 1 slim, 1.5 slm, 2.0 slm, 2.5 slm and 3.0 slm (i.e. for argon/hydrogen ratios of 6.0, 4.0, 3.0, 2.4 and 2.0). Results are shown in FIG. 7. All examples resulted in net strip rates greater than 3000 Å/min and strip rate uniformities of less than 4%.
  • Seven 300 mm wafers were processed on a five-station chamber with RF power set at 1300 W and pressure at 1.1 Torr. Total hydrogen flow rate was kept at 15 slm and total carbon dioxide flow rate was kept at 150 sccm. Total argon flow rate was kept at 30 slm. The average net strip rate of the seven wafers was 2951 Å/min. Strip rate uniformity was calculated for six of the wafers with the average found to be 3.61%.
  • Seven 300 mm wafers were processed with RF power set at 1200 W and pressure at 0.9 Torr. Total hydrogen flow rate was kept at 12 slm and total carbon dioxide flow rate was kept at 150 sccm. Total argon flow rate was kept at 24 slm. The average net strip rate of the seven wafers was 2807 Å/min. Strip rate uniformity was calculated for six of the wafers with the average found to be 3.00%.
  • Additional experimental results are shown in Table 1 which shows strip rates and strip rate uniformity obtained for various argon flow rates, pressures, and RF powers. All data was collected using in a five-station chamber with hydrogen flow of 3 slm per station (15 slm total) and carbon dioxide flow of 30 sccm per station (150 sccm total).
  • TABLE 1
    Experimental results of downstream mixing with argon
    Downstream
    argon flow rate
    per station RF Pressure Net strip Rate Strip rate
    (slm) power (W) (Torr) (Å/min) uniformity (%)
    4 1300 1.0 2921 4.4
    4 1300 1.1 2707 3.3
    4 1500 1.0 2669 4.6
    4 1500 1.1 2943 4.3
    6 1300 1.0 3298 3.5
    6 1300 1.1 2718 3.0
    6 1500 1.0 3046 3.3
    6 1500 1.1 2953 3.6

    The target strip rate for the examples shown in Table 1 was 2200 Å/min with a uniformity of less than 4%. All of the above examples meet the target strip rate and most meet the target uniformity.
  • Note that experimental results for these specific examples are shown to clarify and illustrate the effectiveness of methods of the invention and are not meant to limit the invention to any particular embodiments.

Claims (11)

1-21. (canceled)
22. An apparatus for removing material from a work piece surface in a reaction chamber comprising:
a plasma source;
a gas inlet for introducing a hydrogen-based gas into the plasma source;
a gas inlet for introducing an inert gas downstream of the plasma source and upstream of the work piece; and
a process chamber.
23. The apparatus of claim 22 further comprising a showerhead assembly, said assembly comprising a showerhead for directing the plasma and inert gas into the process chamber.
24. The apparatus of claim 23 wherein the showerhead comprises at least 1000 holes.
25. The apparatus of claim 23 further comprising a platen for supporting the work piece.
26. The apparatus of claim 23 further comprising an RF coil for generating plasma in the plasma source.
27. The apparatus of claim 23 wherein the inert gas inlet comprises at least four inlet jets.
28. The apparatus of claim 27 wherein the gas jets are a at zero degree angle from the bottom of the plasma source.
29. The apparatus of claim 27 wherein the inert gas inlet comprises at least sixteen inlet jets.
30. The apparatus of claim 22 wherein the inert gas inlet is configured to inlet gas parallel to the face of the work piece.
31. The apparatus of claim 22 wherein the inert gas inlet comprises a plurality of inlet jets, wherein the angle of the inlet jets as measured from the bottom of the plasma source is zero degrees
US11/712,253 2004-12-13 2007-02-27 Enhanced stripping of low-K films using downstream gas mixing Abandoned US20090056875A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/712,253 US20090056875A1 (en) 2004-12-13 2007-02-27 Enhanced stripping of low-K films using downstream gas mixing
US12/251,305 US8193096B2 (en) 2004-12-13 2008-10-14 High dose implantation strip (HDIS) in H2 base chemistry
US13/462,660 US8641862B2 (en) 2004-12-13 2012-05-02 High dose implantation strip (HDIS) in H2 base chemistry
US14/171,564 US9941108B2 (en) 2004-12-13 2014-02-03 High dose implantation strip (HDIS) in H2 base chemistry

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/011,273 US7202176B1 (en) 2004-12-13 2004-12-13 Enhanced stripping of low-k films using downstream gas mixing
US11/712,253 US20090056875A1 (en) 2004-12-13 2007-02-27 Enhanced stripping of low-K films using downstream gas mixing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/011,273 Division US7202176B1 (en) 2004-12-13 2004-12-13 Enhanced stripping of low-k films using downstream gas mixing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/251,305 Continuation-In-Part US8193096B2 (en) 2004-12-13 2008-10-14 High dose implantation strip (HDIS) in H2 base chemistry

Publications (1)

Publication Number Publication Date
US20090056875A1 true US20090056875A1 (en) 2009-03-05

Family

ID=37904184

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/011,273 Active 2025-05-18 US7202176B1 (en) 2004-12-13 2004-12-13 Enhanced stripping of low-k films using downstream gas mixing
US11/712,253 Abandoned US20090056875A1 (en) 2004-12-13 2007-02-27 Enhanced stripping of low-K films using downstream gas mixing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/011,273 Active 2025-05-18 US7202176B1 (en) 2004-12-13 2004-12-13 Enhanced stripping of low-k films using downstream gas mixing

Country Status (1)

Country Link
US (2) US7202176B1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080248656A1 (en) * 2007-04-04 2008-10-09 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110139175A1 (en) * 2009-12-11 2011-06-16 David Cheung Enhanced passivation process to protect silicon prior to high dose implant strip
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8058181B1 (en) 2002-03-26 2011-11-15 Novellus Systems, Inc. Method for post-etch cleans
US8058178B1 (en) 2004-07-13 2011-11-15 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8444869B1 (en) 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US7399712B1 (en) * 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP2009016453A (en) * 2007-07-02 2009-01-22 Tokyo Electron Ltd Plasma processing device
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
KR100978859B1 (en) * 2008-07-11 2010-08-31 피에스케이 주식회사 Apparatus for generating hollow cathode plasma and apparatus for treating a large area substrate by hollow cathode plasma
KR101046335B1 (en) * 2008-07-29 2011-07-05 피에스케이 주식회사 Hollow cathode plasma generation method and large area substrate processing method using hollow cathode plasma
KR101791685B1 (en) * 2008-10-14 2017-11-20 노벨러스 시스템즈, 인코포레이티드 High Dose Implantation Strip (HDIS) In H2 Base Chemistry
US8119522B1 (en) 2010-11-08 2012-02-21 International Business Machines Corporation Method of fabricating damascene structures
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8999184B2 (en) * 2012-08-03 2015-04-07 Lam Research Corporation Method for providing vias
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
CN114823297B (en) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 Photoresist removing process and semiconductor manufacturing process

Citations (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US655472A (en) * 1900-05-09 1900-08-07 Aluminum Plate And Press Company Plate-graining machine.
US4357203A (en) * 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5354386A (en) * 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5626678A (en) * 1994-01-25 1997-05-06 Applied Materials, Inc. Non-conductive alignment member for uniform plasma processing of substrates
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5811358A (en) * 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US5814155A (en) * 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US5817406A (en) * 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6086952A (en) * 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6107184A (en) * 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6129091A (en) * 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6130166A (en) * 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6277733B1 (en) * 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US20020090827A1 (en) * 1999-01-28 2002-07-11 Shigenobu Yokoshima Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6465964B1 (en) * 1999-10-25 2002-10-15 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma generation method using the apparatus
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US20050079723A1 (en) * 2003-10-14 2005-04-14 Hiroaki Niimi Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using "spike" radical oxidation
US6930061B2 (en) * 1998-02-11 2005-08-16 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20070178698A1 (en) * 2004-03-31 2007-08-02 Fujitsu Limited Substrate processing apparatus and fabrication process of a semiconductor device
US20070179698A1 (en) * 2006-01-17 2007-08-02 Oehme Clifford H Traction control method for a tracked vehicle
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US20080026589A1 (en) * 2000-12-29 2008-01-31 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US7390755B1 (en) * 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US655472A (en) * 1900-05-09 1900-08-07 Aluminum Plate And Press Company Plate-graining machine.
US4357203A (en) * 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5354386A (en) * 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
US5626678A (en) * 1994-01-25 1997-05-06 Applied Materials, Inc. Non-conductive alignment member for uniform plasma processing of substrates
US5817406A (en) * 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6689930B1 (en) * 1995-09-25 2004-02-10 Applied Materials Inc. Method and apparatus for cleaning an exhaust line in a semiconductor processing system
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6517913B1 (en) * 1995-09-25 2003-02-11 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6680420B2 (en) * 1995-09-25 2004-01-20 Applied Materials Inc. Apparatus for cleaning an exhaust line in a semiconductor processing system
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US7070657B1 (en) * 1995-12-05 2006-07-04 Applied Materials Inc. Method and apparatus for depositing antireflective coating
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) * 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6209484B1 (en) * 1996-06-28 2001-04-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6129091A (en) * 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5811358A (en) * 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6361707B1 (en) * 1997-03-05 2002-03-26 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6230652B1 (en) * 1997-03-05 2001-05-15 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6395092B1 (en) * 1997-04-21 2002-05-28 Applied Materials, Inc. Apparatus for depositing high deposition rate halogen-doped silicon oxide layer
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6358573B1 (en) * 1997-12-01 2002-03-19 Applied Materials, Inc. Mixed frequency CVD process
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6511903B1 (en) * 1998-02-11 2003-01-28 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6858153B2 (en) * 1998-02-11 2005-02-22 Applied Materials Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6930061B2 (en) * 1998-02-11 2005-08-16 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6806207B2 (en) * 1998-02-11 2004-10-19 Applied Materials Inc. Method of depositing low K films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6770556B2 (en) * 1998-02-11 2004-08-03 Applied Materials Inc. Method of depositing a low dielectric with organo silane
US6348725B2 (en) * 1998-02-11 2002-02-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US7560377B2 (en) * 1998-02-11 2009-07-14 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US7023092B2 (en) * 1998-02-11 2006-04-04 Applied Materials Inc. Low dielectric constant film produced from silicon compounds comprising silicon-carbon bonds
US6734115B2 (en) * 1998-02-11 2004-05-11 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6562690B1 (en) * 1998-02-11 2003-05-13 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6730593B2 (en) * 1998-02-11 2004-05-04 Applied Materials Inc. Method of depositing a low K dielectric with organo silane
US6072227A (en) * 1998-02-11 2000-06-06 Applied Materials, Inc. Low power method of depositing a low k dielectric with organo silane
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6596655B1 (en) * 1998-02-11 2003-07-22 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6869896B2 (en) * 1998-02-11 2005-03-22 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6511909B1 (en) * 1998-02-11 2003-01-28 Applied Materials, Inc. Method of depositing a low K dielectric with organo silane
US7160821B2 (en) * 1998-02-11 2007-01-09 Applied Materials, Inc. Method of depositing low k films
US6537929B1 (en) * 1998-02-11 2003-03-25 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6541282B1 (en) * 1998-02-11 2003-04-01 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US7227244B2 (en) * 1998-02-11 2007-06-05 Applied Materials, Inc. Integrated low k dielectrics and etch stops
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6086952A (en) * 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US7205249B2 (en) * 1998-09-29 2007-04-17 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) * 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6743737B2 (en) * 1998-11-04 2004-06-01 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6448187B2 (en) * 1998-11-04 2002-09-10 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) * 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US20020090827A1 (en) * 1999-01-28 2002-07-11 Shigenobu Yokoshima Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) * 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6638875B2 (en) * 1999-08-05 2003-10-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6465964B1 (en) * 1999-10-25 2002-10-15 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma generation method using the apparatus
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US20020000202A1 (en) * 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20080026589A1 (en) * 2000-12-29 2008-01-31 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6632735B2 (en) * 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7569492B1 (en) * 2002-03-26 2009-08-04 Novellus Systems, Inc. Method for post-etch cleans
US7390755B1 (en) * 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US20060191478A1 (en) * 2002-05-17 2006-08-31 Applied Materials, Inc. High density plasma CVD chamber
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US20050079723A1 (en) * 2003-10-14 2005-04-14 Hiroaki Niimi Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using "spike" radical oxidation
US20070178698A1 (en) * 2004-03-31 2007-08-02 Fujitsu Limited Substrate processing apparatus and fabrication process of a semiconductor device
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7585777B1 (en) * 2004-07-13 2009-09-08 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US20070179698A1 (en) * 2006-01-17 2007-08-02 Oehme Clifford H Traction control method for a tracked vehicle

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058181B1 (en) 2002-03-26 2011-11-15 Novellus Systems, Inc. Method for post-etch cleans
US8058178B1 (en) 2004-07-13 2011-11-15 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20090053901A1 (en) * 2004-12-13 2009-02-26 Novellus Systems Inc. High dose implantation strip (hdis) in h2 base chemistry
US9941108B2 (en) 2004-12-13 2018-04-10 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8641862B2 (en) 2004-12-13 2014-02-04 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8716143B1 (en) 2005-05-12 2014-05-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US8444869B1 (en) 2006-10-12 2013-05-21 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080248656A1 (en) * 2007-04-04 2008-10-09 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US9373497B2 (en) 2007-04-04 2016-06-21 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US20110139175A1 (en) * 2009-12-11 2011-06-16 David Cheung Enhanced passivation process to protect silicon prior to high dose implant strip
US8721797B2 (en) 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US9564344B2 (en) 2009-12-11 2017-02-07 Novellus Systems, Inc. Ultra low silicon loss high dose implant strip
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Also Published As

Publication number Publication date
US7202176B1 (en) 2007-04-10

Similar Documents

Publication Publication Date Title
US7202176B1 (en) Enhanced stripping of low-k films using downstream gas mixing
US8058178B1 (en) Photoresist strip method for low-k dielectrics
US8591661B2 (en) Low damage photoresist strip method for low-K dielectrics
KR101170861B1 (en) Plasma ashing process for increasing photoresist removal rate and plasma apparatus with cooling means
US8664124B2 (en) Method for etching organic hardmasks
KR100778260B1 (en) Process for the post etch stripping of photoresist with hydrogen
US8129281B1 (en) Plasma based photoresist removal system for cleaning post ash residue
US6207583B1 (en) Photoresist ashing process for organic and inorganic polymer dielectric materials
US8569179B2 (en) Method for etching organic hardmasks
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
US6991739B2 (en) Method of photoresist removal in the presence of a dielectric layer having a low k-value
JP4825911B2 (en) Plasma etching and photoresist strip process with defluorination and wafer defluorination steps in intervening chamber
KR20010032912A (en) Method for etching silicon oxynitride and inorganic antireflection coatings
US20030104320A1 (en) Solvent free photoresist strip and residue removal processing for post etching of low-k films
SG193093A1 (en) Method for etching organic hardmasks
US8404596B2 (en) Plasma ashing method
US6955177B1 (en) Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US6647994B1 (en) Method of resist stripping over low-k dielectric material
JPH05275326A (en) Method for ashing resist
US7202177B2 (en) Nitrous oxide stripping process for organosilicate glass
KR101276043B1 (en) An etch back process using nitrous oxide

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION