US20090079080A1 - Semiconductor Device with Multi-Layer Metallization - Google Patents

Semiconductor Device with Multi-Layer Metallization Download PDF

Info

Publication number
US20090079080A1
US20090079080A1 US11/859,799 US85979907A US2009079080A1 US 20090079080 A1 US20090079080 A1 US 20090079080A1 US 85979907 A US85979907 A US 85979907A US 2009079080 A1 US2009079080 A1 US 2009079080A1
Authority
US
United States
Prior art keywords
metal
layer
metal layer
thickness
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/859,799
Inventor
Matthias Stecher
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to US11/859,799 priority Critical patent/US20090079080A1/en
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STECHER, MATTHIAS
Priority to DE102008047916.0A priority patent/DE102008047916B4/en
Publication of US20090079080A1 publication Critical patent/US20090079080A1/en
Priority to US15/054,318 priority patent/US10002830B2/en
Priority to US16/008,094 priority patent/US11222812B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to semiconductor devices and methods of making semiconductor devices. More particularly, the present invention relates to metallization technology.
  • Chips in certain technologies may include electronic devices and circuits that may require final or top metal lines having a relatively large thickness. However, the same chip may also include electronic devices and circuits may require final or top metal lines with a relatively fine pitch.
  • An embodiment of the invention is a semiconductor device, comprising: a metallization layer comprising at least a first metal line and a second metal line spacedly disposed from the first metal line, the first metal line having a first thickness, the second metal line having a second thickness greater than the first thickness.
  • the metallization layer may be a final metal layer.
  • An embodiment of the invention is a semiconductor device, comprising: a metallization layer comprising a plurality of portions, each of the portions having a different thickness.
  • the metallization layer may be a final metal layer.
  • An embodiment of the invention is a semiconductor structure, comprising: a metal layer comprising at least one metal line, the metal line comprising a plurality of portions, each of the portions having a different thickness.
  • the metal layer may be a final metal layer and the metal line may be a final metal line.
  • An embodiment of the invention is a method for forming a final metal layer of semiconductor device, comprising: providing a surface; forming a first metal layer over a portion of the surface; and forming a second metal layer over at least a portion of the first metal layer and/or over a portion of the surface that is not occupied by the first metal layer.
  • An embodiment of the invention is a method for forming a semiconductor device, comprising: providing a metal seed layer; first electroplating a first metal layer over the metal seen layer; and second electroplating a second metal layer over the first metal layer.
  • FIGS. 1 through 10 provide an embodiment of a method of making an embodiment of a semiconductor device
  • FIGS. 11 through 13A provide an embodiment of a method of further processing the semiconductor device from FIG. 10 ;
  • FIG. 13B provides an embodiment of a semiconductor device
  • FIG. 13C provides an embodiment of a semiconductor device
  • FIG. 14 provides an embodiment of a semiconductor device
  • FIGS. 15A through 15D shows an embodiment for making an embodiment of a semiconductor device
  • FIGS. 16A through 16D shows an embodiment for making an embodiment of a semiconductor device
  • FIGS. 17A through 17D shows an embodiment for making an embodiment of a semiconductor device
  • FIGS. 18A through 18D show an embodiment of a method of making an embodiment of a semiconductor device
  • FIG. 19 shows an embodiment of a semiconductor device
  • FIG. 20A shows an embodiment of a final metal layer
  • FIG. 20B shows an embodiment of a final metal layer
  • FIG. 20C shows an embodiment of a final metal layer
  • FIG. 20D shows an embodiment of a final metal layer
  • FIG. 20E shows an embodiment of a final metal layer
  • FIG. 20F shows an embodiment of a final metal layer
  • FIG. 20G shows a cross sectional view of an embodiment of a semiconductor device.
  • FIGS. 1 through 10 provide an embodiment of a method of making an embodiment of a semiconductor device.
  • FIG. 1 shows a semiconductor structure 100 of an embodiment of a partially completed semiconductor chip or device.
  • the structure 100 comprises a substrate 210 .
  • the substrate 210 may be a p-type substrate.
  • the substrate may be a silicon substrate or other suitable substrate.
  • the substrate may be a silicon-on-insulator (SOI) substrate.
  • SOI substrate may, for example, be formed by a SIMOX process.
  • the substrate may be a silicon-on-sapphire (SOS) substrate.
  • the substrate may be a silicon-on-germanium substrate.
  • the layer 220 may itself comprise one or more levels of metallization layers, inter-level dielectric layers, vias, plugs etc.
  • the combination of the layer 210 and layer 220 may be viewed as a workpiece or a support structure for the deposition of additional layers over such a workpiece or support.
  • a top portion of the layer 220 may comprise an inter-level dielectric layer having vias and plugs.
  • a barrier layer 230 may be formed over the layer 220 .
  • the barrier layer 230 may comprise a conductive material.
  • the barrier layer 230 may comprise a metallic material.
  • the barrier layer 230 may comprise one or more of the elements from the group consisting of Ti, Ta, N and W.
  • the barrier layer 230 may comprise a Ti-based material or a Ta-based material.
  • the barrier layer 230 may comprise one or more materials selected from the group consisting of TiW, WN, TiN, and TaN.
  • the barrier layer may be formed as a composite or as a dual-layered system such as a titanium/TiN or a tantalum/TaN dual-layer.
  • the barrier layer 230 may serve to lower or prevent diffusion between the materials that are on opposites sides of the barrier layer.
  • the barrier layer 230 may be deposited by a chemical vapor deposition (CVD) or a physical vapor deposition (PVD) process.
  • CVD chemical vapor deposition
  • PVD physical
  • a metal seed layer 240 may be formed over the barrier layer 230 .
  • the metal seed layer 240 may be formed by a sputtering process or by a chemical vapor deposition (CVD) process.
  • the thickness of the metal seed layer may be about 5000 angstroms or less. In another embodiment, the thickness may be about 2000 angstroms or less. In another embodiment, the thickness may be about 1000 angstroms or less.
  • a galvanic resist 250 may be formed over the metal seed layer 240 .
  • the galvanic resist 250 may be applied by a spin on process. Suitable galvanic resists are available commercially.
  • the galvanic resist 250 may be patterned and a portion may be removed from particular locations over the metal seed layer 240 .
  • a first metal layer 260 may be deposited over the metal seed layer in places where the portions of galvanic resist were removed.
  • the thickness of the first metal layer 260 is not limited to any particular thickness.
  • the first metal layer 260 may have a thickness of about 2000 nm or less.
  • the first metal layer 260 may have a thickness of about 1000 nm (1000 nanometers) or less.
  • the first metal layer 260 may have a thickness of about 500 nm or less.
  • the first metal layer 260 may have a thickness of about 250 nm or less.
  • the first metal layer 260 may have a thickness of about 200 nm or less. In one or more embodiments, the first metal layer 260 may have a thickness of about 150 nm or less. The thickness of the first metal layer 260 is shown as thickness T 260 in FIG. 4 .
  • the first metal layer 260 may be formed by an electroplating process.
  • pure copper may be electroplated by placing a wafer (which may, for example, have a layered arrangement similar to that shown in FIG. 3 ) into a solution of, for example, copper sulfate containing copper ions.
  • the wafer (with a seed layer) may be electrically coupled to a power supply to form a cathode.
  • a solid piece of pure copper may be placed in the solution and electrically coupled to the power supply to form an anode.
  • copper ions are reduced to metallic copper.
  • the pure copper is oxidized.
  • Other electroplating processes are, of course, possible. In other embodiments, other metallic materials (such a copper alloys) may be electroplated.
  • the first metal layer 260 is formed at about the height of the galvanic resist 250 .
  • the first metal layer may be formed to a height which is below the height of the galvanic resist.
  • the first metal layer 260 may be formed to a height which is above the height of the galvanic resist 250 .
  • a first metal layer 260 may be formed which comprises four spacedly disposed first metal layer portions 260 A-D of the first metal layer 260 .
  • a first metal layer 260 may be formed which comprises only a single continuous portion.
  • a first metal layer 260 may be formed which includes a plurality of spacedly disposed portions.
  • two or more first metal layer portions may have a distance between them which is less than about 600 nm.
  • the first metal layer portions may have a distance between them which is less than about 500 nm.
  • first metal layer portions may have a distance between them which is about 400 nm.
  • the thickness of first metal layer 260 is also shown as thickness T 260 in FIG. 5 .
  • Each of the spacedly disposed portions 260 A-D may be viewed as a separate metal line.
  • a second layer of galvanic resist 270 may be formed over the structure from FIG. 5 .
  • the galvanic resist 270 may then be patterned (where portions of the galvanic resist are removed) to form the structure shown in FIG. 7 .
  • FIG. 7 it is seen that portions of the galvanic resist 270 have been removed so that openings 272 are formed overlying top surfaces of the first metal layer 260 where a second metal layer will be deposited.
  • openings 272 are formed so as to expose at least a portion of the top surface of each of the first metal layer portions 260 A and 260 D.
  • the first metal layer portions 260 B and 260 C are left covered by the galvanic resist.
  • a second metal layer 280 may then be deposited within the openings 272 formed in the galvanic resist.
  • the second metal layer 280 is disposed over and in electrical contact with the first metal layer portion 260 A and the first metal layer portion 260 D.
  • the deposition of the second metal layer 280 may be formed using an electroplating process.
  • the height of the second metal layer 280 is level with the height of the resist 270 .
  • it is possible that the second metal layer 280 is deposited below the top surface of the resist 270 or that is it deposited above the top surface of the resist 270 .
  • the thickness of the second metal layer 280 may be greater than the thickness T 260 of the first metal layer 260 . In one or more embodiments, the thickness of the second metal layer 280 may be less than the thickness of the first metal layer 260 . In one or more embodiments, the thickness of the second metal layer 280 may be about the same as the thickness of the first metal layer 260 .
  • the thickness T 280 of the second metal layer 280 may be at least 2 times as large as the thickness of the first metal layer 260 . In one or more embodiments, the thickness of the second metal layer 280 may be at least 5 times as large as the thickness of the first metal layer 260 . In one or more embodiments, the thickness of the second metal layer 280 may be at least 10 times as large as the thickness of the first metal layer 260 . In one or more embodiments, the thickness of the second metal layer 280 may be at least 20 times as large as the thickness of the first metal layer 260 . In one or more embodiments, the thickness of the second metal layer 280 may be at least 100 times as large as the thickness of the first metal layer 260 .
  • the thickness of the second metal layer 280 may be about 1000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 1500 nm or greater. In one or more embodiments, the thickness of the second metal layer 280 may be about 2000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 2500 nm or greater. In one or more embodiments, the thickness of the second metal layer 280 may be about 3000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 5000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 10000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 20000 nm or greater.
  • the second metal layer 280 is deposited over the two spacedly disposed first metal layer portions 260 A,D to form two metal lines M 2 having a thickness T 2 .
  • a portion 280 A of the second metal layer 280 is deposited over the portion 260 A of first metal layer 260 to form a first metal line M 2 .
  • a portion 280 B of the second metal layer 280 is deposited over the portion 260 B of first metal layer 260 for form a second metal line M 2 .
  • the remaining two first metal layer portions 260 B,C form metal lines M 1 having a thickness T 1 which is equal to the thickness T 260 of the first metal layer.
  • the thickness T 2 of metal lines M 2 is greater than the thickness T 1 of metal lines M 1 .
  • two groups of metal lines are formed.
  • a first group of metal lines are the metal lines M 1 . These metal lines include the first metal layer 260 but not the second metal layer 280 .
  • a second group of metal lines are the metal lines M 2 . These metal lines include the first metal layer 260 and the second metal layer 280 . In the embodiment shown, the metal lines M 2 are thicker than the metal lines M 1 .
  • the metal lines M 1 may have a thickness T 1 of about 2000 nm or less. In one or more embodiments, the thickness T 1 may be about 1000 nm or less. In one or more embodiments, the thickness T 1 may be about 500 nm or less. In one or more embodiments, the thickness T 1 may be about 250 nm or less. In one or more embodiments, the thickness T 1 may be about 200 nm or less. In one or more embodiments, the thickness T 1 may be about 150 nm or less.
  • the metal lines M 2 may have a thickness T 2 of about 500 nm or greater. In one or more embodiments, the metal lines M 2 may have a thickness T 2 of about 1000 nm or greater. In one or more embodiments, the thickness T 2 may be about 1500 nm or greater. In one or more embodiments, the thickness T 2 may be about 2000 nm or greater. In one or more embodiments, the thickness T 2 may be about 2500 nm or greater. In one or more embodiments, the thickness T 2 may be about 3000 nm or greater. In one or more embodiments, the thickness T 2 may be about 5000 nm or greater. In one or more embodiments, the thickness T 2 may be about 10000 nm or greater. In one or more embodiments, the thickness T 2 may be about 20000 nm or greater.
  • the thickness T 2 of the metal lines M 2 may greater than the thickness T 1 of the metal lines M 1 . In one or more embodiments, the thickness T 2 may be at least 2 times as large as the thickness T 1 . In one or more embodiments, the thickness T 2 may be at least 5 times as large as the thickness T 1 . In one or more embodiments, the thickness T 2 may be at least 10 times as large as the thickness T 1 . In one or more embodiments, the thickness T 2 may be at least 20 times as large as the thickness T 1 . In one or more embodiments, the thickness T 2 may be at least 50 times as large as the thickness T 1 . In one or more embodiments, the thickness T 2 may be at least 100 times as large as the thickness T 1 .
  • each of the metal lines M 1 have a width W 1 while each of the metal lines M 2 have a width W 2 .
  • the width W 2 of the thicker lines M 2 is greater than the width W 1 of the thinner lines M 1 (so that W 2 >W 1 ).
  • the thicker lines M 2 have a smaller width that the thinner lines M 1 (so that W 2 ⁇ W 1 ).
  • the thicker lines M 2 may each have a different width and/or the thinner lines M 1 may each have a different width.
  • the distance between the two metal lines M 1 is less than the distance between the two metal lines M 2 .
  • the thinner metal lines M 1 may have a finer pitch than the thicker metal lines M 2 , but this does not have to be the case.
  • the distance between metal lines M 1 may be about 600 nm or less. In one or more embodiments, the distance between metal lines M 1 may be about 500 nm or less. In one or more embodiments, the distance between metal lines M 1 may be about 400 nm or less.
  • the distance between metal lines M 2 may be about 800 nm or more. In one or more embodiments, the distance between metal lines M 2 may be about 1000 nm or more. In one or more embodiments, the distance between metal lines M 2 may be about 1500 nm or more.
  • the remaining portion of the galvanic resist may be removed.
  • a portion of the barrier material 230 and the metal seed material 240 which is not underlying the material of the metal lines M 2 or the material of the metal lines M 1 may be removed. Removing these portions of the barrier material and the seed material serves to electrically isolate each of the metal lines from the other metal lines.
  • the removal may be accomplished by an etching process.
  • the etching process may be an anisotropic etching process.
  • the etching process may be a wet etch or a dry etch.
  • a protective passivation layer 290 is deposited over the structure of FIG. 10 to form the structure shown in FIG. 11 .
  • the passivation layer 290 may be formed of any dielectric material.
  • the passivation layer 290 may comprise an imide such as a polyimide.
  • the passivation layer 290 may comprise an oxide, a nitride or an oxynitride.
  • the passivation layer may, for example, be formed of silicon dioxide, silicon nitride, a silicon oxynitride or combinations thereof.
  • the passivation layer 290 may comprise one or more materials selected from the group consisting of SiN, SiON, SiC, SiO, SiO 2 , and combinations thereof.
  • openings 292 may be formed in the passivation layer so that the metal lines M 2 as well as the metal lines M 1 are exposed.
  • a passivation material 295 may then be disposed within each of the openings and on top of the exposed metallic material of each of the metal lines.
  • the passivation material may comprise a metallic material.
  • the passivation material 295 may be a single layer of a metallic material.
  • the passivation material may include two or more layers of different materials.
  • the passivation material may include two layers such as NiP/Pd (a Pd layer over a NiP layer) or NiMoP/Pd (a Pd layer over a NiMoP layer).
  • the passivation material may include three layers such as NiP/Pd/Au (an Au layer over a Pd layer over a NiP layer) or NiMoP/Pd/Au (an Au layer over a NiMoP layer over a NiMoP layer). It is possible that more than three layers be used.
  • openings are formed to expose the metal lines M 2 and the metal lines M 1 .
  • openings 295 may be formed only to expose the metal lines M 2 and not the metal lines M 1 . This is shown in FIG. 13B .
  • openings 295 may be formed only to expose the metal lines M 1 and not the metal lines M 2 . This is shown in FIG. 13C .
  • openings may be formed to only to expose a portion of metal lines M 2 and/or to only to expose a portion of the metal lines M 1 .
  • FIG. 14 shows another way of continuing the process from what is shown in FIG. 10 .
  • the metal lines M 1 , M 2 may be passivated by a passivation material 395 .
  • the passivation material 395 may be substantially conformally deposited over the metal lines M 1 and M 2 as well as over the sidewall surfaces of the seed layer 240 and the sidewall surfaces of the barrier layer 230 .
  • the passivation material 395 may not remain on the sidewall surfaces of the barrier layer 230 so it is not shown over these surfaces in FIG. 14 .
  • the passivation material 395 may comprise one or more metallic materials.
  • the passivation material 395 may, for example, be a single layer of a metallic material or it may comprise two or more layers of different metallic materials.
  • Examples of passivation layers include Ni, NiPd, NiP, Ni/Pd (a dual layer), NiP/Pd (a dual layer), NiP/Pd/Au (a tri-layer), NiMoP, CoW, CoWP, NiMoP/Pd, Ni, Ni/Pd.
  • a first layer may, for example, be an NiP layer (or an NiMoP layer, or an NiMoP layer, or a CoWP layer or a CoW layer, etc).
  • This first layer may have a thickness of at least 300 nm. In one or more embodiments, the thickness of the first layer may be between about 500 nm and about 5000 nm.
  • a second metallic layer may be formed on top of the first metallic layer (which may, for example, be a layer of NiP). The second metallic layer may be a Pd layer. This second metallic layer may have a thickness of about 100 nm or greater. In one or more embodiments, the second metallic layer may have a thickness of about 100 nm to about 500 nm.
  • Over the second layer we place a third metallic layer.
  • the third metallic layer may be a layer of silver or silver alloy. This third metallic layer may have a thickness of about 100 nm or less. In one or more embodiments, the third layer may have a thickness of about 50 nm or less.
  • the first, second and third layers form a sandwich of materials. This sandwich may be a NiP/Pd/Au sandwich.
  • the metal lines M 1 , M 2 are not passivated at all.
  • two electrically isolated thinner metal lines M 1 and two electrically isolated thicker metal lines M 2 are formed. More generally, in one or more embodiments, one or more thicker metal lines may be formed and, one or more thinner metal lines may be formed where the thicker metal lines are thicker than the thinner metal lines. In one or more embodiments, two or more of the metal lines may be spacedly disposed for each other. In one or more embodiments, two or more of the metal lines may be electrically isolated from each other. In one or more embodiments, two or more of the metal lines may be electrically coupled together.
  • a final metal layer for a semiconductor device or semiconductor chip may be formed which comprises at least a first metal layer and a second metal layer formed after the first metal layer.
  • the first and second metal layers may form a plurality of metal lines.
  • the metal lines may be spacedly disposed from each other (for example, they may be physically spaced apart from each other).
  • One or more of the metal lines may have a first thickness while one or more of the metal lines may be have a second thickness which is thicker than the first thickness.
  • a final metal layer also referred to as a top metal layer
  • the thin metal lines may be used for logic applications while the thick metal lines may be used for power applications.
  • the thick and thin metal lines which are part of the final or top metal layer may be referred to as final metal lines or top metal lines.
  • the final metal layer may include at least one thinner final metal line and at least one thicker final metal line where the thicker lines have a thickness greater than the thinner lines.
  • the process described above shows the formation of a final metal layer having one or more final metal lines with a first thickness and one or more final metal lines with a second thickness greater than the first thickness.
  • the process may be continued by forming (such as by a growth process or a deposition process) one or more additional metal layers (possibly, for example, by depositing additional layers of galvanic resist, patterning these layers and using an electroplating process to deposit additional metal layers).
  • a final metal layer may be formed which comprises a plurality of final metal lines.
  • the plurality of final metal lines may have a plurality of thicknesses.
  • the plurality of final metal lines may be spacedly disposed from each other. At least two of the plurality of final metal lines may be electrically isolated from each other. At least two of the plurality of final metal lines may be electrically coupled to each other.
  • the metal lines M 2 are formed so that the portion 260 A of first metal layer 260 completely underlies the portion 280 A of second metal layer 280 . Likewise, the portion 260 B of the first metal layer 260 completely underlies the portion 280 B of the second metal line 280 .
  • FIG. 15D Another embodiment is shown in FIG. 15D where the second metal layer 280 only partially overlies the first metal layer portion 260 A. (Of course, in yet another embodiment, the second metal layer 280 may made wider so that all of the portion 260 A underlies the layer 280 ).
  • the metal line M 1 includes the first metal layer portion 260 B but does not include any portion of the second metal layer 280 .
  • the metal line M 1 has a thickness T 1 .
  • the metal line M 3 includes the first metal layer portion 260 A as well as the second metal layer 280 .
  • the metal line M 3 has a thickness T 3 .
  • the thickness T 3 of metal line M 3 is greater than the thickness T 1 of the metal line M 1 .
  • FIG. 15D shows how the metal lines M 1 and M 3 may be electrically isolated from each other by etching through the barrier layer 230 and seed layer 240 .
  • FIG. 15D further shows passivation layer 290 and passivation layer 295 .
  • the metal lines M 1 , M 3 may also be passivated in a way which is similar to that shown in FIG. 14 .
  • FIGS. 15A through 15D describes an embodiment for a method of making the embodiment shown in FIG. 15D .
  • FIG. 15A shows that the first metal layer 260 comprises spacedly disposed first layer portions 260 A and 260 B. These first layer portions 260 A, 260 B may be formed in a manner similar to that shown in FIGS. 1 through 5 .
  • a galvanic resist 270 may be formed over the structure from FIG. 15A .
  • An opening 272 may be formed in the resist.
  • a second metal layer 280 may be formed within the opening. This may be done using an electroplating process.
  • the second metal layer 280 partially overlies the portion 260 A of first metal layer 260 .
  • a portion of layer 280 A is also formed on the seed layer 240 .
  • the galvanic resist 272 may then be removed.
  • the seed layer 240 and the barrier layer 230 may then be etched at certain locations so that the metal lines M 1 and M 3 become electrically isolated.
  • a passivation layer 290 may then be formed over the structure, an opening 292 may be formed over the second metal layer 280 and a passivation layer 295 may be formed.
  • the metal line M 3 has a width W 3 and a thickness T 3 .
  • the metal line M 1 has a width W 1 and a thickness T 1 .
  • the width W 3 may be greater than the width W 1 .
  • the width W 3 may be less than the width W 1 .
  • the width W 3 may be equal to the width W 1 .
  • FIG. 16D Another embodiment is shown in FIG. 16D where the second metal layer 280 is spacedly disposed from the first metal layer 260 .
  • the metal line M 1 includes the first metal layer 260 but does not include any portion of the second metal layer 280 .
  • the metal line M 1 has a thickness T 1 .
  • metal line M 4 includes the second metal layer 280 but does not include any portion of the second metal layer 280 .
  • the metal line M 4 has a thickness T 4 .
  • the thickness T 4 of the metal line M 4 is greater than the thickness T 1 of the metal line M 1 .
  • FIG. 16D shows how the metal lines M 1 and M 4 may be electrically isolated from each other by etching through the barrier layer 230 and seed layer 240 .
  • FIG. 16D further shows passivation layer 290 and passivation layer 295 .
  • the metal lines M 1 , M 4 may also be passivated in a way which is similar to that shown in FIG. 14 .
  • FIGS. 16A through 16D describes an embodiment for a method of making the embodiment shown in FIG. 16D .
  • FIG. 16A shows a first metal layer 260 . This layer may be formed by an electroplating approach similar to that shown in FIGS. 1 through 5 .
  • a galvanic resist 270 may be formed over the structure from FIG. 16A .
  • An opening 272 may be formed in the resist.
  • a second metal layer 280 may be formed within the opening. This may be done using an electroplating process.
  • the second metal layer 280 is formed on the seed layer 240 but not on the first metal layer 260 .
  • the galvanic resist 270 may then be removed. Referring to FIG.
  • the seed layer 240 and the barrier layer 230 may then be etched at certain locations so that the metal lines M 1 and M 4 become electrically isolated.
  • a passivation layer 290 may then be formed over the structure, an opening 292 may be formed over the second metal layer 280 and a passivation layer 295 may be formed.
  • the first layer 260 was deposited before the second layer 280 .
  • the layer 280 (the thicker one) is deposited before layer 260 (the thinner one).
  • the metal line M 4 has a width W 4 and a thickness T 4 .
  • the metal line M 1 has a width W 1 and a thickness T 1 .
  • the width W 4 may be greater than the width W 1 .
  • the width W 4 may be less than the width W 1 .
  • the width W 4 may be equal to the width W 1 .
  • FIG. 17D Another embodiment is shown in FIG. 17D where there are two spacedly disposed metal lines M 4 and M 3 .
  • the metal line M 4 comprises the second metal layer 280 but not the first metal layer 260 .
  • the metal line M 4 has a thickness T 4 .
  • the second metal line M 3 comprises the first metal layer 260 and the portion 280 B of the second metal layer 280 .
  • Metal line M 3 comprises a first portion P 1 that includes the first metal layer 260 but not the second metal layer 280 . This portion P 1 has a thickness T 260 of the first metal layer 260 .
  • the metal line M 3 comprises a second portion P 2 that includes the second metal layer 280 but not the first metal layer 260 . This second portion P 2 has a thickness T 280 which is the thickness of the second metal layer 280 .
  • the metal line M 3 has a third portion P 3 which includes the first metal layer 260 and the second metal layer 280 .
  • the portion P 3 shown in FIG.
  • the metal line M 3 is that portion of metal line M 3 where the second metal layer 280 overlies the first metal layer 260 .
  • the thickness of the portion P 3 is the sum of the thickness T 260 of first metal layer 260 and the thickness T 280 of second metal layer 280 . This is shown as thickness T OVERLAP .
  • the thickness T 3 of the metal line M 3 is the maximum of the thicknesses of each of the portions P 1 , P 2 and P 3 .
  • the metal line M 3 has a thickness T 3 which is equal to the thickness T OVERLAP .
  • the metal line M 3 has three portions where each portion has a different thickness. In the embodiment shown in FIG. 17C , the thickness of metal line M 3 changes in the direction along the width of the metal line.
  • metal line M 3 as shown in FIGS. 17C and 17D is also true for the metal line M 3 shown in FIGS. 15C and 15D .
  • FIGS. 17A through 17D describes an embodiment for a method of making the embodiment shown in FIG. 17D .
  • FIG. 17A shows a first metal layer 260 . This layer may be formed by an electroplating approach similar to that shown in FIGS. 1 through 5 .
  • a galvanic resist 270 may be formed over the structure from FIG. 17A . Openings 272 A and 272 B may be formed in the resist.
  • a second metal layer 280 may be formed within each of the openings. Portion 280 A is formed in opening 272 A. Portion 280 B is formed in opening 272 B. This may be done using an electroplating process. As shown in FIG. 17C , the galvanic resist 270 may then be removed. Referring to FIG.
  • the seed layer 240 and the barrier layer 230 may then be etched at certain locations so that the metal lines M 3 and M 4 become electrically isolated from each other.
  • a passivation layer 290 may then be formed over the structure, an opening 292 may be formed over the second metal layer 280 and a passivation layer 295 may be formed.
  • the metal line M 3 has a width W 3 and a thickness T 3 .
  • the metal line M 4 has a width W 4 and a thickness T 4 .
  • the width W 4 may be greater than the width W 3 .
  • the width W 4 may be less than the width W 3 .
  • the width W 4 may be equal to the width W 3 .
  • FIG. 18D Another embodiment is shown in FIG. 18D where there are two spaceldly disposed metal lines M 1 and M 5 .
  • the metal line M 5 comprises the second metal layer 280 as well as a third metal layer.
  • the metal line M 1 comprises the first metal layer 260 but not the second metal layer 280 and not the third metal layer 330 .
  • the metal line M 5 has a thickness T 5 that is larger than the thickness T 1 of the metal line M 1 .
  • FIGS. 18A through 18D describes an embodiment for a method of making the embodiment shown in FIF. 18 D.
  • FIG. 18A shows a first metal layer 260 and a second layer 280 . These layers may be formed by two electroplating steps: one for layer 260 and one for layer 280 . This may be done using processing steps similar to those described for FIGS. 1 through 8 .
  • a galvanic resist 270 ′ may be formed over the structure from FIG. 18A .
  • Opening 272 ′ may be formed in the resist 270 ′ over the metal layer 280 .
  • an electroplating process may then be used to form a third metal layer 330 over a top surface of the second metal layer 280 .
  • the barrier layer 230 and seed layer 240 may be etch through and the galavanic resist 270 ′ may be removed.
  • a passivation layer 290 may be applied.
  • metal line M 1 includes the first metal layer 260 but not the second metal layer 280 or the third metal layer 330 .
  • a metal line M 5 is formed that include a second metal layer 280 and a third metal layer 330 .
  • the metal line M 5 has a width W 5 and a thickness T 5 .
  • the metal line M 1 has a width W 1 and a thickness T 1 .
  • the width W 5 may be greater than the width W 1 .
  • the width W 5 may be less than the width W 1 .
  • the width W 5 may be equal to the width W 1 .
  • the third metal layer 330 shown in FIGS. 18C and 18D may comprise any metallic material.
  • the metallic material may comprise a pure metal or a metal alloy.
  • the metallic material may, for example, comprise Pd/Ni, Co, CoW, CoWP, NiB, Ni, NiP, Sn, Ag, Au, Pd, Cu or a combination or sandwich of two or more of these materials (for example, PdNi, Ni/Pd, NiPd/Pd/Au, NiP/Ni/Pd/Au, etc)
  • the third metal layer may have any thickness.
  • the thickness of the third metal layer 330 may be about 500 nm or greater.
  • the thickness of the third metal layer may even reach about 5000 nm
  • the third metal layer 330 is thinner than the second metal layer 280 .
  • the embodiment shows how a thinner metal layer may be formed over a thicker metal layer.
  • the third metal layer is thicker than the second metal layer.
  • the third metal layer 330 has about the same thickness as the second metal layer 280 .
  • the first metal layer 260 may be formed before the second metal layer 280 .
  • the first metal layer 260 is thinner than the second metal layer 280 .
  • the metal lines having different thickness may be formed by first depositing a thick metal layer and then depositing a thin metal layer in a downstream processing step.
  • two or more metal layers (such as first metal layer 260 , second metal layer 280 and third metal layer 330 as shown in FIG. 18D ) to form a final metal layer having a plurality of final metal lines with a plurality of thicknesses.
  • Each of the final metal lines may be spacedly disposed from the other final metal lines.
  • Each of the final metal lines may be electrically isolated from the other metal lines.
  • Two or more of the final metal lines may be electrically coupled together. Two or more may be coupled to the same ground or to the same potential).
  • the final metal layer may have final metal lines with two, three, four, five or more thicknesses.
  • FIG. 19 shows an embodiment of a structure of the present invention wherein a metallization layer includes a metal line M 1 having a thickness T 1 , a metal line M 4 with a thickness T 4 and a metal line T 5 with a thickness T 5 .
  • the thickness T 5 is greater than the thickness T 4 which is greater than the thickness T 1 .
  • the metal line M 1 is formed from first metal layer 260 .
  • the metal line M 4 is formed from the second metal layer 280 .
  • the final metal line M 5 is formed from both the second metal layer 280 and the third metal layer 330 .
  • the metallization layer may be a final metal layer.
  • the metal lines M 1 , M 4 and M 5 may be final metal lines.
  • FIGS. 20A through 20G Another embodiment of is shown in FIGS. 20A through 20G .
  • the embodiment shows an example of a final metal layer comprising a metal line M 6 that has a plurality of portions having different thicknesses.
  • the metal line M 6 is formed from a first metal layer 260 (that includes a first portion 260 A and a second portion 260 B) and a second metal layer 280 .
  • FIG. 20A shows a top view of the metal line M 6 .
  • FIG. 20G shows a cross sectional view of metal line M 6 through X-X that shows the substrate 210 , the layer 220 , the barrier layer 230 and the seed layer 240 (e.g. metallic seed layer).
  • the metal line M 6 may be formed in different ways.
  • the metal line M 6 may be formed by depositing a first galvanic resist over the seed layer 240 , patterning the first galvanic resist to form openings in the first resist, depositing the first metal layer 260 by an electroplating process to form portions 260 A and 260 B, removing the first galvanic resist, depositing a second galvanic resist, patterning the second galvanic resist to form an opening in the second galvanic resist, depositing the second metal layer 280 , and removing the second galvanic resist. Referring to FIGS. 20A and 20F , it is seen that a portion of layer 280 overlies a portion of layer 260 .
  • the portions 260 A and 260 B of the first metal layer 260 each has a width W 260 .
  • the metal layer 280 has a width W 280 .
  • the width W 280 of the second metal layer 280 is larger than the then width W 260 of the first metal layer 260 .
  • the width of first metal layer 260 may be greater than the width of second metal layer 280 .
  • the width of first metal layer 260 may the same as the width of second metal layer 280 .
  • the portions 260 A and 260 B of the first metal layer 260 each has a length L 260 .
  • the second metal layer 280 has a length L 280 .
  • the length L 280 of metal layer 280 has a bend.
  • the length of the metal layer 280 is greater than the length of each of the portions 260 A and 260 B of the metal layer 260 .
  • the length of the metal layer 280 may be less than the length of one or both of the portions 260 A, 260 B of the metal layer 260 .
  • the total lengthwise direction of the metal line M 6 may be viewed as the combination of the length L 260 of metal layer 260 and length L 280 of metal layer 280 . This is shown as length L M6 that is shown in FIG. 20D .
  • the metal layer 280 has a thickness T 280 .
  • the portions 260 A and 260 B of metal layer 260 each have a thickness T 260 .
  • the metal line M 6 comprises a first portion P 1 that includes only the first metal layer 260 having a first thickness T 260 .
  • the metal line M 6 comprises a second portion P 2 that includes only the second metal layer 280 having a second thickness T 280 .
  • the metal line M 6 also includes a third portion P 3 where the second metal layer 280 overlaps the first metal layer 260 . This portion P 3 includes both the first metal layer 260 and the second metal layer 280 .
  • This portion P 3 has a thickness T OVERLAP which may be essentially equal to the combined thickness of the first metal layer 260 and the second metal layer 280 .
  • the thickness of the entire line M 6 is considered to be the maximum of the thicknesses of the portions P 1 , P 2 and P 3 .
  • the thickness of the entire line M 6 has a thickness T 6 which is equal to the thickness T OVERLAP .
  • the metal line M 6 comprises three portions with three different thicknesses. More generally, in one or more embodiments, a metal line may have a plurality of portions where each portion has a different thickness. In one or more embodiments, the thickness may change along the width of the metal line. An example of this embodiment is shown as metal line M 3 shown in FIG. 17C . In one or more embodiments, the thickness may change along the length of the metal line. An example of this embodiment is shown as metal line M 6 in FIG. 20A . In one or more embodiments, it is also possible that the thickness changes in the direction along the width of the metal line and in the direction along the length of the metal line.
  • a metal line such as the metal line M 6 shown in FIG. 20A may be useful.
  • one end of the thicker portion 280 may be electrically coupled to a power supply 500 .
  • the power from the power supply 500 may be distributed from the thicker portion 280 to the thinner portions 260 .
  • the thinner portions may be used to distribution the power to logic or analog circuits 600 .
  • metal lines may be formed that have any shape. As one example, they may be straight. As another example, they may be bent.
  • metallization layers may, for example, be referred to as Metal-1, Metal-2, and so on.
  • all of the metal layers described herein may comprise any metallic material.
  • All of the metal layers described herein such as, without limitation, the metal seed layer (such as metal seed layer 240 shown in FIG. 7 ), the first metal layer (such as first metal layer 260 shown in FIG. 7 ), the second metal layer (such as second metal layer 280 shown in FIG. 8 ) as well as the third metal layer (such as third metal layer 330 shown in FIG. 18C ) may comprise any metallic material.
  • the metallic material may be a pure metal or an alloy. In one or more embodiments, it is possible that a pure metal may include trace amounts of impurities.
  • the metallic material may be an alloy.
  • the alloy may comprise two or more metallic elements.
  • the alloy may consist essentially of two or more metallic elements.
  • the alloy may comprise a metallic element and a non-metallic element.
  • the alloy may, for example, be steel.
  • the alloy may comprise the element carbon.
  • pure metals include, but are not limited to, pure copper, pure gold, pure silver, pure aluminum and pure tungsten.
  • metals include metallic copper, metallic gold, metallic silver, metallic aluminum and metallic tungsten.
  • alloys include, but are not limited to, copper alloys, gold alloys, silver alloys, aluminum alloys and tungsten alloys.
  • An example of an alloy is a copper aluminum alloy.
  • the metallic material may comprise pure copper or a copper alloy.
  • the metallic material may comprise the element copper (the element Cu).
  • the metal seed layer, the first metal layer, the second metal layer and the third metal layer may all be formed of the same material or they (e.g. two or more of the layers) may be formed of different materials.
  • One or more of the layers may be formed as a heterogeneous mixture of two or more materials.
  • One or more of the layer may be a composite material.
  • One or more of the layers may be formed as two or more sub-layers.
  • one or more embodiments may be a semiconductor chip and/or semiconductor device and/or semiconductor structure having a metallization layer comprising a plurality of metal lines having a plurality of thicknesses.
  • the metal lines may all be spacedly disposed from each other.
  • the metal lines may all be electrically isolated from each other.
  • the final metal layer may include a plurality of metal lines having the same thickness.
  • the metallization layer may be a final or top metal layer.
  • the metal lines may be final or top metal lines.
  • the metal lines (such as final metal lines) as described herein may have any widths.
  • the thicker metal lines may have a wider width than a thinner line. However, this does not have to be the case. It may also be possible that a thicker line be narrower than a thinner line.
  • the metallization layer (such as final or top metal layer) may have at least one thicker metal line and at least one thinner metal line. In one or more embodiments, there may be at least two thicker metal lines. In one or more embodiments, there may be at least one thin metal line. The thicker and thinner metals may all be spacedly disposed from each other. The thicker and thinner metal lines may all be electrically isolated from each other.
  • a semiconductor device and/or a semiconductor chip and/or a semiconductor structure having a metallization layer (such as a final or top metal layer) with a plurality of metal lines (such as final or top metal lines) with a plurality of thicknesses (for example, with both thicker and thinner metal lines) may have many applications.
  • semiconductor devices and chips in Smart Power technologies may include DMOS transistors which require relatively thick metal lines (such as final metal lines). Such lines may require a relatively wide pitch (distance between lines).
  • the same semiconductor devices and chips may include logic applications which require a metallization system with a relatively fine or narrow pitch. For the logic applications, thinner metal lines (such as thinner final metal lines) may be better suited so as to accommodate a finer pitch.

Abstract

One or more embodiments are related to a semiconductor device, comprising: a metallization layer comprising a plurality of portions, each of the portions having a different thickness. The metallization layer may be a final metal layer.

Description

    FIELD OF THE INVENTION
  • Generally, the present invention relates to semiconductor devices and methods of making semiconductor devices. More particularly, the present invention relates to metallization technology.
  • BACKGROUND OF THE INVENTION
  • Chips in certain technologies may include electronic devices and circuits that may require final or top metal lines having a relatively large thickness. However, the same chip may also include electronic devices and circuits may require final or top metal lines with a relatively fine pitch.
  • SUMMARY OF THE INVENTION
  • An embodiment of the invention is a semiconductor device, comprising: a metallization layer comprising at least a first metal line and a second metal line spacedly disposed from the first metal line, the first metal line having a first thickness, the second metal line having a second thickness greater than the first thickness. In one or more embodiments, the metallization layer may be a final metal layer.
  • An embodiment of the invention is a semiconductor device, comprising: a metallization layer comprising a plurality of portions, each of the portions having a different thickness. In one or more embodiments, the metallization layer may be a final metal layer.
  • An embodiment of the invention is a semiconductor structure, comprising: a metal layer comprising at least one metal line, the metal line comprising a plurality of portions, each of the portions having a different thickness. In one or more embodiments, the metal layer may be a final metal layer and the metal line may be a final metal line.
  • An embodiment of the invention is a method for forming a final metal layer of semiconductor device, comprising: providing a surface; forming a first metal layer over a portion of the surface; and forming a second metal layer over at least a portion of the first metal layer and/or over a portion of the surface that is not occupied by the first metal layer.
  • An embodiment of the invention is a method for forming a semiconductor device, comprising: providing a metal seed layer; first electroplating a first metal layer over the metal seen layer; and second electroplating a second metal layer over the first metal layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 through 10 provide an embodiment of a method of making an embodiment of a semiconductor device;
  • FIGS. 11 through 13A provide an embodiment of a method of further processing the semiconductor device from FIG. 10; and
  • FIG. 13B provides an embodiment of a semiconductor device;
  • FIG. 13C provides an embodiment of a semiconductor device;
  • FIG. 14 provides an embodiment of a semiconductor device;
  • FIGS. 15A through 15D shows an embodiment for making an embodiment of a semiconductor device;
  • FIGS. 16A through 16D shows an embodiment for making an embodiment of a semiconductor device;
  • FIGS. 17A through 17D shows an embodiment for making an embodiment of a semiconductor device;
  • FIGS. 18A through 18D show an embodiment of a method of making an embodiment of a semiconductor device;
  • FIG. 19 shows an embodiment of a semiconductor device;
  • FIG. 20A shows an embodiment of a final metal layer;
  • FIG. 20B shows an embodiment of a final metal layer;
  • FIG. 20C shows an embodiment of a final metal layer;
  • FIG. 20D shows an embodiment of a final metal layer;
  • FIG. 20E shows an embodiment of a final metal layer;
  • FIG. 20F shows an embodiment of a final metal layer; and
  • FIG. 20G shows a cross sectional view of an embodiment of a semiconductor device.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The following detailed description refers to the accompanying drawings that show, by way of illustration, specific details and embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the invention. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.
  • FIGS. 1 through 10 provide an embodiment of a method of making an embodiment of a semiconductor device. FIG. 1 shows a semiconductor structure 100 of an embodiment of a partially completed semiconductor chip or device. The structure 100 comprises a substrate 210. In one or more embodiments of the invention, the substrate 210 may be a p-type substrate. However, more generally, in one or more embodiments of the invention, the substrate may be a silicon substrate or other suitable substrate. The substrate may be a silicon-on-insulator (SOI) substrate. The SOI substrate may, for example, be formed by a SIMOX process. The substrate may be a silicon-on-sapphire (SOS) substrate. The substrate may be a silicon-on-germanium substrate.
  • Formed over the substrate 210 is a layer 220. The layer 220 may itself comprise one or more levels of metallization layers, inter-level dielectric layers, vias, plugs etc. The combination of the layer 210 and layer 220 may be viewed as a workpiece or a support structure for the deposition of additional layers over such a workpiece or support. In one or more embodiments, a top portion of the layer 220 may comprise an inter-level dielectric layer having vias and plugs.
  • Referring to FIG. 1, a barrier layer 230 may be formed over the layer 220. The barrier layer 230 may comprise a conductive material. The barrier layer 230 may comprise a metallic material. The barrier layer 230 may comprise one or more of the elements from the group consisting of Ti, Ta, N and W. The barrier layer 230 may comprise a Ti-based material or a Ta-based material. The barrier layer 230 may comprise one or more materials selected from the group consisting of TiW, WN, TiN, and TaN. The barrier layer may be formed as a composite or as a dual-layered system such as a titanium/TiN or a tantalum/TaN dual-layer. The barrier layer 230 may serve to lower or prevent diffusion between the materials that are on opposites sides of the barrier layer. The barrier layer 230 may be deposited by a chemical vapor deposition (CVD) or a physical vapor deposition (PVD) process.
  • Again referring to FIG. 1, a metal seed layer 240 may be formed over the barrier layer 230. The metal seed layer 240 may be formed by a sputtering process or by a chemical vapor deposition (CVD) process. In one or more embodiments, the thickness of the metal seed layer may be about 5000 angstroms or less. In another embodiment, the thickness may be about 2000 angstroms or less. In another embodiment, the thickness may be about 1000 angstroms or less.
  • Referring to FIG. 2, a galvanic resist 250 may be formed over the metal seed layer 240. The galvanic resist 250 may be applied by a spin on process. Suitable galvanic resists are available commercially. Referring to FIG. 3, the galvanic resist 250 may be patterned and a portion may be removed from particular locations over the metal seed layer 240.
  • Referring to FIG. 4, a first metal layer 260 may be deposited over the metal seed layer in places where the portions of galvanic resist were removed. Generally, the thickness of the first metal layer 260 is not limited to any particular thickness. In one or more embodiments, the first metal layer 260 may have a thickness of about 2000 nm or less. In one or more embodiments, the first metal layer 260 may have a thickness of about 1000 nm (1000 nanometers) or less. In one or more embodiments, the first metal layer 260 may have a thickness of about 500 nm or less. In one or more embodiments, the first metal layer 260 may have a thickness of about 250 nm or less. In one or more embodiments, the first metal layer 260 may have a thickness of about 200 nm or less. In one or more embodiments, the first metal layer 260 may have a thickness of about 150 nm or less. The thickness of the first metal layer 260 is shown as thickness T260 in FIG. 4.
  • The first metal layer 260 may be formed by an electroplating process. As a possible example of an electroplating process, pure copper may be electroplated by placing a wafer (which may, for example, have a layered arrangement similar to that shown in FIG. 3) into a solution of, for example, copper sulfate containing copper ions. The wafer (with a seed layer) may be electrically coupled to a power supply to form a cathode. A solid piece of pure copper may be placed in the solution and electrically coupled to the power supply to form an anode. At the cathode, copper ions are reduced to metallic copper. At the anode, the pure copper is oxidized. Other electroplating processes are, of course, possible. In other embodiments, other metallic materials (such a copper alloys) may be electroplated.
  • In the embodiment shown in FIG. 4, the first metal layer 260 is formed at about the height of the galvanic resist 250. However, in one or more embodiments, the first metal layer may be formed to a height which is below the height of the galvanic resist. In one or more embodiments, the first metal layer 260 may be formed to a height which is above the height of the galvanic resist 250.
  • Referring to FIG. 5, after the first metal layer 260 is formed, the remaining galvanic resist 250 may be removed. Referring to FIG. 5, it is seen that a first metal layer 260 may be formed which comprises four spacedly disposed first metal layer portions 260A-D of the first metal layer 260. In one or more embodiments, a first metal layer 260 may be formed which comprises only a single continuous portion. In one or more embodiments, a first metal layer 260 may be formed which includes a plurality of spacedly disposed portions. In one or more embodiments, two or more first metal layer portions may have a distance between them which is less than about 600 nm. In another embodiment, the first metal layer portions may have a distance between them which is less than about 500 nm. As an example, the first metal layer portions may have a distance between them which is about 400 nm. The thickness of first metal layer 260 is also shown as thickness T260 in FIG. 5. Each of the spacedly disposed portions 260A-D may be viewed as a separate metal line.
  • Referring to FIG. 6, a second layer of galvanic resist 270 may be formed over the structure from FIG. 5. Referring to FIG. 6, the galvanic resist 270 may then be patterned (where portions of the galvanic resist are removed) to form the structure shown in FIG. 7. Referring to FIG. 7, it is seen that portions of the galvanic resist 270 have been removed so that openings 272 are formed overlying top surfaces of the first metal layer 260 where a second metal layer will be deposited. In particular, it is seen that openings 272 are formed so as to expose at least a portion of the top surface of each of the first metal layer portions 260A and 260D. The first metal layer portions 260B and 260C are left covered by the galvanic resist.
  • Referring to FIG. 8, a second metal layer 280 may then be deposited within the openings 272 formed in the galvanic resist. In the embodiment shown, the second metal layer 280 is disposed over and in electrical contact with the first metal layer portion 260A and the first metal layer portion 260D. The deposition of the second metal layer 280 may be formed using an electroplating process. In the embodiment shown, the height of the second metal layer 280 is level with the height of the resist 270. However, in other embodiments it is possible that the second metal layer 280 is deposited below the top surface of the resist 270 or that is it deposited above the top surface of the resist 270.
  • In one or more embodiments, the thickness of the second metal layer 280 (shown as thickness T280 is FIG. 8) may be greater than the thickness T260 of the first metal layer 260. In one or more embodiments, the thickness of the second metal layer 280 may be less than the thickness of the first metal layer 260. In one or more embodiments, the thickness of the second metal layer 280 may be about the same as the thickness of the first metal layer 260.
  • In one or more embodiments, the thickness T280 of the second metal layer 280 may be at least 2 times as large as the thickness of the first metal layer 260. In one or more embodiments, the thickness of the second metal layer 280 may be at least 5 times as large as the thickness of the first metal layer 260. In one or more embodiments, the thickness of the second metal layer 280 may be at least 10 times as large as the thickness of the first metal layer 260. In one or more embodiments, the thickness of the second metal layer 280 may be at least 20 times as large as the thickness of the first metal layer 260. In one or more embodiments, the thickness of the second metal layer 280 may be at least 100 times as large as the thickness of the first metal layer 260.
  • In one or more embodiments, the thickness of the second metal layer 280 may be about 1000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 1500 nm or greater. In one or more embodiments, the thickness of the second metal layer 280 may be about 2000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 2500 nm or greater. In one or more embodiments, the thickness of the second metal layer 280 may be about 3000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 5000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 10000 nm or greater. In one or more embodiments, the thickness of the second metal layer may be about 20000 nm or greater.
  • In the embodiment shown FIG. 8, the second metal layer 280 is deposited over the two spacedly disposed first metal layer portions 260A,D to form two metal lines M2 having a thickness T2. As shown, a portion 280A of the second metal layer 280 is deposited over the portion 260A of first metal layer 260 to form a first metal line M2. Likewise, a portion 280B of the second metal layer 280 is deposited over the portion 260B of first metal layer 260 for form a second metal line M2. The remaining two first metal layer portions 260B,C form metal lines M1 having a thickness T1 which is equal to the thickness T260 of the first metal layer. The thickness T2 of metal lines M2 is greater than the thickness T1 of metal lines M1. Hence, two groups of metal lines are formed. A first group of metal lines are the metal lines M1. These metal lines include the first metal layer 260 but not the second metal layer 280. A second group of metal lines are the metal lines M2. These metal lines include the first metal layer 260 and the second metal layer 280. In the embodiment shown, the metal lines M2 are thicker than the metal lines M1.
  • In an embodiment of the invention, there may be at least one thicker metal line and at least one thinner metal line (where a thicker metal line is thicker than a thinner metal line). In an embodiment of the invention, there may be a plurality of thicker metal lines. In an embodiment of the invention, there may be a plurality of thinner metal lines.
  • In one or more embodiments, the metal lines M1 may have a thickness T1 of about 2000 nm or less. In one or more embodiments, the thickness T1 may be about 1000 nm or less. In one or more embodiments, the thickness T1 may be about 500 nm or less. In one or more embodiments, the thickness T1 may be about 250 nm or less. In one or more embodiments, the thickness T1 may be about 200 nm or less. In one or more embodiments, the thickness T1 may be about 150 nm or less.
  • In one or more embodiments, the metal lines M2 may have a thickness T2 of about 500 nm or greater. In one or more embodiments, the metal lines M2 may have a thickness T2 of about 1000 nm or greater. In one or more embodiments, the thickness T2 may be about 1500 nm or greater. In one or more embodiments, the thickness T2 may be about 2000 nm or greater. In one or more embodiments, the thickness T2 may be about 2500 nm or greater. In one or more embodiments, the thickness T2 may be about 3000 nm or greater. In one or more embodiments, the thickness T2 may be about 5000 nm or greater. In one or more embodiments, the thickness T2 may be about 10000 nm or greater. In one or more embodiments, the thickness T2 may be about 20000 nm or greater.
  • In one or more embodiments, the thickness T2 of the metal lines M2 may greater than the thickness T1 of the metal lines M1. In one or more embodiments, the thickness T2 may be at least 2 times as large as the thickness T1. In one or more embodiments, the thickness T2 may be at least 5 times as large as the thickness T1. In one or more embodiments, the thickness T2 may be at least 10 times as large as the thickness T1. In one or more embodiments, the thickness T2 may be at least 20 times as large as the thickness T1. In one or more embodiments, the thickness T2 may be at least 50 times as large as the thickness T1. In one or more embodiments, the thickness T2 may be at least 100 times as large as the thickness T1.
  • Referring to FIG. 8, each of the metal lines M1 have a width W1 while each of the metal lines M2 have a width W2. In the embodiment shown, the width W2 of the thicker lines M2 is greater than the width W1 of the thinner lines M1 (so that W2>W1). However, this does not have to be the case so that it is also possible that the thicker lines M2 have a smaller width that the thinner lines M1 (so that W2<W1). It is also possible that the thinner lines M1 and the thicker lines M2 have the same width (so that W1=W2). Likewise, it is possible that the thicker lines M2 may each have a different width and/or the thinner lines M1 may each have a different width.
  • In the embodiment shown in FIG. 8, the distance between the two metal lines M1 is less than the distance between the two metal lines M2. However, this does not have to be the case. In one or more embodiments, the thinner metal lines M1 may have a finer pitch than the thicker metal lines M2, but this does not have to be the case.
  • In one or more embodiments, the distance between metal lines M1 may be about 600 nm or less. In one or more embodiments, the distance between metal lines M1 may be about 500 nm or less. In one or more embodiments, the distance between metal lines M1 may be about 400 nm or less.
  • In one or more embodiments, the distance between metal lines M2 may be about 800 nm or more. In one or more embodiments, the distance between metal lines M2 may be about 1000 nm or more. In one or more embodiments, the distance between metal lines M2 may be about 1500 nm or more.
  • Referring to FIG. 9, after the deposition of the second metal layer 280, the remaining portion of the galvanic resist may be removed. Referring to FIG. 10, after the galvanic resist is removed, in one embodiment of the invention, a portion of the barrier material 230 and the metal seed material 240 which is not underlying the material of the metal lines M2 or the material of the metal lines M1 may be removed. Removing these portions of the barrier material and the seed material serves to electrically isolate each of the metal lines from the other metal lines. The removal may be accomplished by an etching process. The etching process may be an anisotropic etching process. The etching process may be a wet etch or a dry etch.
  • At this point in the process, there are several different ways to proceed to continue the process. Referring to FIG. 11, after the thick and thin metal lines are electrically isolated from each other, a protective passivation layer 290 is deposited over the structure of FIG. 10 to form the structure shown in FIG. 11. Generally, the passivation layer 290 may be formed of any dielectric material. In one embodiment, the passivation layer 290 may comprise an imide such as a polyimide. In other embodiments, the passivation layer 290 may comprise an oxide, a nitride or an oxynitride. The passivation layer may, for example, be formed of silicon dioxide, silicon nitride, a silicon oxynitride or combinations thereof. In one or more embodiments, the passivation layer 290 may comprise one or more materials selected from the group consisting of SiN, SiON, SiC, SiO, SiO2, and combinations thereof.
  • Referring to FIG. 12, after the passivation layer 290 is formed, openings 292 may be formed in the passivation layer so that the metal lines M2 as well as the metal lines M1 are exposed. Referring to FIG. 13A, a passivation material 295 may then be disposed within each of the openings and on top of the exposed metallic material of each of the metal lines. The passivation material may comprise a metallic material. The passivation material 295 may be a single layer of a metallic material. The passivation material may include two or more layers of different materials. For example, the passivation material may include two layers such as NiP/Pd (a Pd layer over a NiP layer) or NiMoP/Pd (a Pd layer over a NiMoP layer). As another example, the passivation material may include three layers such as NiP/Pd/Au (an Au layer over a Pd layer over a NiP layer) or NiMoP/Pd/Au (an Au layer over a NiMoP layer over a NiMoP layer). It is possible that more than three layers be used.
  • In the embodiment shown in FIG. 13A, openings are formed to expose the metal lines M2 and the metal lines M1. In one or more embodiments, openings 295 may be formed only to expose the metal lines M2 and not the metal lines M1. This is shown in FIG. 13B. Likewise, in one or more embodiments, openings 295 may be formed only to expose the metal lines M1 and not the metal lines M2. This is shown in FIG. 13C. Also, in one or more embodiments, openings may be formed to only to expose a portion of metal lines M2 and/or to only to expose a portion of the metal lines M1.
  • FIG. 14 shows another way of continuing the process from what is shown in FIG. 10. Referring FIG. 14, the metal lines M1, M2 may be passivated by a passivation material 395. The passivation material 395 may be substantially conformally deposited over the metal lines M1 and M2 as well as over the sidewall surfaces of the seed layer 240 and the sidewall surfaces of the barrier layer 230. The passivation material 395 may not remain on the sidewall surfaces of the barrier layer 230 so it is not shown over these surfaces in FIG. 14. In another embodiment, it is possible that the passivation layer 395 may also remain on the sidewall surfaces of the barrier layer 230.
  • In one or more embodiments, the passivation material 395 may comprise one or more metallic materials. The passivation material 395 may, for example, be a single layer of a metallic material or it may comprise two or more layers of different metallic materials. Examples of passivation layers include Ni, NiPd, NiP, Ni/Pd (a dual layer), NiP/Pd (a dual layer), NiP/Pd/Au (a tri-layer), NiMoP, CoW, CoWP, NiMoP/Pd, Ni, Ni/Pd. A first layer may, for example, be an NiP layer (or an NiMoP layer, or an NiMoP layer, or a CoWP layer or a CoW layer, etc). This first layer may have a thickness of at least 300 nm. In one or more embodiments, the thickness of the first layer may be between about 500 nm and about 5000 nm. A second metallic layer may be formed on top of the first metallic layer (which may, for example, be a layer of NiP). The second metallic layer may be a Pd layer. This second metallic layer may have a thickness of about 100 nm or greater. In one or more embodiments, the second metallic layer may have a thickness of about 100 nm to about 500 nm. Over the second layer, we place a third metallic layer. The third metallic layer may be a layer of silver or silver alloy. This third metallic layer may have a thickness of about 100 nm or less. In one or more embodiments, the third layer may have a thickness of about 50 nm or less. The first, second and third layers form a sandwich of materials. This sandwich may be a NiP/Pd/Au sandwich.
  • Referring again to FIG. 10, in another embodiment, it is possible the metal lines M1, M2 are not passivated at all.
  • Referring, to FIGS. 13A,B,C or to FIG. 14, it is seen that, in one or more embodiments, two electrically isolated thinner metal lines M1 and two electrically isolated thicker metal lines M2 are formed. More generally, in one or more embodiments, one or more thicker metal lines may be formed and, one or more thinner metal lines may be formed where the thicker metal lines are thicker than the thinner metal lines. In one or more embodiments, two or more of the metal lines may be spacedly disposed for each other. In one or more embodiments, two or more of the metal lines may be electrically isolated from each other. In one or more embodiments, two or more of the metal lines may be electrically coupled together.
  • The thicker and the thinner metal lines may all be part of the final metal layer of a semiconductor device. Hence, a final metal layer for a semiconductor device or semiconductor chip may be formed which comprises at least a first metal layer and a second metal layer formed after the first metal layer. In one or more embodiments, the first and second metal layers may form a plurality of metal lines. In one or more embodiments, the metal lines may be spacedly disposed from each other (for example, they may be physically spaced apart from each other). One or more of the metal lines may have a first thickness while one or more of the metal lines may be have a second thickness which is thicker than the first thickness.
  • As part of a final metal layer (also referred to as a top metal layer), in one or more embodiments, it is possible that the thin metal lines may be used for logic applications while the thick metal lines may be used for power applications. The thick and thin metal lines which are part of the final or top metal layer may be referred to as final metal lines or top metal lines. Hence, the final metal layer may include at least one thinner final metal line and at least one thicker final metal line where the thicker lines have a thickness greater than the thinner lines.
  • It is noted that the process described above shows the formation of a final metal layer having one or more final metal lines with a first thickness and one or more final metal lines with a second thickness greater than the first thickness. However, the process may be continued by forming (such as by a growth process or a deposition process) one or more additional metal layers (possibly, for example, by depositing additional layers of galvanic resist, patterning these layers and using an electroplating process to deposit additional metal layers). A final metal layer may be formed which comprises a plurality of final metal lines. The plurality of final metal lines may have a plurality of thicknesses. The plurality of final metal lines may be spacedly disposed from each other. At least two of the plurality of final metal lines may be electrically isolated from each other. At least two of the plurality of final metal lines may be electrically coupled to each other.
  • Referring again to the embodiments shown in FIG. 8, FIG. 12 or in FIGS. 13A through 13C, it is seen that in the embodiment shown, the metal lines M2 are formed so that the portion 260A of first metal layer 260 completely underlies the portion 280A of second metal layer 280. Likewise, the portion 260B of the first metal layer 260 completely underlies the portion 280B of the second metal line 280.
  • Another embodiment is shown in FIG. 15D where the second metal layer 280 only partially overlies the first metal layer portion 260A. (Of course, in yet another embodiment, the second metal layer 280 may made wider so that all of the portion 260A underlies the layer 280). In the embodiment shown in FIG. 15D, the metal line M1 includes the first metal layer portion 260B but does not include any portion of the second metal layer 280. The metal line M1 has a thickness T1. The metal line M3 includes the first metal layer portion 260A as well as the second metal layer 280. The metal line M3 has a thickness T3. The thickness T3 of metal line M3 is greater than the thickness T1 of the metal line M1. FIG. 15D shows how the metal lines M1 and M3 may be electrically isolated from each other by etching through the barrier layer 230 and seed layer 240. FIG. 15D further shows passivation layer 290 and passivation layer 295. The metal lines M1, M3 may also be passivated in a way which is similar to that shown in FIG. 14.
  • FIGS. 15A through 15D describes an embodiment for a method of making the embodiment shown in FIG. 15D. FIG. 15A shows that the first metal layer 260 comprises spacedly disposed first layer portions 260A and 260B. These first layer portions 260A, 260B may be formed in a manner similar to that shown in FIGS. 1 through 5. Referring to FIG. 15B, a galvanic resist 270 may be formed over the structure from FIG. 15A. An opening 272 may be formed in the resist. A second metal layer 280 may be formed within the opening. This may be done using an electroplating process. The second metal layer 280 partially overlies the portion 260A of first metal layer 260. A portion of layer 280A is also formed on the seed layer 240. As shown in FIG. 15C, the galvanic resist 272 may then be removed. Referring to FIG. 15D, the seed layer 240 and the barrier layer 230 may then be etched at certain locations so that the metal lines M1 and M3 become electrically isolated. A passivation layer 290 may then be formed over the structure, an opening 292 may be formed over the second metal layer 280 and a passivation layer 295 may be formed.
  • In the embodiment shown in FIG. 15C, the metal line M3 has a width W3 and a thickness T3. The metal line M1 has a width W1 and a thickness T1. In one or more embodiments, the width W3 may be greater than the width W1. In one or more embodiments, the width W3 may be less than the width W1. In one or more embodiments, the width W3 may be equal to the width W1.
  • Another embodiment is shown in FIG. 16D where the second metal layer 280 is spacedly disposed from the first metal layer 260. In the embodiment shown in FIG. 16D, the metal line M1 includes the first metal layer 260 but does not include any portion of the second metal layer 280. The metal line M1 has a thickness T1. Likewise, metal line M4 includes the second metal layer 280 but does not include any portion of the second metal layer 280. The metal line M4 has a thickness T4. The thickness T4 of the metal line M4 is greater than the thickness T1 of the metal line M1. FIG. 16D shows how the metal lines M1 and M4 may be electrically isolated from each other by etching through the barrier layer 230 and seed layer 240. FIG. 16D further shows passivation layer 290 and passivation layer 295. The metal lines M1, M4 may also be passivated in a way which is similar to that shown in FIG. 14.
  • FIGS. 16A through 16D describes an embodiment for a method of making the embodiment shown in FIG. 16D. FIG. 16A shows a first metal layer 260. This layer may be formed by an electroplating approach similar to that shown in FIGS. 1 through 5. Referring to FIG. 16B, a galvanic resist 270 may be formed over the structure from FIG. 16A. An opening 272 may be formed in the resist. A second metal layer 280 may be formed within the opening. This may be done using an electroplating process. The second metal layer 280 is formed on the seed layer 240 but not on the first metal layer 260. As shown in FIG. 16C, the galvanic resist 270 may then be removed. Referring to FIG. 16D, the seed layer 240 and the barrier layer 230 may then be etched at certain locations so that the metal lines M1 and M4 become electrically isolated. A passivation layer 290 may then be formed over the structure, an opening 292 may be formed over the second metal layer 280 and a passivation layer 295 may be formed. In the embodiment shown in FIGS. 16A through 16D, the first layer 260 was deposited before the second layer 280. However, in another embodiment, it is possible that the layer 280 (the thicker one) is deposited before layer 260 (the thinner one).
  • In the embodiment shown in FIG. 16C, the metal line M4 has a width W4 and a thickness T4. The metal line M1 has a width W1 and a thickness T1. In one or more embodiments, the width W4 may be greater than the width W1. In one or more embodiments, the width W4 may be less than the width W1. In one or more embodiments, the width W4 may be equal to the width W1.
  • Another embodiment is shown in FIG. 17D where there are two spacedly disposed metal lines M4 and M3. In the embodiment shown in FIG. 17D, the metal line M4 comprises the second metal layer 280 but not the first metal layer 260. The metal line M4 has a thickness T4.
  • Referring to FIG. 17C, the second metal line M3 comprises the first metal layer 260 and the portion 280B of the second metal layer 280. Metal line M3 comprises a first portion P1 that includes the first metal layer 260 but not the second metal layer 280. This portion P1 has a thickness T260 of the first metal layer 260. The metal line M3 comprises a second portion P2 that includes the second metal layer 280 but not the first metal layer 260. This second portion P2 has a thickness T280 which is the thickness of the second metal layer 280. The metal line M3 has a third portion P3 which includes the first metal layer 260 and the second metal layer 280. The portion P3, shown in FIG. 17C, is that portion of metal line M3 where the second metal layer 280 overlies the first metal layer 260. The thickness of the portion P3 is the sum of the thickness T260 of first metal layer 260 and the thickness T280 of second metal layer 280. This is shown as thickness TOVERLAP. The thickness T3 of the metal line M3 is the maximum of the thicknesses of each of the portions P1, P2 and P3. Hence, the metal line M3 has a thickness T3 which is equal to the thickness TOVERLAP.
  • In the embodiment shown in FIG. 17C, the metal line M3 has three portions where each portion has a different thickness. In the embodiment shown in FIG. 17C, the thickness of metal line M3 changes in the direction along the width of the metal line.
  • It is noted that this discuss of metal line M3 as shown in FIGS. 17C and 17D is also true for the metal line M3 shown in FIGS. 15C and 15D.
  • FIGS. 17A through 17D describes an embodiment for a method of making the embodiment shown in FIG. 17D. FIG. 17A shows a first metal layer 260. This layer may be formed by an electroplating approach similar to that shown in FIGS. 1 through 5. Referring to FIG. 17B, a galvanic resist 270 may be formed over the structure from FIG. 17A. Openings 272A and 272B may be formed in the resist. A second metal layer 280 may be formed within each of the openings. Portion 280A is formed in opening 272A. Portion 280B is formed in opening 272B. This may be done using an electroplating process. As shown in FIG. 17C, the galvanic resist 270 may then be removed. Referring to FIG. 17D, the seed layer 240 and the barrier layer 230 may then be etched at certain locations so that the metal lines M3 and M4 become electrically isolated from each other. A passivation layer 290 may then be formed over the structure, an opening 292 may be formed over the second metal layer 280 and a passivation layer 295 may be formed.
  • In the embodiment shown in FIG. 17C, the metal line M3 has a width W3 and a thickness T3. The metal line M4 has a width W4 and a thickness T4. In one or more embodiments, the width W4 may be greater than the width W3. In one or more embodiments, the width W4 may be less than the width W3. In one or more embodiments, the width W4 may be equal to the width W3.
  • Another embodiment is shown in FIG. 18D where there are two spaceldly disposed metal lines M1 and M5. In the embodiment shown in FIG. 18D, the metal line M5 comprises the second metal layer 280 as well as a third metal layer. The metal line M1 comprises the first metal layer 260 but not the second metal layer 280 and not the third metal layer 330. In the embodiment shown, the metal line M5 has a thickness T5 that is larger than the thickness T1 of the metal line M1.
  • FIGS. 18A through 18D describes an embodiment for a method of making the embodiment shown in FIF. 18D. FIG. 18A shows a first metal layer 260 and a second layer 280. These layers may be formed by two electroplating steps: one for layer 260 and one for layer 280. This may be done using processing steps similar to those described for FIGS. 1 through 8. Referring to FIG. 18B, a galvanic resist 270′ may be formed over the structure from FIG. 18A. Opening 272′ may be formed in the resist 270′ over the metal layer 280.
  • Referring to FIG. 18C, an electroplating process may then be used to form a third metal layer 330 over a top surface of the second metal layer 280. Referring to FIG. 18D, the barrier layer 230 and seed layer 240 may be etch through and the galavanic resist 270′ may be removed. A passivation layer 290 may be applied.
  • Hence, referring to FIGS. 18C and 18D, it is seen that two metal lines are formed by the process. These are metal line M1 and metal line M5. The metal line M1 includes the first metal layer 260 but not the second metal layer 280 or the third metal layer 330. A metal line M5 is formed that include a second metal layer 280 and a third metal layer 330.
  • In the embodiment shown in FIG. 18C, the metal line M5 has a width W5 and a thickness T5. The metal line M1 has a width W1 and a thickness T1. In one or more embodiments, the width W5 may be greater than the width W1. In one or more embodiments, the width W5 may be less than the width W1. In one or more embodiments, the width W5 may be equal to the width W1.
  • The third metal layer 330 shown in FIGS. 18C and 18D may comprise any metallic material. The metallic material may comprise a pure metal or a metal alloy. The metallic material may, for example, comprise Pd/Ni, Co, CoW, CoWP, NiB, Ni, NiP, Sn, Ag, Au, Pd, Cu or a combination or sandwich of two or more of these materials (for example, PdNi, Ni/Pd, NiPd/Pd/Au, NiP/Ni/Pd/Au, etc) Generally, the third metal layer may have any thickness. In one or more embodiments, the thickness of the third metal layer 330 may be about 500 nm or greater. The thickness of the third metal layer may even reach about 5000 nm
  • In the embodiment shown, the third metal layer 330 is thinner than the second metal layer 280. Hence, the embodiment shows how a thinner metal layer may be formed over a thicker metal layer. However, in another embodiment, it is possible that the third metal layer is thicker than the second metal layer. Likewise, in another embodiment, it is possible that the third metal layer 330 has about the same thickness as the second metal layer 280. In another embodiment, it is also possible that the third metal layer 330 be formed over the first metal layer 260.
  • In the embodiments shown in FIGS. 9, 16A, 17A, and 18A the first metal layer 260 may be formed before the second metal layer 280. In the embodiment shown, the first metal layer 260 is thinner than the second metal layer 280. However, in one or more embodiments, it is also possible that the first metal layer be thicker than the second metal layer so that the thicker layer is formed before the thinner layer. Hence, in one or more embodiments of the invention the metal lines having different thickness may be formed by first depositing a thick metal layer and then depositing a thin metal layer in a downstream processing step.
  • Generally, two or more metal layers (such as first metal layer 260, second metal layer 280 and third metal layer 330 as shown in FIG. 18D) to form a final metal layer having a plurality of final metal lines with a plurality of thicknesses. Each of the final metal lines may be spacedly disposed from the other final metal lines. Each of the final metal lines may be electrically isolated from the other metal lines. Two or more of the final metal lines may be electrically coupled together. Two or more may be coupled to the same ground or to the same potential). The final metal layer may have final metal lines with two, three, four, five or more thicknesses.
  • FIG. 19 shows an embodiment of a structure of the present invention wherein a metallization layer includes a metal line M1 having a thickness T1, a metal line M4 with a thickness T4 and a metal line T5 with a thickness T5. In the embodiment shown, the thickness T5 is greater than the thickness T4 which is greater than the thickness T1. The metal line M1 is formed from first metal layer 260. The metal line M4 is formed from the second metal layer 280. The final metal line M5 is formed from both the second metal layer 280 and the third metal layer 330. Hence, this is an example of a metallization layer having metal lines with three different thicknesses. In one or more embodiments, the metallization layer may be a final metal layer. Likewise, the metal lines M1, M4 and M5 may be final metal lines.
  • Another embodiment of is shown in FIGS. 20A through 20G. The embodiment shows an example of a final metal layer comprising a metal line M6 that has a plurality of portions having different thicknesses. The metal line M6 is formed from a first metal layer 260 (that includes a first portion 260A and a second portion 260B) and a second metal layer 280. FIG. 20A shows a top view of the metal line M6. FIG. 20G shows a cross sectional view of metal line M6 through X-X that shows the substrate 210, the layer 220, the barrier layer 230 and the seed layer 240 (e.g. metallic seed layer).
  • The metal line M6 may be formed in different ways. In one or more embodiment, the metal line M6 may be formed by depositing a first galvanic resist over the seed layer 240, patterning the first galvanic resist to form openings in the first resist, depositing the first metal layer 260 by an electroplating process to form portions 260A and 260B, removing the first galvanic resist, depositing a second galvanic resist, patterning the second galvanic resist to form an opening in the second galvanic resist, depositing the second metal layer 280, and removing the second galvanic resist. Referring to FIGS. 20A and 20F, it is seen that a portion of layer 280 overlies a portion of layer 260.
  • Referring to FIG. 20A, it is seen that the portions 260A and 260B of the first metal layer 260 each has a width W260. The metal layer 280 has a width W280. In the embodiment shown, the width W280 of the second metal layer 280 is larger than the then width W260 of the first metal layer 260. However, in other embodiments, the width of first metal layer 260 may be greater than the width of second metal layer 280. Likewise, in other embodiments, the width of first metal layer 260 may the same as the width of second metal layer 280.
  • Referring to FIG. 20B, the portions 260A and 260B of the first metal layer 260 each has a length L260. Referring to FIG. 20C, the second metal layer 280 has a length L280. In the embodiment shown, it is seen that the length L280 of metal layer 280 has a bend. In the embodiment shown, the length of the metal layer 280 is greater than the length of each of the portions 260A and 260B of the metal layer 260. But, in other embodiments, the length of the metal layer 280 may be less than the length of one or both of the portions 260A, 260B of the metal layer 260.
  • The total lengthwise direction of the metal line M6 may be viewed as the combination of the length L260 of metal layer 260 and length L280 of metal layer 280. This is shown as length LM6 that is shown in FIG. 20D.
  • Referring to FIG. 20G it is seen that the metal layer 280 has a thickness T280. In addition, the portions 260A and 260B of metal layer 260 each have a thickness T260. Referring to FIGS. 20E and 20G, it is seen that the metal line M6 comprises a first portion P1 that includes only the first metal layer 260 having a first thickness T260. The metal line M6 comprises a second portion P2 that includes only the second metal layer 280 having a second thickness T280. The metal line M6 also includes a third portion P3 where the second metal layer 280 overlaps the first metal layer 260. This portion P3 includes both the first metal layer 260 and the second metal layer 280. This portion P3 has a thickness TOVERLAP which may be essentially equal to the combined thickness of the first metal layer 260 and the second metal layer 280. The thickness of the entire line M6 is considered to be the maximum of the thicknesses of the portions P1, P2 and P3. Hence, the thickness of the entire line M6 has a thickness T6 which is equal to the thickness TOVERLAP.
  • Hence, the metal line M6 comprises three portions with three different thicknesses. More generally, in one or more embodiments, a metal line may have a plurality of portions where each portion has a different thickness. In one or more embodiments, the thickness may change along the width of the metal line. An example of this embodiment is shown as metal line M3 shown in FIG. 17C. In one or more embodiments, the thickness may change along the length of the metal line. An example of this embodiment is shown as metal line M6 in FIG. 20A. In one or more embodiments, it is also possible that the thickness changes in the direction along the width of the metal line and in the direction along the length of the metal line.
  • A metal line such as the metal line M6 shown in FIG. 20A may be useful. For example, referring to FIG. 20F, it is seen that one end of the thicker portion 280 may be electrically coupled to a power supply 500. The power from the power supply 500 may be distributed from the thicker portion 280 to the thinner portions 260. The thinner portions may be used to distribution the power to logic or analog circuits 600.
  • In one or more embodiments, it is possible to form a single metal line that comprises a plurality of portions. Each of the portions may have different thickness. Generally, metal lines may be formed that have any shape. As one example, they may be straight. As another example, they may be bent.
  • All of the concepts described above may be useful for the formation of a final or top metal layer and for the formation of final or top metal lines of a semiconductor chip or device. However, it is understood that the discussion is applicable to the metallization layer of any metallization level of a semiconductor chip, a semiconductor device and/or a semiconductor structure. In one or more embodiments, metallization layers may, for example, be referred to as Metal-1, Metal-2, and so on.
  • It is noted that all of the metal layers described herein may comprise any metallic material. All of the metal layers described herein such as, without limitation, the metal seed layer (such as metal seed layer 240 shown in FIG. 7), the first metal layer (such as first metal layer 260 shown in FIG. 7), the second metal layer (such as second metal layer 280 shown in FIG. 8) as well as the third metal layer (such as third metal layer 330 shown in FIG. 18C) may comprise any metallic material. The metallic material may be a pure metal or an alloy. In one or more embodiments, it is possible that a pure metal may include trace amounts of impurities.
  • The metallic material may be an alloy. The alloy may comprise two or more metallic elements. The alloy may consist essentially of two or more metallic elements. The alloy may comprise a metallic element and a non-metallic element. In one or more embodiments, the alloy may, for example, be steel. The alloy may comprise the element carbon. Examples of pure metals include, but are not limited to, pure copper, pure gold, pure silver, pure aluminum and pure tungsten. Examples of metals include metallic copper, metallic gold, metallic silver, metallic aluminum and metallic tungsten. Examples of alloys include, but are not limited to, copper alloys, gold alloys, silver alloys, aluminum alloys and tungsten alloys. An example of an alloy is a copper aluminum alloy. The metallic material may comprise pure copper or a copper alloy. The metallic material may comprise the element copper (the element Cu). The metal seed layer, the first metal layer, the second metal layer and the third metal layer may all be formed of the same material or they (e.g. two or more of the layers) may be formed of different materials. One or more of the layers may be formed as a heterogeneous mixture of two or more materials. One or more of the layer may be a composite material. One or more of the layers may be formed as two or more sub-layers.
  • Hence, one or more embodiments may be a semiconductor chip and/or semiconductor device and/or semiconductor structure having a metallization layer comprising a plurality of metal lines having a plurality of thicknesses. The metal lines may all be spacedly disposed from each other. The metal lines may all be electrically isolated from each other. In one or more embodiments, the final metal layer may include a plurality of metal lines having the same thickness. The metallization layer may be a final or top metal layer. The metal lines may be final or top metal lines.
  • It is noted that the metal lines (such as final metal lines) as described herein may have any widths. In one or more embodiments, the thicker metal lines may have a wider width than a thinner line. However, this does not have to be the case. It may also be possible that a thicker line be narrower than a thinner line.
  • As an example, the metallization layer (such as final or top metal layer) may have at least one thicker metal line and at least one thinner metal line. In one or more embodiments, there may be at least two thicker metal lines. In one or more embodiments, there may be at least one thin metal line. The thicker and thinner metals may all be spacedly disposed from each other. The thicker and thinner metal lines may all be electrically isolated from each other.
  • A semiconductor device and/or a semiconductor chip and/or a semiconductor structure having a metallization layer (such as a final or top metal layer) with a plurality of metal lines (such as final or top metal lines) with a plurality of thicknesses (for example, with both thicker and thinner metal lines) may have many applications. For example, semiconductor devices and chips in Smart Power technologies may include DMOS transistors which require relatively thick metal lines (such as final metal lines). Such lines may require a relatively wide pitch (distance between lines). On the other hand, the same semiconductor devices and chips may include logic applications which require a metallization system with a relatively fine or narrow pitch. For the logic applications, thinner metal lines (such as thinner final metal lines) may be better suited so as to accommodate a finer pitch.
  • It is to be understood that the disclosure set forth herein is presented in the form of detailed embodiments described for the purpose of making a full and complete disclosure of the present invention, and that such details are not to be interpreted as limiting the true scope of this invention as set forth and defined in the appended claims.

Claims (25)

1. A semiconductor device, comprising:
a metallization layer comprising at least a first metal line and a second metal line spacedly disposed from said first metal line, said first metal line having a first thickness, said second metal line having a second thickness greater than said first thickness.
2. The device of claim 1, wherein said metallization layer is a final metal layer.
3. The device of claim 1, wherein said first metal line is electrically insulated from said second metal line.
4. The device of claim 1, wherein said final metal layer comprises a pure metal or an alloy.
5. The device of claim 1, wherein said final metal layer comprises the element copper.
6. The device of claim 1, wherein said first metal line comprises a first metal layer, said second metal line comprising a second metal layer at least partially overlying said first metal layer.
7. A semiconductor device, comprising:
a metallization layer comprising a plurality of portions, each of said portions having a different thickness.
8. The device of claim 7, wherein said metallization layer is a final metal layer.
9. The device of claim 7, wherein each of said portions are spacedly disposed from each other.
10. The device of claim 7, wherein said portions are all part of single metal line.
11. The device of claim 7, wherein said metallization layer comprises the element copper.
12. A semiconductor structure, comprising:
a metallization layer comprising at least one metal line, said metal line comprising a plurality of portions, each of said portions having a different thickness.
13. The structure of claim 12, wherein said metallization layer is a final metal layer and said metal line is a final metal line.
14. The device of claim 12, wherein said metal line comprises the element copper.
15. The device of claim 12, wherein said metal line comprises pure copper or a copper alloy.
16. A method for forming a final metal layer of semiconductor device, comprising:
providing a surface;
forming a first metal layer over a portion of said surface; and
forming a second metal layer over at least a portion of said first metal layer and/or over a portion of said surface that is not occupied by said first metal layer.
17. The method of claim 16, wherein said second metal layer is formed over at least a portion of said first metal layer.
18. The method of claim 16, wherein said second metal layer is formed over at least a portion of said surface not occupied by said first metal layer.
19. The method of claim 16, wherein said surface is the surface of a metal seed layer.
20. The method of claim 16, wherein said first metal layer is formed by an electroplating process.
21. The method of claim 16, wherein said second metal layer is formed by an electroplating process.
22. The method of claim 16, wherein said first metal layer comprises the element copper and said second metal layer comprises the element copper.
23. A method for forming a semiconductor device, comprising:
providing a metal seed layer;
first electroplating a first metal layer over said metal seen layer; and
second electroplating a second metal layer over said first metal layer.
24. The method of claim 23, wherein said metal seed layer, said first metal layer and said second metal layer comprise the element copper.
25. The method of claim 23, wherein said metal seed layer, said first metal layer and said second metal layer comprise pure copper or a copper alloy.
US11/859,799 2007-09-24 2007-09-24 Semiconductor Device with Multi-Layer Metallization Abandoned US20090079080A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/859,799 US20090079080A1 (en) 2007-09-24 2007-09-24 Semiconductor Device with Multi-Layer Metallization
DE102008047916.0A DE102008047916B4 (en) 2007-09-24 2008-09-19 Semiconductor device with multi-layer metallization and associated method
US15/054,318 US10002830B2 (en) 2007-09-24 2016-02-26 Semiconductor device with multi-layer metallization
US16/008,094 US11222812B2 (en) 2007-09-24 2018-06-14 Semiconductor device with multi-layer metallization

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/859,799 US20090079080A1 (en) 2007-09-24 2007-09-24 Semiconductor Device with Multi-Layer Metallization

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/054,318 Division US10002830B2 (en) 2007-09-24 2016-02-26 Semiconductor device with multi-layer metallization

Publications (1)

Publication Number Publication Date
US20090079080A1 true US20090079080A1 (en) 2009-03-26

Family

ID=40418363

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/859,799 Abandoned US20090079080A1 (en) 2007-09-24 2007-09-24 Semiconductor Device with Multi-Layer Metallization
US15/054,318 Active US10002830B2 (en) 2007-09-24 2016-02-26 Semiconductor device with multi-layer metallization
US16/008,094 Active 2029-03-04 US11222812B2 (en) 2007-09-24 2018-06-14 Semiconductor device with multi-layer metallization

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/054,318 Active US10002830B2 (en) 2007-09-24 2016-02-26 Semiconductor device with multi-layer metallization
US16/008,094 Active 2029-03-04 US11222812B2 (en) 2007-09-24 2018-06-14 Semiconductor device with multi-layer metallization

Country Status (2)

Country Link
US (3) US20090079080A1 (en)
DE (1) DE102008047916B4 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102683321A (en) * 2011-02-25 2012-09-19 台湾积体电路制造股份有限公司 Preventing the cracking of passivation layers on ultra-thick metals
US20130026386A1 (en) * 2011-07-27 2013-01-31 Canon Kabushiki Kaisha Electrolytic plating method and electrostatic deflecting device
US8580687B2 (en) 2010-09-30 2013-11-12 Infineon Technologies Ag Semiconductor structure and method for making same
US20140077376A1 (en) * 2012-09-17 2014-03-20 Infineon Technologies Ag Semiconductor chip, method for producing a semiconductor chip and method for soldering a semiconductor chip to a carrier
US8742598B2 (en) * 2011-10-05 2014-06-03 Infineon Technologies Ag Semiconductor structure and method for making same
US20170098620A1 (en) * 2015-10-05 2017-04-06 Infineon Technologies Ag Semiconductor Device Load Terminal
US9646881B2 (en) * 2015-08-04 2017-05-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US9666546B1 (en) 2016-04-28 2017-05-30 Infineon Technologies Ag Multi-layer metal pads

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340206B2 (en) * 2016-08-05 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dense redistribution layers in semiconductor packages and methods of forming the same
CN109494214B (en) * 2017-09-11 2021-05-04 联华电子股份有限公司 Connection structure of semiconductor device and manufacturing method thereof
US11515609B2 (en) * 2019-03-14 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transmission line structures for millimeter wave signals
DE102019126433A1 (en) * 2019-03-14 2020-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Transmission line structures for millimeter wave signals
US11594459B2 (en) * 2021-02-11 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for a semiconductor device and method for manufacturing the same

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5686760A (en) * 1994-11-16 1997-11-11 Nec Corporation Eutectic Cu-alloy wiring structure in a semiconductor device
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US20010027019A1 (en) * 2000-04-04 2001-10-04 Hiromu Ishii Pattern forming method
US6323131B1 (en) * 1998-06-13 2001-11-27 Agere Systems Guardian Corp. Passivated copper surfaces
US20030235983A1 (en) * 2002-06-21 2003-12-25 Nanhai Li Temperature control sequence of electroless plating baths
US20040009653A1 (en) * 2001-12-27 2004-01-15 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US20040048461A1 (en) * 2002-09-11 2004-03-11 Fusen Chen Methods and apparatus for forming barrier layers in high aspect ratio vias
US6756678B2 (en) * 2000-03-03 2004-06-29 Micron Technology, Inc. Conductive connection forming methods, oxidation reducing methods, and integrated circuits formed thereby
US20040245643A1 (en) * 2003-06-03 2004-12-09 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
US20050127534A1 (en) * 2003-09-29 2005-06-16 Infineon Technologies Ag Semiconductor component and method for fabricating
DE10360513A1 (en) * 2003-12-22 2005-07-28 Infineon Technologies Ag Integrated semiconductor circuit chip with DMOS power transistor structure has thick high current heat conductive metal layers between transistor and metal rails on chip surface
US6956289B2 (en) * 2000-01-13 2005-10-18 Renesas Technology Corporation Semiconductor device
US20060019485A1 (en) * 2004-07-21 2006-01-26 Sony Corporation Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them
US20060194430A1 (en) * 2005-02-28 2006-08-31 Michael Beck Metal interconnect structure and method
US7132726B2 (en) * 2004-01-23 2006-11-07 Infineon Technologies Ag Integrated semiconductor circuit having a logic and power metallization without intermetal dielectric
US20120080795A1 (en) * 2010-09-30 2012-04-05 Dallmann Gerald Semiconductor structure and method for making same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5116463A (en) * 1991-06-19 1992-05-26 Microelectroncs And Computer Technology Corporation Detecting completion of electroless via fill
JP2739855B2 (en) * 1995-12-14 1998-04-15 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR100216839B1 (en) * 1996-04-01 1999-09-01 김규현 Solder ball land structure of bga semiconductor package
US6020640A (en) * 1996-12-19 2000-02-01 Texas Instruments Incorporated Thick plated interconnect and associated auxillary interconnect
JP3031301B2 (en) * 1997-06-25 2000-04-10 日本電気株式会社 Copper wiring structure and method of manufacturing the same
JP3420703B2 (en) * 1998-07-16 2003-06-30 株式会社東芝 Method for manufacturing semiconductor device
JP3248492B2 (en) * 1998-08-14 2002-01-21 日本電気株式会社 Semiconductor device and manufacturing method thereof
JP3566203B2 (en) * 2000-12-06 2004-09-15 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2003017570A (en) * 2001-07-02 2003-01-17 Fujitsu Ltd Semiconductor device and its manufacturing method
US6635496B2 (en) * 2001-10-12 2003-10-21 Infineon Technologies, Ag Plate-through hard mask for MRAM devices
US7132736B2 (en) * 2001-10-31 2006-11-07 Georgia Tech Research Corporation Devices having compliant wafer-level packages with pillars and methods of fabrication
JP3790469B2 (en) * 2001-12-21 2006-06-28 富士通株式会社 Semiconductor device
TWI245402B (en) * 2002-01-07 2005-12-11 Megic Corp Rod soldering structure and manufacturing process thereof
US6531734B1 (en) * 2002-05-24 2003-03-11 Silicon Based Technology Corp. Self-aligned split-gate flash memory cell having an integrated source-side erase structure and its contactless flash memory arrays
US7015590B2 (en) * 2003-01-10 2006-03-21 Samsung Electronics Co., Ltd. Reinforced solder bump structure and method for forming a reinforced solder bump
JP4502173B2 (en) * 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
TWI220308B (en) * 2003-08-07 2004-08-11 Advanced Semiconductor Eng Under bump metallurgic layer
KR100659527B1 (en) * 2003-10-22 2006-12-20 삼성전자주식회사 Semiconductor chip having three dimension type ubm for flip chip bonding and mounting structure thereof
US20070045812A1 (en) * 2005-08-31 2007-03-01 Micron Technology, Inc. Microfeature assemblies including interconnect structures and methods for forming such interconnect structures
US7576394B2 (en) * 2006-02-02 2009-08-18 Kochi Industrial Promotion Center Thin film transistor including low resistance conductive thin films and manufacturing method thereof
US7902660B1 (en) * 2006-05-24 2011-03-08 Amkor Technology, Inc. Substrate for semiconductor device and manufacturing method thereof

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5686760A (en) * 1994-11-16 1997-11-11 Nec Corporation Eutectic Cu-alloy wiring structure in a semiconductor device
US6249055B1 (en) * 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6323131B1 (en) * 1998-06-13 2001-11-27 Agere Systems Guardian Corp. Passivated copper surfaces
US6956289B2 (en) * 2000-01-13 2005-10-18 Renesas Technology Corporation Semiconductor device
US6756678B2 (en) * 2000-03-03 2004-06-29 Micron Technology, Inc. Conductive connection forming methods, oxidation reducing methods, and integrated circuits formed thereby
US20010027019A1 (en) * 2000-04-04 2001-10-04 Hiromu Ishii Pattern forming method
US20040009653A1 (en) * 2001-12-27 2004-01-15 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US20030235983A1 (en) * 2002-06-21 2003-12-25 Nanhai Li Temperature control sequence of electroless plating baths
US20040048461A1 (en) * 2002-09-11 2004-03-11 Fusen Chen Methods and apparatus for forming barrier layers in high aspect ratio vias
US20040245643A1 (en) * 2003-06-03 2004-12-09 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
US20050127534A1 (en) * 2003-09-29 2005-06-16 Infineon Technologies Ag Semiconductor component and method for fabricating
DE10360513A1 (en) * 2003-12-22 2005-07-28 Infineon Technologies Ag Integrated semiconductor circuit chip with DMOS power transistor structure has thick high current heat conductive metal layers between transistor and metal rails on chip surface
US7132726B2 (en) * 2004-01-23 2006-11-07 Infineon Technologies Ag Integrated semiconductor circuit having a logic and power metallization without intermetal dielectric
US20060019485A1 (en) * 2004-07-21 2006-01-26 Sony Corporation Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them
US20060194430A1 (en) * 2005-02-28 2006-08-31 Michael Beck Metal interconnect structure and method
US20120080795A1 (en) * 2010-09-30 2012-04-05 Dallmann Gerald Semiconductor structure and method for making same

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8580687B2 (en) 2010-09-30 2013-11-12 Infineon Technologies Ag Semiconductor structure and method for making same
CN102683321A (en) * 2011-02-25 2012-09-19 台湾积体电路制造股份有限公司 Preventing the cracking of passivation layers on ultra-thick metals
US8860224B2 (en) 2011-02-25 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing the cracking of passivation layers on ultra-thick metals
US20130026386A1 (en) * 2011-07-27 2013-01-31 Canon Kabushiki Kaisha Electrolytic plating method and electrostatic deflecting device
US8742598B2 (en) * 2011-10-05 2014-06-03 Infineon Technologies Ag Semiconductor structure and method for making same
US20140077376A1 (en) * 2012-09-17 2014-03-20 Infineon Technologies Ag Semiconductor chip, method for producing a semiconductor chip and method for soldering a semiconductor chip to a carrier
US9741639B2 (en) * 2012-09-17 2017-08-22 Infineon Technologies Ag Semiconductor chip, method for producing a semiconductor chip and method for soldering a semiconductor chip to a carrier
US9653395B2 (en) 2015-08-04 2017-05-16 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US9646881B2 (en) * 2015-08-04 2017-05-09 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US20170179023A1 (en) * 2015-08-04 2017-06-22 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US10128185B2 (en) * 2015-08-04 2018-11-13 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US10236252B2 (en) 2015-08-04 2019-03-19 International Business Machines Corporation Hybrid subtractive etch/metal fill process for fabricating interconnects
US20170098620A1 (en) * 2015-10-05 2017-04-06 Infineon Technologies Ag Semiconductor Device Load Terminal
US10756035B2 (en) * 2015-10-05 2020-08-25 Infineon Technologies Ag Semiconductor device load terminal
US9666546B1 (en) 2016-04-28 2017-05-30 Infineon Technologies Ag Multi-layer metal pads
US9887170B2 (en) 2016-04-28 2018-02-06 Infineon Technologies Ag Multi-layer metal pads

Also Published As

Publication number Publication date
US10002830B2 (en) 2018-06-19
US11222812B2 (en) 2022-01-11
DE102008047916A1 (en) 2009-04-09
US20180301414A1 (en) 2018-10-18
DE102008047916B4 (en) 2017-03-16
US20160181154A1 (en) 2016-06-23

Similar Documents

Publication Publication Date Title
US11222812B2 (en) Semiconductor device with multi-layer metallization
US11515272B2 (en) Semiconductor die contact structure and method
US8319343B2 (en) Routing under bond pad for the replacement of an interconnect layer
US7417321B2 (en) Via structure and process for forming the same
US7132726B2 (en) Integrated semiconductor circuit having a logic and power metallization without intermetal dielectric
US7879720B2 (en) Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
US8872341B2 (en) Semiconductor structure having metal oxide or nirtride passivation layer on fill layer and method for making same
US20120080791A1 (en) Semiconductor structure and method for making same
US20140077379A1 (en) Semiconductor structure and method for making same
US6498090B2 (en) Semiconductor devices and methods for manufacturing the same
US20150194398A1 (en) Conductive Lines and Pads and Method of Manufacturing Thereof
US10978395B2 (en) Method of manufacturing a semiconductor device having a power metallization structure
US20160343662A1 (en) Semiconductor structure and method for making same
US8759207B2 (en) Semiconductor structure and method for making same
US20140264865A1 (en) Semiconductor device and manufacturing method thereof
US7633161B2 (en) Semiconductor device and method of forming metal interconnection layer thereof
US20070161231A1 (en) Method for forming metal wiring in a semiconductor device
US20240038547A1 (en) Method and structure to form connector tabs in subtractive patterning
CN113508459A (en) Semiconductor device with via having zinc-second metal-copper composite layer
US20090136724A1 (en) Method of fabricating semiconductor device
JP2002124567A (en) Interconnection structure of semiconductor integrated circuit and method for forming interconnection
US20090230557A1 (en) Semiconductor Device and Method for Making Same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:STECHER, MATTHIAS;REEL/FRAME:021351/0827

Effective date: 20070925

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION