US20090236664A1 - Integration scheme for constrained seg growth on poly during raised s/d processing - Google Patents

Integration scheme for constrained seg growth on poly during raised s/d processing Download PDF

Info

Publication number
US20090236664A1
US20090236664A1 US12/471,600 US47160009A US2009236664A1 US 20090236664 A1 US20090236664 A1 US 20090236664A1 US 47160009 A US47160009 A US 47160009A US 2009236664 A1 US2009236664 A1 US 2009236664A1
Authority
US
United States
Prior art keywords
layer
dimension
etch
approximately
epitaxial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/471,600
Inventor
David E. Brown
Scott D. Luning
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US12/471,600 priority Critical patent/US20090236664A1/en
Publication of US20090236664A1 publication Critical patent/US20090236664A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present disclosure relates generally to a semiconductor device and manufacturing process, and more particularly to epitaxial silicon layers formed during a semiconductor manufacturing process.
  • the selective epitaxial growth (SEG) of thin silicon or silicon-germanium films can be used to achieve a raised source/drain region in complementary metal oxide semiconductor (CMOS) and bipolar CMOS (BiCMOS) devices utilizing silicon-on-insulator (SOI) technology.
  • CMOS complementary metal oxide semiconductor
  • BiCMOS bipolar CMOS
  • SOI silicon-on-insulator
  • FIGS. 1 through 11 illustrate, in cross section, semiconductor device manufacturing process steps according to at least one embodiment of the present disclosure.
  • FIG. 12 is a flow diagram illustrating a method for determining a desired thickness of an epitaxial layer according to at least one embodiment of the present invention.
  • the present disclosure provides methods for constraining epitaxial growth on polysilicon gates to the vertical direction during an epitaxial silicon growth process.
  • the methods are readily integrated into existing manufacturing process flows in production facilities. Further, the methods avoid problems created by epitaxial overgrowth, e.g., the “mushroom cap,” resulting from unconstrained epitaxial lateral growth on gate structures.
  • FIGS. 1 through 6 illustrate, in cross-section, a location 200 of a semiconductor device during a manufacturing process according to an embodiment of the present disclosure.
  • the following blanket layers have been formed overlying a substrate 10 : gate dielectric layer 12 ; a conductive gate layer 14 , and a sacrificial layer 16 .
  • Semiconductor substrate 10 can be a mono-crystalline substrate or a gallium arsenide substrate. Alternately, substrate 10 can be semiconductor-on-insulator (SOI), silicon on sapphire, or the like.
  • Gate dielectric layer 12 can be formed by an oxide or other insulative material.
  • Conductive gate layer 14 typically comprises doped poly silicon, but may comprise other conductive materials.
  • Sacrificial layer 16 is used, at least in part, as a sacrificial layer to define the height of a subsequently formed sidewall spacer. The thickness of sacrificial layer 16 can be selected to depend upon the desired thickness of the silicon epitaxial growth layer that is grown in later processing steps.
  • the sacrificial layer 16 can comprise a hard mask, such as an oxide comprising tetra ethyl orthosilicate (TEOS), amorphous carbon or other insulative material.
  • TEOS tetra ethyl orthosilicate
  • the term “sacrificial layer” is used because, relative to the final gate structure, the layer is used for sacrificial purposes. However, the sacrificial layer may have other functions, such as an anti-reflective or etch stop layer for other processes not illustrated.
  • Gate structure 18 comprises a gate stack 20 and a sacrificial gate layer 26 .
  • Gate stack 20 includes gate dielectric 22 and conductive gate layer 24 formed from layers 12 and 14 , respectively.
  • Spacer layer 30 has been formed overlying the gate structure 18 .
  • Spacer layer 30 can be formed by various deposition techniques such as PECVD (Plasma Etch Chemical Vapor Deposition), CVD (Chemical Vapor Deposition), LPCVD (Low Power Chemical Vapor Deposition), and the like.
  • the spacer layer 30 is typically formed using a material that allows the use of an etch process selective to the material of the spacer layer 30 .
  • an immediately adjacent top portion of the gate stack 20 is formed of a material that is selective to the sacrificial layer 26 .
  • spacer layer 30 may be a nitride such as silicon nitride.
  • spacer layer 30 may be an oxide and sacrificial layer 26 may be a nitride. The spacer layer 30 will subsequently be etched to form spacers, as seen in FIG. 3 .
  • FIG. 3 illustrates location 200 following etch of spacer layer 30 to form D-shaped spacers 31 adjacent to gate structure 18 .
  • the spacers 31 are formed to have an uppermost portion that is above the interfacial region between layers 24 and 26 .
  • the uppermost portion of spacer 31 is shown to be substantially the same height as the uppermost surface of sacrificial layer 26 .
  • location 200 of FIG. 2 is subjected to an anisotropic etch process to etch spacer layer 30 to form spacers 31 .
  • spacers 31 are shown as being D-shaped spacers, in another embodiment, L-shaped spacers can be formed, such as are illustrated in FIG. 11 .
  • the etch processes employed to form spacers 31 are preferably selective to the underlying sacrificial layer 26 , i.e., nitride.
  • a sacrificial layer 26 i.e., nitride
  • an etch chemistry utilizing CF4/CHF3 to etch the oxide may be used.
  • spacer layer 30 is a nitride
  • CF4/HBr may be used in the etch process, in order to remove the spacer layer 30 and leave the sacrificial layer 26 .
  • spacer etch processes can be monitored to detect an etch endpoint.
  • FIG. 4 illustrates location 200 after removal of the sacrificial layer 26 to leave gate stack 20 .
  • the sacrificial layer 26 is removed.
  • the sacrificial layer 26 is removed with an etch selective to the sidewalls 31 .
  • the selective etch to remove sacrificial layer 26 is typically an etch process that has a high oxide-to-nitride selectivity, thereby leaving the sidewall substantially unetched.
  • the protective layer 26 is amorphous carbon
  • the layer can be removed by being selectively ashed away in a plasma stripping process.
  • portion 200 is ready for further processing, for example, a dopant implantation process to create doped regions in gate conductive layer 24 and in active regions adjacent to the gate structure 20 , as seen in FIG. 5 .
  • FIG. 5 illustrates location 200 during a dopant implantation process 35 .
  • the doping process creates doped active regions 32 , which may be deep source/drain (S/D) regions. Note that extension regions, not shown in FIG. 5 , may be previously formed.
  • a doped portion 34 is formed within the conductive gate layer 24 .
  • the dotted line within conductive gate layer 24 is intended to indicate one possible outcome for the extent of the doped region 34 .
  • the dopant implantation process may occur following the formation of an epitaxial growth layer, or the dopant implantation may be through the entire thickness of the conductive gate structure.
  • the conductive gate structure 24 may be doped or undoped silicon prior to the implantation illustrated in FIG. 5 .
  • the conductive gate layer 24 When undoped prior to FIG. 5 , the conductive gate layer 24 would be in a semiconductive state, and the doping process 35 would be used to facilitate making the conductive layer 24 conductive. As illustrated in FIG. 5 , a region 33 is shown underlying the gate structure 20 in the substrate 10 . Typically, this region will include extension implants (not shown).
  • the substrate 10 is a SOI substrate, with layer 11 being a semiconductive layer, and layer 13 being an insulative layer.
  • FIG. 6 illustrates, in cross-section, the location 200 of FIG. 5 following a silicon epitaxial growth process used to create layers 38 and 36 overlying silicon-comprising portions 32 and 34 respectively.
  • Layer 38 is a raised source/drain region. Because of the selective removal of the sacrificial layer 26 , the sidewalls 31 , which extend above the gate structure 24 , constrain epitaxial layer 36 to having a vertical component, and no lateral component relative to the footprint of the conductive gate 24 . Therefore, as shown in FIG. 5 , the epitaxial layer 36 overlying the gate conductive layer 34 , where the sacrificial layer 26 was formed, is constrained to the footprint of the top of the gate conductive layer 34 . Hence, no “mushroom cap” is present when utilizing the teachings of the present disclosure.
  • the epitaxial layers 38 and 36 may be doped, or undoped, depending upon device requirements.
  • the epitaxial layers 38 and 36 in FIG. 6 can remain undoped, when the underlying S/D regions 32 were doped prior to growth of the silicon epitaxial layers 138 and 136 .
  • the epitaxial layers 138 and 136 may be doped.
  • FIG. 7 illustrates a cross sectional view of a location 300 of a semiconductor device after silicon epitaxial growth of layers 138 and 136 , but before any doping has occurred.
  • the regions 132 in substrate portion 111 , and the conductive gate layer 134 have not undergone dopant implantation.
  • dopant implantation Various options exist for dopant implantation, depending upon device requirements. As previously mentioned, it will be appreciated that there may be one or more doping steps prior to forming epitaxial layers followed by subsequent doping of epitaxial layers. Alternatively, doping semiconductor layer 111 can occur prior to epitaxial 138 , 136 layer growth, with no doping of the epitaxial layer 138 , 136 . Another alternative is to not dope prior to epitaxial layer 138 , 136 growth.
  • the epitaxial layer 236 has been formed to have a thickness that is less than the thickness of the sacrificial layer previously formed and removed overlying the conductive gate layer 134 , thereby resulting in the top of the epitaxial layer 236 being below the topmost portion of the sidewall spacers 131 .
  • the thickness of the epitaxial layer is substantially the same as the thickness of the sacrificial layer 26 .
  • FIG. 9 illustrates an embodiment where the thickness of a top portion of the epitaxial layer 336 is greater than the sacrificial layer originally formed while the bottomed portion is laterally constrained. This may result in a slight lateral spread during the epitaxial process, as seen in mushrooming of the epitaxial layer 336 over the tops of sidewalls 131 . However, the amount of lateral spread is reduced over that previously obtainable.
  • the amount by which the epitaxial layer thickness is greater than the thickness of the sacrificial layer formed will vary based upon the device technology, e.g., the proximity of contacts, but will typically be less than 50%.
  • FIG. 10 illustrates a cross-sectional view of a location 400 of a semiconductor device manufactured according to an embodiment of the present disclosure.
  • FIG. 10 is a simplified illustration which does not show all of the features of the device in order to keep the illustration from being cluttered.
  • the regions 438 and the gate region 436 created using the epitaxial process as disclosed herein, are shown integrated into the transistor at location 400 .
  • Location 400 is further illustrated to have interconnects 441 and 442 , which are connected to vias/contacts 443 and 444 within an interconnect dielectric region 440 .
  • a passivation layer 450 has been formed overlying portion 400 .
  • a gate stack overlies a silicon layer 411 of a semiconductor substrate 410 .
  • the stack includes a gate dielectric 422 , conductive gate portion 434 , and an epitaxially-grown layer 436 having an interface surface immediately adjacent to the upper surface of the gate portion 434 .
  • Substrate 410 includes a semiconductor layer 411 that comprises channel region 433 , and source/drain regions 432 .
  • the layer 413 represents a buried oxide (BOX) layer.
  • D-shaped spacers 431 immediately adjacent to the conductive gate portion 434 have been formed according to the teachings herein.
  • L-shaped spacers 537 may be utilized instead of the standard D-shaped spacers 531 shown in FIGS. 3-10 .
  • the principle difference between FIG. 10 and FIG. 11 is the choice of shape of the spacer.
  • FIG. 12 is a flow diagram illustrating a methodology to determine a desired thickness of an epitaxial layer to be formed over an SOI substrate.
  • a determination is made as to the desired thickness of the raised source/drain (S/D) layer, that is, the thickness of the epitaxial layer which will form the raised S/D.
  • a thickness of a sacrificial layer to be formed overlying a polysilicon gate portion is determined based upon the desired height of the epitaxial layer.
  • These desired height and thickness values would then be provided to a semiconductor device fabrication facility at step 603 . The manufacturer would, in turn, fabricate a device based upon the desired height and thickness values.
  • the method and apparatus herein provides for a flexible implementation. Although described using certain specific examples, it will be apparent to those skilled in the art that the disclosure is not limited to these few examples. For example, the disclosure is discussed herein primarily with regard to nitride spacer formation and use of a sacrificial layer to serve as a hard mask for subsequent epitaxial silicon growth for a CMOS device, however, the disclosure can be employed with other device technologies to create spacers and avoid exceeding lateral boundaries created by SEG processes during device manufacture. Additionally, various types of deposition and etch devices are currently available which could be suitable for use in employing the method as taught herein.
  • contaminates on the surface of a substrate are subjected to a cleaning process comprising applying a plasma to a surface of the active regions produce a reduction reaction with the contaminates in an upper portion of the surface of the active regions.
  • the plasma comprises H 2 . While the plasma is being applied to the upper portion of the exposed active regions, the resultant products or vapor byproducts of the reduction reaction are removed by the normal vacuum process within the chamber. Therefore, contaminates contained in the vapor byproducts and are vented away, leaving the upper portion of the surface of the active regions suitably clean for the ensuing epitaxial process.
  • the plasma process parameters comprise a gas flow of 450 sccm H2 and 300 sccm argon, at a chamber temperature of 400 degrees Celsius, with an high frequency (HF) power setting of 700 W, and a low frequency (LF) power setting of between approximately 50 to 100 W.
  • Chamber pressure is 1 Torr, and the spacing between the surface of the active region and the faceplate of the tool (not shown) should be 300 mils.
  • plasma process parameters comprise a gas flow ranging from between 100-800 sccm H 2 and from between 100 and 600 sccm argon. Chamber temperatures can range between 300 to 450 degrees Celsius, and HF power settings from between 400-900 W, with LF power settings varying from between 0-150 W.
  • Chamber pressures can range from between 1 mT-5 Torr, with spacing between the surface of the active region and the faceplate of the tool varying from between 200 to 400 mils. Exposure times for the various embodiments utilizing plasma range from between approximately 10 seconds up to approximately 120 seconds.
  • etch chambers or the like.
  • Differences in chamber design, power settings, and species, e.g., H 2 with or H 2 without helium or nitrogen, will result in different thickness of the layer after anneal.
  • the layer after anneal will be between 20 and 50 Angstroms thick.
  • This plasma cleaning process also results in passivation of Si—H bonds in the layer after anneal. No wet cleaning dip with hydrofluoric (HF) acid prior to SEG is necessary.
  • HF hydrofluoric
  • the reduced temperature of this H 2 plasma cleaning treatment results in a reduction of the SEG process thermal budget of more than 100 degrees Celsius.
  • pre-SEG cleaning processes are conducted at approximately 900 degrees Celsius or greater.
  • the cleaning process occurs at less than approximately 800 degrees Celsius.
  • the cleaning process occurs at less than approximately 500 degrees Celsius or less.
  • the cleaning processes of the present disclosure could be conducted at less than approximately 700 degrees Celsius or less, or even at less than approximately 600 degrees Celsius or less.
  • location including includes a gate structure and active regions is subjected to a cleaning process utilizing a low-power dry etch to selectively remove an upper atomic layer of material from the active regions.
  • the thickness of the upper atomic layer of material to be removed ranges from between 20 to about 50 Angstroms.
  • the dry etch process is an anisotropic dry etch utilizing a carbon-free gas as an etchant gas.
  • the anisotropic dry etch utilizes an oxygen- and carbon-free gas as an etchant gas.
  • the etchant gas can comprise HBr, NF 3 , SF 6 , gaseous fluorine-interhalogenics such as ClF 3 , or any gas containing fluorine, suitable to disassociate F-radicals, which does not contain oxygen and carbon.
  • location 200 Prior to undergoing the anisotropic dry etch process, location 200 is subjected to a standard wet etch chemistry process utilizing a dilute HF solution (100:1) at room temperature, e.g., 20 to 26 degrees Celsius, for a time period ranging from 50 to 200 seconds.
  • a low-power dry etch utilizing a temperature of approximately 400 degrees Celsius, RF power of approximately 375 W, pressure of approximately 150 mTorr, and a gas flow rate ranging from 50 to 100 sccm, is conducted.
  • the low-power dry etch utilizes a temperature ranging from between 300-500 degrees Celsius, with RF power ranging from between 200-700 W, a pressure ranging between 0-1 Torr, and a gas flow rate ranging from between 10-300 sccm, for a time ranging between 10 to 60 seconds.
  • This low-power dry etch removes carbon and oxygen contamination, and provides a very clean surface for SEG.
  • the low temperature HF clean followed by the low-power dry etch does not require a high temperature bake. This results in a reduction of thermal budget for SEG of more than 100 degrees Celsius.
  • a cleaning process is used that forms an oxidation layer of between 20 to 50 Angstroms on an upper surface of the active regions using a plasma to produce the oxidation layer on doped active regions.
  • the plasma is an O 2 plasma.
  • the plasma is an O 3 plasma.
  • An O 2 plasma production utilizes O 2 gas at a flow rate of 400 sccm, a pressure of 5 Torr, an HF of 300 W, an LF of 100 W, and a temperature of 400 degrees Celsius, with the time ranging from between about 10 to about 120 seconds.
  • the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus (not shown) should be 400 mils.
  • the plasma production utilizes O 2 gas at a flow rate of between 100 and 1000 sccm, a pressure ranging from between 2-10 Torr, an HF ranging between 200-500 W, an LF ranging between 50-200 W, a temperature ranging between 300-450 degrees Celsius, for a time ranging from between approximately 10 to approximately 120 seconds.
  • the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus ranges from between 200 and 600 mils.
  • the tool type used to generate the plasma could be CVD equipment, HDP tools, or etch chambers.
  • plasma production utilizes O 3 gas at a flow rate of 300 sccm, a pressure of 5 Torr, an HF of 300 W, an LF of 100 W, and a temperature of 400 degrees Celsius for a time period ranging from between 10 to 120 seconds.
  • the spacing between the surface of the active regions and the face plate of the vapor deposition apparatus should be 400 mils.
  • plasma production utilizes O 3 gas at a flow rate of between 50 and 600 sccm, a pressure ranging from between 2-10 Torr, an HF ranging between 200-500 W, an LF ranging between 50-200 W, and a temperature ranging from between 300-450 degrees Celsius for a time period ranging from between about 10 to about 120 seconds.
  • the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus ranges from between 200 and 600 mils.
  • the tool type used to generate the plasma could be HDP tools, CVD equipment, or etch chambers.
  • Forming the oxidation layer facilitates trapping or fixing contamination in the oxide layer overlying the upper layer of the doped active regions for subsequent removal using a wet chemistry process.
  • the wet etch chemistry process utilizes a dilute HF acid solution of 100:1 at room temperature, e.g. 20 to 26 degrees Celsius, for a time ranging from 50 to 200 seconds. Differences in chamber design, power settings and species employed, e.g., O 2 or O 3 , results in differing thickness of the oxidation layer, hence the wide range in times for the HF dip.
  • the use of an O 2 or O 3 plasma to create a contamination-trapping oxidation layer for removal by a room temperature HF dip results in a reduction of the thermal input for location 300 .
  • This pre-clean comprises a first pre-rinse with deionized water, followed by an oxide etch utilizing an aqueous solution of deionized water and hydrofluoric acid (HF or hydrogen fluoride in water) aqueous solution of approximately 30:1 (volumetric ratio) at 21 degrees Celsius, for a time period ranging from between 50-60 seconds.
  • the weight percentage of HF recommended for the HF aqueous solution is 49% in a balance of deionized water (H 2 O).
  • Bulk HF aqueous solution can be purchased from various chemical suppliers in the HF weight percent range of 10% to 49%. In semiconductor fabrication facilities, this aqueous HF aqueous solution is typically diluted in the range 10:1 to 200:1.
  • a 10:1 HF is 1 part aqueous HF (at 49% weight percent) and 10 parts H 2 O. It will be appreciated that the etch rate of the HF aqueous solution is substantially linear with respect to both the concentration of the HF aqueous solution and the etch time. Therefore, various combinations of HF concentrations and etch times can be used to accomplish the oxide etch. Additionally, the temperature may vary.
  • an overflow rinse utilizing deionized water is performed for a period ranging from approximately 120 to 600 seconds with a typical rinse being about 400 seconds.
  • the cleaning process of portion 100 results in etching away of the surface contamination/debris located on substrate 10 resulting from offset spacer formation and/or dopant implantation.
  • the upper semiconductor surface, i.e. silicon surface, of substrate 10 is also slightly etched, for example, from one to several mono layers of silicon, during the HF etch.
  • the amount of material removed during the HF etch is dependent upon the type of material being removed. For example, when native oxide is present, the HF etch will remove approximately 20 to 30 Angstroms of oxide. If a deposited oxide layer is present in addition to a native oxide, an over-etch of approximately 30% is generally desirable. For example, if removal of 100 Angstroms of a chemical vapor deposition (CVD) oxide is desired, the HF etch could be employed to remove approximately 120 to 130 Angstroms oxide removal. This latter example would be applicable in applications where a liner oxide of approximately 100 Angstroms thickness is employed between a conductive gate 25 and a nitride spacer.
  • CVD chemical vapor deposition
  • the next steps in the cleaning process comprise a second pre-rinse with deionized water of approximately 30 seconds duration precedes the performance of a Standard Clean-1 (SC-1), a quick dry rinse (QDR), and a Standard Clean-2 (SC-2).
  • SC-1 and SC-2 components are followed by a second QDR, and an HF: H 2 O etch, a third rinse, and an isopropyl alcohol (IPA) dry.
  • IPA isopropyl alcohol
  • the SC-1 utilizes an aqueous solution of ammonium hydroxide: hydrogen peroxide: deionized water at a ratio of approximately 1:1-4:6-40, at a temperature of approximately 60 degrees Celsius for approximately 72 minutes, to etch approximately 100 Angstroms of silicon.
  • ammonium hydroxide NH 4 OH
  • ammonia solution typically contains between 12% and 44% ammonia before dilution
  • dilute ammonia or concentrated ammonia.
  • a first quick dry rinse is conducted for approximately 3 minutes.
  • the SC-2 utilizes a solution of hydrochloric acid: hydrogen peroxide: deionized water at an initial ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes.
  • a second quick dry rinse is then conducted.
  • hydrochloric acid HCl
  • hydrochloric acid are hydrogen chloride, anhydrous hydrogen chloride, aqueous hydrogen chloride, chlorohydric acid, spirit of salts, and muriatic acid.
  • the SC-1 utilizes a solution of ammonium hydroxide: hydrogen peroxide: deionized water at a ratio of approximately 1:4:20 at a temperature ranging of approximately 60 degrees Celsius for approximately 72 minutes.
  • the SC-1 is the step in the clean sequence that etches the silicon. This occurs because the H 2 O 2 (the oxidizer) becomes depleted in the solution with increasing time and increasing temperature.
  • the methods of the present disclosure allow the initial concentration of hydrogen peroxide to be depleted to facilitate etching of the upper-most semiconductor portion. Depletion of the H 2 O 2 is greatly enhanced when the solution temperature rises above 80 degrees Celsius, which can lead to an etch that is difficult to control if not carefully monitored.
  • the temperature range of the SC-1 is expected to be approximately 55 to 85 degrees Celsius, with the etch occurring in a shorter period of time at higher temperatures than at lower temperatures. It is expected that the SC-1 etching will be better controlled at temperatures in the range of 55-80 degrees Celsius and better still at temperatures in the range of 55-75 degrees Celsius. Generally, it is expected that the substrate will be exposed to the SC-1 etch process for longer that 60 minutes. When the oxidizer stops protecting the silicon surface, the ammonium hydroxide (NH 4 OH) starts to etch the silicon. Thus, a small amount of silicon can be etched in a controlled manner.
  • the SC-1 can be performed in a re-usable bath where the solution is re-circulated and heated to maintain the desired temperature.
  • a passivation layer formed by the H 2 O 2 prevents this attack by the NH 4 OH.
  • H 2 O 2 decomposes in the course to form O 2 and H 2 O.
  • the first quick dry rinse is conducted for approximately 3 minutes.
  • the subsequent SC-2 utilizes a solution of hydrochloric acid: hydrogen peroxide: deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes.
  • a quick dry rinse with deionized water, followed by an IPA dry process, is performed following the SC-2.
  • the IPA dry process uses a heated IPA vapor at approximately 82 degrees Celsius.
  • the IPA vapor is generated in a separate chamber with 100% N 2 bubbled through 100% IPA (heated to 82 degrees Celsius).
  • the IPA condenses on the wafer, and the solution drips off the bottom of the wafer.
  • the IPA vapor concentration is slowly diluted to 100% N 2 before the wafers are removed from the rinsing/drying tank.
  • the substrate will be further recessed (etched) as a result of the cleaning process.
  • an HF: H2O etch can be conducted at an aqueous solution ratio of 200:1 for about 65 seconds, which typically results in approximately 30 Angstroms of oxide removal.
  • the HF: H2O etch 8 is followed by a rinse with deionized water for approximately 10 minutes duration.
  • the deionized water rinse is followed by an IPA dry as described in the preceding paragraph.
  • the source/drain regions of the substrate are ready for ion implantation or selective epitaxial growth.
  • the SC-1 process comprises a pre-rinse with deionized water of approximately 30 seconds duration.
  • the pre-rinse is followed by a SC-1 solution at a ratio of approximately 1:1-4:6-40, which includes the subranges of 0.25:1:5, 0.5:1:5, 1:1:5, 1:1:6, 1:4:20, and 1:1:40, ammonium hydroxide: hydrogen peroxide: deionized water at a temperature of approximately 60 degrees Celsius for approximately 5 minutes.
  • a quick dry rinse (QDR) is then performed for approximately 3 minutes.
  • an SC-2 cleaning process is performed.
  • the SC-2 cleaning process includes utilizing an aqueous solution of hydrochloric acid: hydrogen peroxide: deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees Celsius for approximately 5 minutes.
  • a QDR is then performed, and portion 200 is ready for the third cleaning.
  • the weight percent composition of the hydrochloric acid: hydrogen peroxide: deionized water is 29% (weight percent) hydrochloric acid and 30% (weight percent) hydrogen peroxide in a balance of deionized water.
  • a third cleaning process comprising an approximate 30 second pre-rinse, an oxide etch, an overflow rinse and an IP dry is performed.
  • the oxide etch is accomplished utilizing a solution of deionized water and hydrofluoric acid at a ratio of approximately 200:1 for a time period ranging from between 450-650 seconds.
  • an overflow rinse is performed for approximately 10 minutes.
  • a final isopropyl alcohol (IPA) dry is then performed. Approximately 120-140 Angstroms of the surface of substrate 20 is removed in this process. Portion 200 is ready to undergo selective epitaxial growth.
  • the above-described cleaning process has been found to facilitate formation of an epitaxial layer on a semiconductor surface, specifically silicon. Because various etch processes can etch N- and P-type regions at different rates, it can be useful to amorphize an upper-most surface of the source/drain regions prior to the above-described clean to reduce any preferential etch differences between substrate regions of differing dopant types.
  • the above-described clean process can etch the N-type silicon preferentially, as compared to the P-type silicon, resulting in a quality difference of the SEG between the N and P regions after SEG processing.
  • Etch rate differences between N- and P-type regions can allow for contaminates to remain in the lesser-etched region.
  • an etch process that does not etch P-type regions at the same rate as N-type regions can result in P-regions maintaining embedded carbon that is incorporated from previous process steps. Without appropriate etching of silicon in the P-type regions during the clean, the carbon will remain, and the SEG will grow inconsistently.
  • Amorphizing the source/drain regions can reduce etch differences associated with the above-described cleaning process as well as other processes that are used to etch doped substrate regions, thereby improving the quality of both the N and P regions.
  • the selective etching may be P-type over N-type, or N-type over P-type depending on the solution temperature, flow rate of the aqueous ammonia, concentration of the aqueous ammonia, agitation, or illumination of light.
  • N- and P-type extensions formed in the source/drain regions are amorphized by being implanted with the Xe, at a dose of 2E14 and energy of 10 keV, to create an amorphous depth of 100A.
  • a spacer structure having an undercut can be used to reduce or inhibit facet formation during a selective epitaxial growth process.
  • Such a process can allow for greater lateral uniformity of junction or silicide features during implantation or silicidation processes, and can be accomplished by using a spacer formed with a bi-layer of materials, e.g., a thin liner, such as portion 29 of FIG. 1 , of one material underlying another layer of material from which the ‘main’ spacer is formed.
  • the thin liner and other material layer are selected such that the two materials are selectively etchable with respect to the other, for example, a thin oxide liner and a nitride layer.
  • a method of germanium-content engineering can be used during a selective epitaxial growth (SEG) process to form raised source drain regions, such that the germanium-content is engineered to facilitate subsequent cobalt-silicidation, or for nickel silicide processes.
  • SEG selective epitaxial growth
  • U.S. patent application having Ser. No. 10/969,774 (Attorney Docket Number 1458-HI955), which is hereby incorporated in its entirety by reference, discloses such a technique.
  • the SEG formation process commences with a germanium content on the order of between approximately 3-5% to ensure good growth conditions at high growth rates for both N- and PMOS.
  • the germanium content is reduced during growth of the upper portion of the SEG layer (raised source/drain region) to provide a good substrate for subsequent cobalt silicidation.
  • the raised source drain region comprises a first portion nearest the semiconductor substrate having a Ge content greater than a second portion of the raised source drain furthest from the substrate. Due to the reduction in germanium during growth of the upper portion of the SEG layer, the germanium-to-silicon ratio in the first portion closest the substrate will be different (typically greater than) from the germanium-to-silicon ratio in the uppermost portion of the SEG layer.
  • This method permits increased throughput for SEG at reduced thermal budget, as well as the ability to continue using cobalt rather than nickel for the silicide layer.
  • Using a graded SEG as described allows for a self-limiting or self-stopping cobalt silicidation process, due to the higher conversion temperatures required to create cobalt-silicide in the presence of germanium. Utilizing the methods during the manufacture of CMOS devices results in reduced junction leakage. A reduction in junction leakage results in improved device performance.
  • a dopant profile for a Ge-to-Si ratio where a portion of SEG 38 closest to an underlying substrate will typically have a Ge-to-Si ratio in the range from 15% to 35%. While the portion of SEG 38 furthest from the substrate 10 typically has a reduced Ge- to Si ratio in the range from 0% to 2.5%. Note that listed percentages are atomic percentages unless otherwise stated.
  • a silicidation process is carried out to form a silicide layer overlying a portion of the raised source/drain region.
  • the silicide layer comprises cobalt disilicide (CoSi 2 ).
  • the cobalt disilicide is formed at a temperature ranging from 600 to 800 degrees Celsius.
  • Formation of the cobalt disilicide further comprises depositing a cobalt metal, performing a first anneal at a temperature ranging from 450 to 550 degrees Celsius, performing a wet strip with a sulfuric peroxide mixture followed by a wet strip with an ammonium peroxide mixture, and performing a second anneal at a temperature ranging from 600 to 800 degrees Celsius.
  • the silicide layer comprises nickel silicide (NiSi).
  • NiSi nickel silicide
  • the nickel silicide is formed at a temperature ranging from 350 to 500 degrees Celsius.
  • the nickel silicide is formed by depositing a nickel metal and performing an anneal at a temperature ranging from 350 to 500 degrees Celsius. Following the anneal, a wet strip with a sulfuric peroxide mixture is performed, followed by a wet strip with an ammonium peroxide mixture.
  • anneal may be utilized to form the nickel silicide, e.g., a two step anneal process such as a first anneal at a temperature ranging from 300 to 400 degrees Celsius following nickel metal deposition, performing the wet strips, then performing a second anneal at a temperature ranging from approximately 400 to 500 degrees Celsius.
  • the method and apparatus herein provides for a flexible implementation. Although described using certain specific examples, it will be apparent to those skilled in the art that the examples are illustrative, and that many variations exist. For example, the disclosure is discussed herein primarily with regard to independent control of the placement of a silicide and the amount of offset of a source/drain region from a gate structure for a CMOS device, however, the invention can be employed with other device technologies to create deep source/drain offsets and determine silicide location during device manufacture. Additionally, various types of deposition and etch devices are currently available which could be suitable for use in employing the method as taught herein.

Abstract

A method for constraining lateral growth of gate caps formed during an epitaxial silicon growth process to achieve raised source/drain regions on poly silicon is presented. The method is appropriate for integration into a manufacturing process for integrated circuit semiconductor devices. The method utilizes selective etch processes, dependant upon the material comprising the protective layer (hard mask) over the gate and the material of the spacers, e.g., oxide mask/nitride spacers, or nitride mask/oxide spacers.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application is a divisional of U.S. patent application Ser. No. 11/150,923, filed on Jun. 13, 2005, entitled “INTEGRATION SCHEME FOR CONSTRAINED SEG GROWTH ON POLY DURING RAISED S/D PROCESSING,” (Attorney Docket No. 1458-H1956) which is incorporated by reference in its entirety herein.
  • FIELD OF THE INVENTION
  • The present disclosure relates generally to a semiconductor device and manufacturing process, and more particularly to epitaxial silicon layers formed during a semiconductor manufacturing process.
  • BACKGROUND
  • The selective epitaxial growth (SEG) of thin silicon or silicon-germanium films can be used to achieve a raised source/drain region in complementary metal oxide semiconductor (CMOS) and bipolar CMOS (BiCMOS) devices utilizing silicon-on-insulator (SOI) technology.
  • However, epitaxial growth on the gate stack polysilicon results in a “mushroom-shaped” cap, due to unconstrained lateral growth during the epitaxial silicon growth process. When the lateral growth of this cap is excessive, a potential path for increased leakage current between the polysilicon of the gate structure and contacts landed on the source/drain area is created. In the worst case, such cap growth provides a potential for shorts between the polysilicon of the gate structure and the landed source/drain contacts, due to their close proximity.
  • Therefore, a method which overcomes the problems of excessive cap growth which is easily integrated into existing production facilities would be useful.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure may be better understood by referencing the accompanying drawings. It will be appreciated that for simplicity and clarity of illustration, elements illustrated in the Figures have not necessarily been drawn to scale. For example, the dimensions of some of the elements are exaggerated relative to other elements for clarity.
  • FIGS. 1 through 11 illustrate, in cross section, semiconductor device manufacturing process steps according to at least one embodiment of the present disclosure; and
  • FIG. 12 is a flow diagram illustrating a method for determining a desired thickness of an epitaxial layer according to at least one embodiment of the present invention.
  • The use of the same reference symbols in different drawings indicates similar or identical items.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT(S)
  • The present disclosure provides methods for constraining epitaxial growth on polysilicon gates to the vertical direction during an epitaxial silicon growth process. The methods are readily integrated into existing manufacturing process flows in production facilities. Further, the methods avoid problems created by epitaxial overgrowth, e.g., the “mushroom cap,” resulting from unconstrained epitaxial lateral growth on gate structures.
  • FIGS. 1 through 6 illustrate, in cross-section, a location 200 of a semiconductor device during a manufacturing process according to an embodiment of the present disclosure. At the manufacturing stage presented in FIG. 1, the following blanket layers have been formed overlying a substrate 10: gate dielectric layer 12; a conductive gate layer 14, and a sacrificial layer 16.
  • Semiconductor substrate 10 can be a mono-crystalline substrate or a gallium arsenide substrate. Alternately, substrate 10 can be semiconductor-on-insulator (SOI), silicon on sapphire, or the like. Gate dielectric layer 12 can be formed by an oxide or other insulative material. Conductive gate layer 14 typically comprises doped poly silicon, but may comprise other conductive materials. Sacrificial layer 16 is used, at least in part, as a sacrificial layer to define the height of a subsequently formed sidewall spacer. The thickness of sacrificial layer 16 can be selected to depend upon the desired thickness of the silicon epitaxial growth layer that is grown in later processing steps. The sacrificial layer 16 can comprise a hard mask, such as an oxide comprising tetra ethyl orthosilicate (TEOS), amorphous carbon or other insulative material. The term “sacrificial layer” is used because, relative to the final gate structure, the layer is used for sacrificial purposes. However, the sacrificial layer may have other functions, such as an anti-reflective or etch stop layer for other processes not illustrated.
  • In FIG. 2, photolithography and etch processes have been conducted on portion 200 to form gate structure 18. Gate structure 18 comprises a gate stack 20 and a sacrificial gate layer 26. Gate stack 20 includes gate dielectric 22 and conductive gate layer 24 formed from layers 12 and 14, respectively.
  • A conformal spacer layer 30 has been formed overlying the gate structure 18. Spacer layer 30 can be formed by various deposition techniques such as PECVD (Plasma Etch Chemical Vapor Deposition), CVD (Chemical Vapor Deposition), LPCVD (Low Power Chemical Vapor Deposition), and the like. The spacer layer 30 is typically formed using a material that allows the use of an etch process selective to the material of the spacer layer 30. In an embodiment, an immediately adjacent top portion of the gate stack 20 is formed of a material that is selective to the sacrificial layer 26. In embodiments where the sacrificial layer 26 is an oxide, spacer layer 30 may be a nitride such as silicon nitride. In another embodiment, spacer layer 30 may be an oxide and sacrificial layer 26 may be a nitride. The spacer layer 30 will subsequently be etched to form spacers, as seen in FIG. 3.
  • FIG. 3 illustrates location 200 following etch of spacer layer 30 to form D-shaped spacers 31 adjacent to gate structure 18. The spacers 31 are formed to have an uppermost portion that is above the interfacial region between layers 24 and 26. In FIG. 3, the uppermost portion of spacer 31 is shown to be substantially the same height as the uppermost surface of sacrificial layer 26. Generally, location 200 of FIG. 2 is subjected to an anisotropic etch process to etch spacer layer 30 to form spacers 31. Although spacers 31 are shown as being D-shaped spacers, in another embodiment, L-shaped spacers can be formed, such as are illustrated in FIG. 11.
  • In embodiments where spacer layer 30 is an oxide, the etch processes employed to form spacers 31 are preferably selective to the underlying sacrificial layer 26, i.e., nitride. For example, when the sacrificial layer 26 is a nitride and the spacer layer 30 is an oxide, an etch chemistry utilizing CF4/CHF3 to etch the oxide may be used. Alternatively, in embodiments where spacer layer 30 is a nitride, CF4/HBr may be used in the etch process, in order to remove the spacer layer 30 and leave the sacrificial layer 26. In specific embodiments, spacer etch processes can be monitored to detect an etch endpoint.
  • FIG. 4 illustrates location 200 after removal of the sacrificial layer 26 to leave gate stack 20. Following the spacer 31 formation, the sacrificial layer 26 is removed. Typically the sacrificial layer 26 is removed with an etch selective to the sidewalls 31. For example, in embodiments where spacers 31 are a nitride, the selective etch to remove sacrificial layer 26 is typically an etch process that has a high oxide-to-nitride selectivity, thereby leaving the sidewall substantially unetched. In embodiments where the protective layer 26 is amorphous carbon, the layer can be removed by being selectively ashed away in a plasma stripping process.
  • As a result of the removal of sacrificial layer 26, an uppermost portion of the sidewalls 31 extends above the top surface of the gate conductive layer 24, and will cause subsequent silicon epitaxial growth on the conductive gate portion 24 to proceed in a vertical direction, with no lateral growth, as seen in FIG. 6. Once the selective removal of the protective layer is complete, portion 200 is ready for further processing, for example, a dopant implantation process to create doped regions in gate conductive layer 24 and in active regions adjacent to the gate structure 20, as seen in FIG. 5.
  • FIG. 5 illustrates location 200 during a dopant implantation process 35. The doping process creates doped active regions 32, which may be deep source/drain (S/D) regions. Note that extension regions, not shown in FIG. 5, may be previously formed. In addition, a doped portion 34 is formed within the conductive gate layer 24. The dotted line within conductive gate layer 24 is intended to indicate one possible outcome for the extent of the doped region 34. In other embodiments, the dopant implantation process may occur following the formation of an epitaxial growth layer, or the dopant implantation may be through the entire thickness of the conductive gate structure. Note that the conductive gate structure 24 may be doped or undoped silicon prior to the implantation illustrated in FIG. 5.
  • When undoped prior to FIG. 5, the conductive gate layer 24 would be in a semiconductive state, and the doping process 35 would be used to facilitate making the conductive layer 24 conductive. As illustrated in FIG. 5, a region 33 is shown underlying the gate structure 20 in the substrate 10. Typically, this region will include extension implants (not shown). In accordance with one embodiment, the substrate 10 is a SOI substrate, with layer 11 being a semiconductive layer, and layer 13 being an insulative layer.
  • FIG. 6 illustrates, in cross-section, the location 200 of FIG. 5 following a silicon epitaxial growth process used to create layers 38 and 36 overlying silicon-comprising portions 32 and 34 respectively. Layer 38 is a raised source/drain region. Because of the selective removal of the sacrificial layer 26, the sidewalls 31, which extend above the gate structure 24, constrain epitaxial layer 36 to having a vertical component, and no lateral component relative to the footprint of the conductive gate 24. Therefore, as shown in FIG. 5, the epitaxial layer 36 overlying the gate conductive layer 34, where the sacrificial layer 26 was formed, is constrained to the footprint of the top of the gate conductive layer 34. Hence, no “mushroom cap” is present when utilizing the teachings of the present disclosure.
  • The epitaxial layers 38 and 36 may be doped, or undoped, depending upon device requirements. For example, the epitaxial layers 38 and 36 in FIG. 6 can remain undoped, when the underlying S/D regions 32 were doped prior to growth of the silicon epitaxial layers 138 and 136. In other embodiments, the epitaxial layers 138 and 136 may be doped.
  • FIG. 7 illustrates a cross sectional view of a location 300 of a semiconductor device after silicon epitaxial growth of layers 138 and 136, but before any doping has occurred. In FIG. 7, the regions 132 in substrate portion 111, and the conductive gate layer 134 have not undergone dopant implantation. Various options exist for dopant implantation, depending upon device requirements. As previously mentioned, it will be appreciated that there may be one or more doping steps prior to forming epitaxial layers followed by subsequent doping of epitaxial layers. Alternatively, doping semiconductor layer 111 can occur prior to epitaxial 138, 136 layer growth, with no doping of the epitaxial layer 138, 136. Another alternative is to not dope prior to epitaxial layer 138, 136 growth.
  • As illustrated in the embodiment of FIG. 8, the epitaxial layer 236 has been formed to have a thickness that is less than the thickness of the sacrificial layer previously formed and removed overlying the conductive gate layer 134, thereby resulting in the top of the epitaxial layer 236 being below the topmost portion of the sidewall spacers 131. In another embodiment, e.g., FIG. 7, the thickness of the epitaxial layer is substantially the same as the thickness of the sacrificial layer 26.
  • FIG. 9 illustrates an embodiment where the thickness of a top portion of the epitaxial layer 336 is greater than the sacrificial layer originally formed while the bottomed portion is laterally constrained. This may result in a slight lateral spread during the epitaxial process, as seen in mushrooming of the epitaxial layer 336 over the tops of sidewalls 131. However, the amount of lateral spread is reduced over that previously obtainable. The amount by which the epitaxial layer thickness is greater than the thickness of the sacrificial layer formed will vary based upon the device technology, e.g., the proximity of contacts, but will typically be less than 50%.
  • FIG. 10 illustrates a cross-sectional view of a location 400 of a semiconductor device manufactured according to an embodiment of the present disclosure. FIG. 10 is a simplified illustration which does not show all of the features of the device in order to keep the illustration from being cluttered. In FIG. 10, the regions 438 and the gate region 436, created using the epitaxial process as disclosed herein, are shown integrated into the transistor at location 400. Location 400 is further illustrated to have interconnects 441 and 442, which are connected to vias/ contacts 443 and 444 within an interconnect dielectric region 440. A passivation layer 450 has been formed overlying portion 400.
  • In portion 400, a gate stack overlies a silicon layer 411 of a semiconductor substrate 410. The stack includes a gate dielectric 422, conductive gate portion 434, and an epitaxially-grown layer 436 having an interface surface immediately adjacent to the upper surface of the gate portion 434. Substrate 410 includes a semiconductor layer 411 that comprises channel region 433, and source/drain regions 432. When substrate 410 is an SOI wafer, the layer 413 represents a buried oxide (BOX) layer. D-shaped spacers 431 immediately adjacent to the conductive gate portion 434 have been formed according to the teachings herein.
  • The present disclosure provides for many variations in the finished device. For example, in an alternate embodiment illustrated by the location 500 of FIG. 11, L-shaped spacers 537 may be utilized instead of the standard D-shaped spacers 531 shown in FIGS. 3-10. The principle difference between FIG. 10 and FIG. 11 is the choice of shape of the spacer.
  • FIG. 12 is a flow diagram illustrating a methodology to determine a desired thickness of an epitaxial layer to be formed over an SOI substrate. At step 601, a determination is made as to the desired thickness of the raised source/drain (S/D) layer, that is, the thickness of the epitaxial layer which will form the raised S/D. At step 602, a thickness of a sacrificial layer to be formed overlying a polysilicon gate portion is determined based upon the desired height of the epitaxial layer. These desired height and thickness values would then be provided to a semiconductor device fabrication facility at step 603. The manufacturer would, in turn, fabricate a device based upon the desired height and thickness values.
  • The method and apparatus herein provides for a flexible implementation. Although described using certain specific examples, it will be apparent to those skilled in the art that the disclosure is not limited to these few examples. For example, the disclosure is discussed herein primarily with regard to nitride spacer formation and use of a sacrificial layer to serve as a hard mask for subsequent epitaxial silicon growth for a CMOS device, however, the disclosure can be employed with other device technologies to create spacers and avoid exceeding lateral boundaries created by SEG processes during device manufacture. Additionally, various types of deposition and etch devices are currently available which could be suitable for use in employing the method as taught herein. In addition, although this disclosure has been discussed primarily with regard to the use of an oxide sacrificial and nitride spacers, this does not preclude the use of a nitride sacrificial layer and oxide spacers, or the use of an organic anti-reflective coating (ARC) layer as the sacrificial layer or hard mask over the gate structure. Other combinations of materials and suitable etch chemistries suitable to practice the teachings herein may be utilized. Note also, that although an embodiment of the present disclosure has been shown and described in detail herein, along with certain variants thereof, many other varied embodiments that incorporate the teachings of the invention may be easily constructed by those skilled in the art. Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, the benefits, advantages, solutions to problems, and any element(s) that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as a critical, required, or essential feature or element of any or all the claims. Accordingly, the present invention is not intended to be limited to the specific form set forth herein, but on the contrary, it is intended to cover such alternatives, modifications, and equivalents, as can be reasonably included within the spirit and scope of the invention.
  • It will be appreciated that the above disclosure can be implemented using a variety of techniques. For example, it will be appreciated that any number of substrate preclean steps can occur before the formation of any epitaxial layer. For example, U.S. patent application having Ser. No. 10/791,346, which is hereby incorporated in its entirety by reference, discloses several substrate preclean techniques appropriate for cleaning a substrate prior to forming an epitaxial layer.
  • In one example, contaminates on the surface of a substrate are subjected to a cleaning process comprising applying a plasma to a surface of the active regions produce a reduction reaction with the contaminates in an upper portion of the surface of the active regions. In an embodiment, the plasma comprises H2. While the plasma is being applied to the upper portion of the exposed active regions, the resultant products or vapor byproducts of the reduction reaction are removed by the normal vacuum process within the chamber. Therefore, contaminates contained in the vapor byproducts and are vented away, leaving the upper portion of the surface of the active regions suitably clean for the ensuing epitaxial process. In one embodiment, the plasma process parameters comprise a gas flow of 450 sccm H2 and 300 sccm argon, at a chamber temperature of 400 degrees Celsius, with an high frequency (HF) power setting of 700 W, and a low frequency (LF) power setting of between approximately 50 to 100 W. Chamber pressure is 1 Torr, and the spacing between the surface of the active region and the faceplate of the tool (not shown) should be 300 mils. In other embodiments, plasma process parameters comprise a gas flow ranging from between 100-800 sccm H2 and from between 100 and 600 sccm argon. Chamber temperatures can range between 300 to 450 degrees Celsius, and HF power settings from between 400-900 W, with LF power settings varying from between 0-150 W. Chamber pressures can range from between 1 mT-5 Torr, with spacing between the surface of the active region and the faceplate of the tool varying from between 200 to 400 mils. Exposure times for the various embodiments utilizing plasma range from between approximately 10 seconds up to approximately 120 seconds.
  • Various tool types are suitable for this cleaning, for example, CVD (Chemical Vapor Deposition) equipment, HDP (High Density Plasma) tools, etch chambers, or the like. Differences in chamber design, power settings, and species, e.g., H2 with or H2 without helium or nitrogen, will result in different thickness of the layer after anneal. Typically the layer after anneal will be between 20 and 50 Angstroms thick. This plasma cleaning process also results in passivation of Si—H bonds in the layer after anneal. No wet cleaning dip with hydrofluoric (HF) acid prior to SEG is necessary.
  • In addition to no longer requiring an HF dip prior to SEG, the reduced temperature of this H2 plasma cleaning treatment results in a reduction of the SEG process thermal budget of more than 100 degrees Celsius. Typically pre-SEG cleaning processes are conducted at approximately 900 degrees Celsius or greater. In an embodiment of the present disclosure, the cleaning process occurs at less than approximately 800 degrees Celsius. In another embodiment, the cleaning process occurs at less than approximately 500 degrees Celsius or less. In addition, the cleaning processes of the present disclosure could be conducted at less than approximately 700 degrees Celsius or less, or even at less than approximately 600 degrees Celsius or less.
  • In another embodiment, location including includes a gate structure and active regions is subjected to a cleaning process utilizing a low-power dry etch to selectively remove an upper atomic layer of material from the active regions. The thickness of the upper atomic layer of material to be removed ranges from between 20 to about 50 Angstroms. In one embodiment, the dry etch process is an anisotropic dry etch utilizing a carbon-free gas as an etchant gas. In another embodiment, the anisotropic dry etch utilizes an oxygen- and carbon-free gas as an etchant gas. The etchant gas can comprise HBr, NF3, SF6, gaseous fluorine-interhalogenics such as ClF3, or any gas containing fluorine, suitable to disassociate F-radicals, which does not contain oxygen and carbon. Prior to undergoing the anisotropic dry etch process, location 200 is subjected to a standard wet etch chemistry process utilizing a dilute HF solution (100:1) at room temperature, e.g., 20 to 26 degrees Celsius, for a time period ranging from 50 to 200 seconds. Following the HF clean, a low-power dry etch utilizing a temperature of approximately 400 degrees Celsius, RF power of approximately 375 W, pressure of approximately 150 mTorr, and a gas flow rate ranging from 50 to 100 sccm, is conducted. In other embodiments, the low-power dry etch utilizes a temperature ranging from between 300-500 degrees Celsius, with RF power ranging from between 200-700 W, a pressure ranging between 0-1 Torr, and a gas flow rate ranging from between 10-300 sccm, for a time ranging between 10 to 60 seconds.
  • This low-power dry etch removes carbon and oxygen contamination, and provides a very clean surface for SEG. The low temperature HF clean followed by the low-power dry etch does not require a high temperature bake. This results in a reduction of thermal budget for SEG of more than 100 degrees Celsius.
  • In another embodiment, a cleaning process is used that forms an oxidation layer of between 20 to 50 Angstroms on an upper surface of the active regions using a plasma to produce the oxidation layer on doped active regions. In an embodiment, the plasma is an O2 plasma. In another embodiment, the plasma is an O3 plasma.
  • An O2 plasma production utilizes O2 gas at a flow rate of 400 sccm, a pressure of 5 Torr, an HF of 300 W, an LF of 100 W, and a temperature of 400 degrees Celsius, with the time ranging from between about 10 to about 120 seconds. The spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus (not shown) should be 400 mils. In other embodiments, the plasma production utilizes O2 gas at a flow rate of between 100 and 1000 sccm, a pressure ranging from between 2-10 Torr, an HF ranging between 200-500 W, an LF ranging between 50-200 W, a temperature ranging between 300-450 degrees Celsius, for a time ranging from between approximately 10 to approximately 120 seconds. In an embodiment, the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus ranges from between 200 and 600 mils. The tool type used to generate the plasma could be CVD equipment, HDP tools, or etch chambers. In an embodiment where the plasma is O3, plasma production utilizes O3 gas at a flow rate of 300 sccm, a pressure of 5 Torr, an HF of 300 W, an LF of 100 W, and a temperature of 400 degrees Celsius for a time period ranging from between 10 to 120 seconds. The spacing between the surface of the active regions and the face plate of the vapor deposition apparatus (not shown) should be 400 mils. In other embodiments, plasma production utilizes O3 gas at a flow rate of between 50 and 600 sccm, a pressure ranging from between 2-10 Torr, an HF ranging between 200-500 W, an LF ranging between 50-200 W, and a temperature ranging from between 300-450 degrees Celsius for a time period ranging from between about 10 to about 120 seconds. In an embodiment, the spacing between the surface of the active regions and the faceplate of the vapor deposition apparatus ranges from between 200 and 600 mils. As was the case with the O2 plasma, the tool type used to generate the plasma could be HDP tools, CVD equipment, or etch chambers.
  • Forming the oxidation layer facilitates trapping or fixing contamination in the oxide layer overlying the upper layer of the doped active regions for subsequent removal using a wet chemistry process. The wet etch chemistry process utilizes a dilute HF acid solution of 100:1 at room temperature, e.g. 20 to 26 degrees Celsius, for a time ranging from 50 to 200 seconds. Differences in chamber design, power settings and species employed, e.g., O2 or O3, results in differing thickness of the oxidation layer, hence the wide range in times for the HF dip. The use of an O2 or O3 plasma to create a contamination-trapping oxidation layer for removal by a room temperature HF dip results in a reduction of the thermal input for location 300.
  • Another possible pre-clean for use prior to formation of an SEG is disclosed in U.S. patent application having Ser. No. 10/969,769, (Attorney Docket Number 1458-H1962 Concurrently herewith) which is hereby incorporated in its entirety by reference, discloses another substrate preclean technique that facilitates a reduced temperature H2 bake is performed following formation of any desired spacers, which can comprise one or more nitride or oxide layers and prior to SEG formation. This pre-clean and comprises a first pre-rinse with deionized water, followed by an oxide etch utilizing an aqueous solution of deionized water and hydrofluoric acid (HF or hydrogen fluoride in water) aqueous solution of approximately 30:1 (volumetric ratio) at 21 degrees Celsius, for a time period ranging from between 50-60 seconds. The weight percentage of HF recommended for the HF aqueous solution is 49% in a balance of deionized water (H2O). Bulk HF aqueous solution can be purchased from various chemical suppliers in the HF weight percent range of 10% to 49%. In semiconductor fabrication facilities, this aqueous HF aqueous solution is typically diluted in the range 10:1 to 200:1. A 10:1 HF is 1 part aqueous HF (at 49% weight percent) and 10 parts H2O. It will be appreciated that the etch rate of the HF aqueous solution is substantially linear with respect to both the concentration of the HF aqueous solution and the etch time. Therefore, various combinations of HF concentrations and etch times can be used to accomplish the oxide etch. Additionally, the temperature may vary.
  • After the HF etch, an overflow rinse utilizing deionized water is performed for a period ranging from approximately 120 to 600 seconds with a typical rinse being about 400 seconds. The cleaning process of portion 100 results in etching away of the surface contamination/debris located on substrate 10 resulting from offset spacer formation and/or dopant implantation. The upper semiconductor surface, i.e. silicon surface, of substrate 10 is also slightly etched, for example, from one to several mono layers of silicon, during the HF etch.
  • It should be noted that the amount of material removed during the HF etch is dependent upon the type of material being removed. For example, when native oxide is present, the HF etch will remove approximately 20 to 30 Angstroms of oxide. If a deposited oxide layer is present in addition to a native oxide, an over-etch of approximately 30% is generally desirable. For example, if removal of 100 Angstroms of a chemical vapor deposition (CVD) oxide is desired, the HF etch could be employed to remove approximately 120 to 130 Angstroms oxide removal. This latter example would be applicable in applications where a liner oxide of approximately 100 Angstroms thickness is employed between a conductive gate 25 and a nitride spacer.
  • The next steps in the cleaning process comprise a second pre-rinse with deionized water of approximately 30 seconds duration precedes the performance of a Standard Clean-1 (SC-1), a quick dry rinse (QDR), and a Standard Clean-2 (SC-2). The SC-1 and SC-2 components are followed by a second QDR, and an HF: H2O etch, a third rinse, and an isopropyl alcohol (IPA) dry. The amount of material removed by the SC-1 and SC-2 components are implemented such that they etch from approximately one monolayer of silicon to approximately 10 to 100 Angstroms of silicon.
  • In an embodiment, the SC-1 utilizes an aqueous solution of ammonium hydroxide: hydrogen peroxide: deionized water at a ratio of approximately 1:1-4:6-40, at a temperature of approximately 60 degrees Celsius for approximately 72 minutes, to etch approximately 100 Angstroms of silicon. Synonyms for ammonium hydroxide (NH4OH) include ammonia solution (typically contains between 12% and 44% ammonia before dilution), dilute ammonia, or concentrated ammonia. A first quick dry rinse is conducted for approximately 3 minutes. In an embodiment, the SC-2 utilizes a solution of hydrochloric acid: hydrogen peroxide: deionized water at an initial ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes. A second quick dry rinse is then conducted. Synonyms for hydrochloric acid (HCl) are hydrogen chloride, anhydrous hydrogen chloride, aqueous hydrogen chloride, chlorohydric acid, spirit of salts, and muriatic acid.
  • In a particular embodiment, the SC-1 utilizes a solution of ammonium hydroxide: hydrogen peroxide: deionized water at a ratio of approximately 1:4:20 at a temperature ranging of approximately 60 degrees Celsius for approximately 72 minutes. The SC-1 is the step in the clean sequence that etches the silicon. This occurs because the H2O2 (the oxidizer) becomes depleted in the solution with increasing time and increasing temperature. The methods of the present disclosure allow the initial concentration of hydrogen peroxide to be depleted to facilitate etching of the upper-most semiconductor portion. Depletion of the H2O2 is greatly enhanced when the solution temperature rises above 80 degrees Celsius, which can lead to an etch that is difficult to control if not carefully monitored. The temperature range of the SC-1 is expected to be approximately 55 to 85 degrees Celsius, with the etch occurring in a shorter period of time at higher temperatures than at lower temperatures. It is expected that the SC-1 etching will be better controlled at temperatures in the range of 55-80 degrees Celsius and better still at temperatures in the range of 55-75 degrees Celsius. Generally, it is expected that the substrate will be exposed to the SC-1 etch process for longer that 60 minutes. When the oxidizer stops protecting the silicon surface, the ammonium hydroxide (NH4OH) starts to etch the silicon. Thus, a small amount of silicon can be etched in a controlled manner. The SC-1 can be performed in a re-usable bath where the solution is re-circulated and heated to maintain the desired temperature.
  • The mechanism of silicon and SiO2 etching by a NH4OH/H2O2 solution occurs when the solution is allowed to be depleted of H2O2. An alkaline solution, such as NH4OH4 in our example, will attack silicon by water molecules, according to the reaction:

  • Si+2H2O+2OH→Si(OH)2(O)2+2H2
  • A passivation layer formed by the H2O2 prevents this attack by the NH4OH. H2O2 decomposes in the course to form O2 and H2O.

  • H2O2—→H2O+½O2
  • When the concentration of H2O2 is below 3×10−3M, then silicon will begin to etch, because of the absence of the inhibition layer.
  • As indicated in the above equations, heat is given off as the H2O2 is depleted. If a bath is used that is not recharged with fresh solution all H2O2 will be depleted, thereby no longer releasing heat. Therefore, the temperature can be monitored on the low end to indicate when the solution should be refreshed, while the temperature on the high end is monitored to prevent unusually rapid decomposition of the H2O2, which can lead to a process that is difficult to control.
  • The first quick dry rinse is conducted for approximately 3 minutes. The subsequent SC-2 utilizes a solution of hydrochloric acid: hydrogen peroxide: deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees for about 5 minutes. A quick dry rinse with deionized water, followed by an IPA dry process, is performed following the SC-2.
  • The IPA dry process uses a heated IPA vapor at approximately 82 degrees Celsius. The IPA vapor is generated in a separate chamber with 100% N2 bubbled through 100% IPA (heated to 82 degrees Celsius). The IPA condenses on the wafer, and the solution drips off the bottom of the wafer. The IPA vapor concentration is slowly diluted to 100% N2 before the wafers are removed from the rinsing/drying tank.
  • Subsequent to the SC-1 and SC-2 processes, the substrate will be further recessed (etched) as a result of the cleaning process. Next, an HF: H2O etch can be conducted at an aqueous solution ratio of 200:1 for about 65 seconds, which typically results in approximately 30 Angstroms of oxide removal. The HF: H2O etch 8 is followed by a rinse with deionized water for approximately 10 minutes duration. The deionized water rinse is followed by an IPA dry as described in the preceding paragraph. At this time, the source/drain regions of the substrate are ready for ion implantation or selective epitaxial growth.
  • In a particular embodiment, the SC-1 process comprises a pre-rinse with deionized water of approximately 30 seconds duration. The pre-rinse is followed by a SC-1 solution at a ratio of approximately 1:1-4:6-40, which includes the subranges of 0.25:1:5, 0.5:1:5, 1:1:5, 1:1:6, 1:4:20, and 1:1:40, ammonium hydroxide: hydrogen peroxide: deionized water at a temperature of approximately 60 degrees Celsius for approximately 5 minutes. A quick dry rinse (QDR) is then performed for approximately 3 minutes.
  • Following the SC-1 cleaning process, an SC-2 cleaning process is performed. In an embodiment, the SC-2 cleaning process includes utilizing an aqueous solution of hydrochloric acid: hydrogen peroxide: deionized water at a ratio of approximately 1:1:50 at a temperature of approximately 60 degrees Celsius for approximately 5 minutes. A QDR is then performed, and portion 200 is ready for the third cleaning. The weight percent composition of the hydrochloric acid: hydrogen peroxide: deionized water is 29% (weight percent) hydrochloric acid and 30% (weight percent) hydrogen peroxide in a balance of deionized water.
  • After the SC-1 and SC-2, a third cleaning process comprising an approximate 30 second pre-rinse, an oxide etch, an overflow rinse and an IP dry is performed. The oxide etch is accomplished utilizing a solution of deionized water and hydrofluoric acid at a ratio of approximately 200:1 for a time period ranging from between 450-650 seconds. Following the HF etch, an overflow rinse is performed for approximately 10 minutes. A final isopropyl alcohol (IPA) dry is then performed. Approximately 120-140 Angstroms of the surface of substrate 20 is removed in this process. Portion 200 is ready to undergo selective epitaxial growth.
  • The above-described cleaning process has been found to facilitate formation of an epitaxial layer on a semiconductor surface, specifically silicon. Because various etch processes can etch N- and P-type regions at different rates, it can be useful to amorphize an upper-most surface of the source/drain regions prior to the above-described clean to reduce any preferential etch differences between substrate regions of differing dopant types.
  • For example, the above-described clean process can etch the N-type silicon preferentially, as compared to the P-type silicon, resulting in a quality difference of the SEG between the N and P regions after SEG processing. Etch rate differences between N- and P-type regions can allow for contaminates to remain in the lesser-etched region. For example, an etch process that does not etch P-type regions at the same rate as N-type regions can result in P-regions maintaining embedded carbon that is incorporated from previous process steps. Without appropriate etching of silicon in the P-type regions during the clean, the carbon will remain, and the SEG will grow inconsistently. A high bake temperature of 900° C. can be used to overcome this growth issue on P areas, however, as stated previously, high bake temperatures can be detrimental to the device in that it causes diffusion and deactivation of the dopants. Amorphizing the source/drain regions can reduce etch differences associated with the above-described cleaning process as well as other processes that are used to etch doped substrate regions, thereby improving the quality of both the N and P regions.
  • It has been observed that the selective etching may be P-type over N-type, or N-type over P-type depending on the solution temperature, flow rate of the aqueous ammonia, concentration of the aqueous ammonia, agitation, or illumination of light. By amorphizing the silicon in this manner to a pre-defined depth, it has been observed that unbiased etching to the depth of the amorphized silicon can be achieved.
  • In one embodiment, N- and P-type extensions formed in the source/drain regions are amorphized by being implanted with the Xe, at a dose of 2E14 and energy of 10 keV, to create an amorphous depth of 100A.
  • In accordance with another embodiment, a spacer structure having an undercut can be used to reduce or inhibit facet formation during a selective epitaxial growth process. Such a process can allow for greater lateral uniformity of junction or silicide features during implantation or silicidation processes, and can be accomplished by using a spacer formed with a bi-layer of materials, e.g., a thin liner, such as portion 29 of FIG. 1, of one material underlying another layer of material from which the ‘main’ spacer is formed. The thin liner and other material layer are selected such that the two materials are selectively etchable with respect to the other, for example, a thin oxide liner and a nitride layer. By etching the underlying portion of the spacer, an undercut can be formed that reduces facets during epitaxial formation.
  • In another embodiment, a method of germanium-content engineering can be used during a selective epitaxial growth (SEG) process to form raised source drain regions, such that the germanium-content is engineered to facilitate subsequent cobalt-silicidation, or for nickel silicide processes. For example, U.S. patent application having Ser. No. 10/969,774 (Attorney Docket Number 1458-HI955), which is hereby incorporated in its entirety by reference, discloses such a technique.
  • The SEG formation process commences with a germanium content on the order of between approximately 3-5% to ensure good growth conditions at high growth rates for both N- and PMOS. The germanium content is reduced during growth of the upper portion of the SEG layer (raised source/drain region) to provide a good substrate for subsequent cobalt silicidation. Thus the raised source drain region comprises a first portion nearest the semiconductor substrate having a Ge content greater than a second portion of the raised source drain furthest from the substrate. Due to the reduction in germanium during growth of the upper portion of the SEG layer, the germanium-to-silicon ratio in the first portion closest the substrate will be different (typically greater than) from the germanium-to-silicon ratio in the uppermost portion of the SEG layer.
  • This method permits increased throughput for SEG at reduced thermal budget, as well as the ability to continue using cobalt rather than nickel for the silicide layer. Using a graded SEG as described allows for a self-limiting or self-stopping cobalt silicidation process, due to the higher conversion temperatures required to create cobalt-silicide in the presence of germanium. Utilizing the methods during the manufacture of CMOS devices results in reduced junction leakage. A reduction in junction leakage results in improved device performance.
  • In one embodiment, a dopant profile for a Ge-to-Si ratio where a portion of SEG 38 closest to an underlying substrate will typically have a Ge-to-Si ratio in the range from 15% to 35%. While the portion of SEG 38 furthest from the substrate 10 typically has a reduced Ge- to Si ratio in the range from 0% to 2.5%. Note that listed percentages are atomic percentages unless otherwise stated.
  • Following the formation of the Ge-gradient in the source/drain regions, a silicidation process is carried out to form a silicide layer overlying a portion of the raised source/drain region. In an embodiment, the silicide layer comprises cobalt disilicide (CoSi2). In an embodiment, the cobalt disilicide is formed at a temperature ranging from 600 to 800 degrees Celsius. Formation of the cobalt disilicide further comprises depositing a cobalt metal, performing a first anneal at a temperature ranging from 450 to 550 degrees Celsius, performing a wet strip with a sulfuric peroxide mixture followed by a wet strip with an ammonium peroxide mixture, and performing a second anneal at a temperature ranging from 600 to 800 degrees Celsius.
  • In another embodiment, the silicide layer comprises nickel silicide (NiSi). In an embodiment, the nickel silicide is formed at a temperature ranging from 350 to 500 degrees Celsius. The nickel silicide is formed by depositing a nickel metal and performing an anneal at a temperature ranging from 350 to 500 degrees Celsius. Following the anneal, a wet strip with a sulfuric peroxide mixture is performed, followed by a wet strip with an ammonium peroxide mixture. It should be noted that more than one anneal may be utilized to form the nickel silicide, e.g., a two step anneal process such as a first anneal at a temperature ranging from 300 to 400 degrees Celsius following nickel metal deposition, performing the wet strips, then performing a second anneal at a temperature ranging from approximately 400 to 500 degrees Celsius.
  • The method and apparatus herein provides for a flexible implementation. Although described using certain specific examples, it will be apparent to those skilled in the art that the examples are illustrative, and that many variations exist. For example, the disclosure is discussed herein primarily with regard to independent control of the placement of a silicide and the amount of offset of a source/drain region from a gate structure for a CMOS device, however, the invention can be employed with other device technologies to create deep source/drain offsets and determine silicide location during device manufacture. Additionally, various types of deposition and etch devices are currently available which could be suitable for use in employing the method as taught herein. Note also, that although an embodiment of the present invention has been shown and described in detail herein, along with certain variants thereof, many other varied embodiments that incorporate the teachings of the invention may be easily constructed by those skilled in the art. Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, the benefits, advantages, solutions to problems, and any element(s) that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as a critical, required, or essential feature or element of any or all the claims. Accordingly, the present invention is not intended to be limited to the specific form set forth herein, but on the contrary, it is intended to cover such alternatives, modifications, and equivalents, as can be reasonably included within the spirit and scope of the invention.

Claims (20)

1. A device having a gate structure, the gate structure comprising:
a first layer overlying a semiconductor substrate, the first layer comprising an upper-most surface relative the semiconductor substrate;
an epitaxial layer overlying the first layer, the epitaxial layer having an interface surface immediately adjacent to the upper-most surface of the first layer; and
a sidewall adjacent to the first layer and the epitaxial layer, the sidewall having an upper-most height relative to the semiconductor substrate.
2. The device of claim 1 wherein the gate structure comprises one or more layers including the first layer; a difference between the upper-most height of the sidewall and the upper-most surface of the first layer is a first dimension; and
thickness of the epitaxial layer is a second dimension.
3. The device of claim 2, wherein the second dimension is substantially the same as the first dimension.
4. The device of claim 2, wherein the second dimension is less than the first dimension.
5. The device of claim 2, wherein the second dimension is greater than the first dimension.
6. The device of claim 1, wherein the first layer comprises a doped source drain area, and the epitaxial layer is a substantially undoped epitaxial layer.
7. The device of claim 1, wherein the first layer comprises a doped source drain area, and the epitaxial layer is a doped epitaxial layer.
8. The device of claim 1, wherein the sidewalls comprise an oxide.
9. The device of claim 1, wherein the sidewalls comprise a nitride.
10. The device of claim 1, wherein the gate stack comprises polysilicon.
11. The device of claim 1, wherein the semiconductor substrate is a portion of a semiconductor on insulator (SOI) substrate.
12. A device comprising:
a gate structure comprising a first layer overlying an active silicon region;
an epitaxial layer overlying the active silicon region and overlying the first layer, the epitaxial layer having an interface surface and an upper surface, the interface surface being immediately adjacent to the first layer, and the upper surface being substantially parallel to the interface surface.
13. The device of claim 12, wherein the semiconductor substrate is a portion of a semiconductor on insulator (SOI) substrate.
14. The device of claim 12 further comprising a sidewall adjacent the gate structure.
15. The device of claim 14, wherein the sidewall comprises an oxide.
16. The device of claim 14, wherein the sidewall comprises a nitride.
17. The device of claim 14 wherein a dimension between the active silicon region and an upper-most surface of the gate structure is greater than an upper-most dimension of the sidewall.
18. The device of claim 14 wherein a dimension between the active silicon region and an upper-most surface of the gate structure is less than an upper-most dimension of the sidewall.
19. The device of claim 18, wherein the semiconductor substrate is a portion of a semiconductor on insulator (SOI) substrate.
20. The device of claim 14 wherein a dimension between the active silicon region and an upper-most surface of the gate structure is the same as an upper-most dimension of the sidewall.
US12/471,600 2005-06-13 2009-05-26 Integration scheme for constrained seg growth on poly during raised s/d processing Abandoned US20090236664A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/471,600 US20090236664A1 (en) 2005-06-13 2009-05-26 Integration scheme for constrained seg growth on poly during raised s/d processing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/150,923 US7553732B1 (en) 2005-06-13 2005-06-13 Integration scheme for constrained SEG growth on poly during raised S/D processing
US12/471,600 US20090236664A1 (en) 2005-06-13 2009-05-26 Integration scheme for constrained seg growth on poly during raised s/d processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/150,923 Division US7553732B1 (en) 2005-06-13 2005-06-13 Integration scheme for constrained SEG growth on poly during raised S/D processing

Publications (1)

Publication Number Publication Date
US20090236664A1 true US20090236664A1 (en) 2009-09-24

Family

ID=40793496

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/150,923 Active 2027-01-13 US7553732B1 (en) 2005-06-13 2005-06-13 Integration scheme for constrained SEG growth on poly during raised S/D processing
US12/471,600 Abandoned US20090236664A1 (en) 2005-06-13 2009-05-26 Integration scheme for constrained seg growth on poly during raised s/d processing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/150,923 Active 2027-01-13 US7553732B1 (en) 2005-06-13 2005-06-13 Integration scheme for constrained SEG growth on poly during raised S/D processing

Country Status (1)

Country Link
US (2) US7553732B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102148236A (en) * 2010-02-09 2011-08-10 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacturing the same
US10403547B2 (en) * 2017-12-12 2019-09-03 Varian Semiconductor Equipment Associates, Inc. Structure and method of forming self aligned contacts in semiconductor device

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100629648B1 (en) * 2005-04-06 2006-09-29 삼성전자주식회사 Semiconductor device and method for fabricating the same
TWI480957B (en) * 2009-12-14 2015-04-11 United Microelectronics Corp Method of fabricating n-channel metal-oxide semiconductor transistor
US8273631B2 (en) * 2009-12-14 2012-09-25 United Microelectronics Corp. Method of fabricating n-channel metal-oxide semiconductor transistor
JP2012094642A (en) * 2010-10-26 2012-05-17 Renesas Electronics Corp Resistor element and method of manufacturing the same
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
KR20120121795A (en) * 2011-04-27 2012-11-06 에스케이하이닉스 주식회사 Method for manufacturing of semiconductor device having spacer with air gap
US9536879B2 (en) 2014-07-09 2017-01-03 International Business Machines Corporation FinFET with constrained source-drain epitaxial region

Citations (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962892A (en) * 1994-10-12 1999-10-05 Nec Corporation MISFET and complementary MISFET device having high performance source and drain diffusion layer
US6165857A (en) * 1999-12-21 2000-12-26 United Micoelectronics Corp. Method for forming a transistor with selective epitaxial growth film
US20010012693A1 (en) * 1997-01-29 2001-08-09 Somit Talwar Method for forming a silicide region on a silicon body
US6316302B1 (en) * 1998-06-26 2001-11-13 Advanced Micro Devices, Inc. Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
US6335251B2 (en) * 1998-05-29 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6342422B1 (en) * 1999-04-30 2002-01-29 Tsmc-Acer Semiconductor Manufacturing Company Method for forming MOSFET with an elevated source/drain
US6346468B1 (en) * 2000-02-11 2002-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming an L-shaped spacer using a disposable polysilicon spacer
US6346447B1 (en) * 1997-08-29 2002-02-12 Texas Instruments Incorporated Shallow-implant elevated source/drain doping from a sidewall dopant source
US6348387B1 (en) * 2000-07-10 2002-02-19 Advanced Micro Devices, Inc. Field effect transistor with electrically induced drain and source extensions
US20020048890A1 (en) * 2000-10-20 2002-04-25 Karsten Wieczorek Sidewall spacer based fet alignment technology
US6380043B1 (en) * 2001-02-12 2002-04-30 Advanced Micro Devices, Inc. Low temperature process to form elevated drain and source of a field effect transistor having high-K gate dielectric
US6383877B1 (en) * 1999-05-20 2002-05-07 Samsung Electronics Co., Ltd. Method of forming T-shaped isolation layer, method of forming elevated salicide source/drain region using the same, and semiconductor device having T-shaped isolation layer
US6387765B2 (en) * 2000-02-11 2002-05-14 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
US20020063084A1 (en) * 2000-11-29 2002-05-30 Mu-Tsang Lin Apparatus and method for reducing contamination in a wafer transfer chamber
US6403434B1 (en) * 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US20020104756A1 (en) * 2001-01-26 2002-08-08 Seiko Epson Corporation Sputtering apparatus
US6436841B1 (en) * 2001-09-10 2002-08-20 Taiwan Semiconductor Manufacturing Company Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
US6436505B2 (en) * 1997-12-16 2002-08-20 Sumitomo Chemical Company, Limited Vacuum thermal insulator
US6440851B1 (en) * 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6451693B1 (en) * 2000-10-05 2002-09-17 Advanced Micro Device, Inc. Double silicide formation in polysicon gate without silicide in source/drain extensions
US20020137297A1 (en) * 2001-03-22 2002-09-26 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
US20020135017A1 (en) * 2001-03-23 2002-09-26 Vogt Eric E. Recessed silicon oxidation for devices such as a cmos soi ics
US20020142616A1 (en) * 2001-03-30 2002-10-03 International Business Machines Corporation Method for improved fabrication of salicide structures
US6461385B1 (en) * 1997-12-18 2002-10-08 Comfort Biomedical Inc. Method and apparatus for augmenting osteointegration of prosthetic implant devices
US6472283B1 (en) * 1999-09-24 2002-10-29 Advanced Micro Devices, Inc. MOS transistor processing utilizing UV-nitride removable spacer and HF etch
US6479258B1 (en) * 1995-12-07 2002-11-12 Diversa Corporation Non-stochastic generation of genetic vaccines
US20020171107A1 (en) * 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
US20030038323A1 (en) * 2001-08-27 2003-02-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030042515A1 (en) * 2001-08-28 2003-03-06 Advanced Micro Devices, Inc. Improved silicide process using high k-dielectrics
US20030098479A1 (en) * 1999-12-30 2003-05-29 Anand Murthy Novel MOS transistor structure and method of fabrication
US20030124840A1 (en) * 2002-01-02 2003-07-03 Dubin Valery M. Material deposition from a liquefied gas solution
US6600212B2 (en) * 2001-03-13 2003-07-29 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US6649489B1 (en) * 2003-02-13 2003-11-18 Taiwan Semiconductor Manufacturing Company Poly etching solution to improve silicon trench for low STI profile
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6679946B1 (en) * 2000-09-27 2004-01-20 Optical Communication Products, Inc. Method and apparatus for controlling substrate temperature and layer thickness during film formation
US20040041216A1 (en) * 2002-08-29 2004-03-04 Fujitsu Limited Semiconductor device with elevated source/drain structure and its manufacture method
US20040053481A1 (en) * 2002-09-13 2004-03-18 Srinivasan Chakravarthi Methods and apparatus for improved mosfet drain extension activation
US6713350B2 (en) * 2002-08-07 2004-03-30 Micron Technology, Inc. Method to remove an oxide seam along gate stack edge, when nitride space formation begins with an oxide liner surrounding gate stack
US6726767B1 (en) * 1998-10-19 2004-04-27 Qinetiq Limited Layer processing
US20040119102A1 (en) * 2002-12-23 2004-06-24 Chan Kevin K. Self-aligned isolation double-gate FET
US20040118812A1 (en) * 2002-08-09 2004-06-24 Watkins James J. Etch method using supercritical fluids
US20040132291A1 (en) * 2002-02-22 2004-07-08 Samsung Electronics Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6800213B2 (en) * 2000-02-17 2004-10-05 Ji Ding Precision dielectric etch using hexafluorobutadiene
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US20050090066A1 (en) * 2003-10-22 2005-04-28 International Business Machines Corporation Method and manufacture of thin silicon on insulator (soi) with recessed channel and devices manufactured thereby
US6890391B2 (en) * 2002-10-17 2005-05-10 Nec Electronics Corporation Method of manufacturing semiconductor device and apparatus for cleaning substrate
US20050112817A1 (en) * 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture thereof
US20050118769A1 (en) * 2003-11-28 2005-06-02 Thorsten Kammler Method of forming sidewall spacer elements for a circuit element by increasing an etch selectivity
US20050124126A1 (en) * 2003-12-03 2005-06-09 Bing-Chang Wu Method for fabricating silicide
US20050130434A1 (en) * 2003-12-15 2005-06-16 United Microelectronics Corp. Method of surface pretreatment before selective epitaxial growth
US6908822B2 (en) * 2003-09-15 2005-06-21 Freescale Semiconductor, Inc. Semiconductor device having an insulating layer and method for forming
US20050153566A1 (en) * 2004-01-14 2005-07-14 Samsung Electronics Co., Ltd. Method of fabricating microelectronic device using super critical fluid
US6924518B2 (en) * 2003-07-11 2005-08-02 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050176205A1 (en) * 2004-02-09 2005-08-11 Chin-Cheng Chien Method of forming a transistor using selective epitaxial growth
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US20050212015A1 (en) * 2004-03-25 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate semiconductor device and manufacturing method
US20050252443A1 (en) * 2004-05-17 2005-11-17 Pang-Yen Tsai Epitaxy layer and method of forming the same
US6976622B1 (en) * 2002-08-05 2005-12-20 Diebold, Incorporated Automated banking machine diagnostic system and method
US20060014366A1 (en) * 2002-06-07 2006-01-19 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7008835B2 (en) * 2003-11-24 2006-03-07 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device having a gate structure with low parasitic capacitance
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
US7018891B2 (en) * 2003-12-16 2006-03-28 International Business Machines Corporation Ultra-thin Si channel CMOS with improved series resistance
US20060094215A1 (en) * 2004-10-29 2006-05-04 Kai Frohberg Technique for forming a dielectric etch stop layer above a structure including closely spaced lines
US20060121688A1 (en) * 2004-12-03 2006-06-08 Chih-Hsin Ko Transistor mobility by adjusting stress in shallow trench isolation
US20060131648A1 (en) * 2004-12-17 2006-06-22 Electronics And Telecommunications Research Institute Ultra thin film SOI MOSFET having recessed source/drain structure and method of fabricating the same
US20060145270A1 (en) * 2004-12-30 2006-07-06 Dongbuanam Semiconductor Inc. Semiconductor device having silicide-blocking layer and fabrication method thereof
US20060151840A1 (en) * 2005-01-11 2006-07-13 Oki Electric Industry Co., Ltd. Semiconductor device and a method of manufacturing thereof
US20060151776A1 (en) * 2005-01-11 2006-07-13 Fujitsu Limited Semiconductor integrated circuit and fabrication process thereof
US20060154410A1 (en) * 2005-01-12 2006-07-13 Samsung Electronics Co., Ltd. Transistor having gate dielectric layer of partial thickness difference and method of fabricating the same
US20060175686A1 (en) * 2005-02-09 2006-08-10 Fujitsu Limited Semiconductor device and fabrication method thereof
US20060220148A1 (en) * 2005-01-17 2006-10-05 International Business Machines Corporation Shallow trench isolation formation
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US20070018205A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation STRUCTURE AND METHOD FOR IMPROVED STRESS AND YIELD IN pFETS WITH EMBEDDED SiGe SOURCE/DRAIN REGIONS
US7183662B2 (en) * 2003-08-23 2007-02-27 Samsung Electronics Co., Ltd. Memory devices with memory cell transistors having gate sidewell spacers with different dielectric properties
US20070057324A1 (en) * 2005-09-13 2007-03-15 Tews Helmut H Strained semiconductor device and method of making the same
US7220650B2 (en) * 2004-04-09 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacer for semiconductor device and fabrication method thereof
US7226832B2 (en) * 2001-11-30 2007-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US20070132013A1 (en) * 2001-09-07 2007-06-14 Power Integrations, Inc. High-voltage vertical transistor with a multi-gradient drain doping profile
US7235848B2 (en) * 2003-12-09 2007-06-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with spacer trapping structure
US7241700B1 (en) * 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US20070166937A1 (en) * 2006-01-19 2007-07-19 Adetutu Olubunmi O Dual metal silicide scheme using a dual spacer process
US7314804B2 (en) * 2005-01-04 2008-01-01 Intel Corporation Plasma implantation of impurities in junction region recesses
US7355262B2 (en) * 2006-03-17 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion topography engineering for high performance CMOS fabrication

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4606866A (en) 1983-07-01 1986-08-19 Baxter Travenol Laboratories, Inc. Wettable devices operational with aqueous liquid and method therefor
US4933295A (en) 1987-05-08 1990-06-12 Raytheon Company Method of forming a bipolar transistor having closely spaced device regions
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4868617A (en) 1988-04-25 1989-09-19 Elite Semiconductor & Sytems International, Inc. Gate controllable lightly doped drain mosfet devices
DE69126149T2 (en) 1990-01-22 1998-01-02 Sony Corp Dry etching process
DE4017440C2 (en) 1990-05-30 1994-02-10 Fraunhofer Ges Forschung Method for measuring the layer thickness and the refractive index of a thin layer on a substrate and device for carrying out the method
US5091763A (en) 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
JP2994128B2 (en) 1991-03-04 1999-12-27 シャープ株式会社 Method for manufacturing semiconductor device
US5200352A (en) 1991-11-25 1993-04-06 Motorola Inc. Transistor having a lightly doped region and method of formation
US5298454A (en) 1992-10-30 1994-03-29 At&T Bell Laboratories Method for making self-electro-optical device and devices made thereby
US5399521A (en) 1993-10-08 1995-03-21 Texas Instruments Incorporated Method of semiconductor layer growth by MBE
KR100306527B1 (en) 1994-06-15 2002-06-26 구사마 사부로 Manufacturing method of thin film semiconductor device, thin film semiconductor device
JPH08139416A (en) 1994-11-14 1996-05-31 Sony Corp Obtaining method of critical film thickness of compound semiconductor layer and manufacture of optical semiconductor device which uses the method
US5926701A (en) 1994-12-21 1999-07-20 Sony Electronics, Inc. Thin film transistor fabrication technique
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6159422A (en) 1994-12-29 2000-12-12 Graves' Trust Group Methods and apparatus for the treatment of hazardous biological waste materials
US5646073A (en) 1995-01-18 1997-07-08 Lsi Logic Corporation Process for selective deposition of polysilicon over single crystal silicon substrate and resulting product
US5773328A (en) 1995-02-28 1998-06-30 Sgs-Thomson Microelectronics, Inc. Method of making a fully-dielectric-isolated fet
US5670018A (en) 1995-04-27 1997-09-23 Siemens Aktiengesellschaft Isotropic silicon etch process that is highly selective to tungsten
US5956590A (en) 1995-05-25 1999-09-21 United Microelectronics Corp. Process of forming a field effect transistor without spacer mask edge defects
JP3146962B2 (en) 1995-12-14 2001-03-19 日本電気株式会社 Semiconductor storage device and method of manufacturing the same
KR100214468B1 (en) 1995-12-29 1999-08-02 구본준 Method for fabricating cmos
US5854136A (en) 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US5677214A (en) 1996-09-05 1997-10-14 Sharp Microelectronics Technology, Inc. Raised source/drain MOS transistor with covered epitaxial notches and fabrication method
JPH10223771A (en) 1997-02-12 1998-08-21 Yamaha Corp Semiconductor device and fabrication thereof
JPH10242139A (en) 1997-02-27 1998-09-11 Nec Corp Manufacture of semiconductor device
US5949126A (en) 1997-12-17 1999-09-07 Advanced Micro Devices, Inc. Trench isolation structure employing protective sidewall spacers upon exposed surfaces of the isolation trench
US6228746B1 (en) 1997-12-18 2001-05-08 Advanced Micro Devices, Inc. Methodology for achieving dual field oxide thicknesses
WO1999030632A1 (en) 1997-12-18 1999-06-24 Comfort Biomedical, Inc. Bone augmentation for prosthetic implants and the like
US5902125A (en) 1997-12-29 1999-05-11 Texas Instruments--Acer Incorporated Method to form stacked-Si gate pMOSFETs with elevated and extended S/D junction
US6346505B1 (en) 1998-01-16 2002-02-12 Kurita Water Industries, Ltd. Cleaning solution for electromaterials and method for using same
US6133093A (en) 1998-01-30 2000-10-17 Motorola, Inc. Method for forming an integrated circuit
US6245684B1 (en) 1998-03-13 2001-06-12 Applied Materials, Inc. Method of obtaining a rounded top trench corner for semiconductor trench etch applications
JP3104689B2 (en) 1998-09-28 2000-10-30 日本電気株式会社 Method for forming silicide layer and method for manufacturing semiconductor device
US6277736B1 (en) 1998-12-08 2001-08-21 United Microelectronics, Corp. Method for forming gate
US6187645B1 (en) 1999-01-19 2001-02-13 United Microelectronics Corp. Method for manufacturing semiconductor device capable of preventing gate-to-drain capacitance and eliminating birds beak formation
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6313017B1 (en) 1999-01-26 2001-11-06 University Of Vermont And State Agricultural College Plasma enhanced CVD process for rapidly growing semiconductor films
US6165906A (en) 1999-01-26 2000-12-26 Advanced Micro Devices, Inc. Semiconductor topography employing a shallow trench isolation structure with an improved trench edge
US6190981B1 (en) 1999-02-03 2001-02-20 United Microelectronics Corp. Method for fabricating metal oxide semiconductor
US6218711B1 (en) 1999-02-19 2001-04-17 Advanced Micro Devices, Inc. Raised source/drain process by selective sige epitaxy
US6228730B1 (en) 1999-04-28 2001-05-08 United Microelectronics Corp. Method of fabricating field effect transistor
US6255152B1 (en) 1999-10-01 2001-07-03 United Microelectronics Corp. Method of fabricating CMOS using Si-B layer to form source/drain extension junction
US6251764B1 (en) 1999-11-15 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form an L-shaped silicon nitride sidewall spacer
US6294432B1 (en) 1999-12-20 2001-09-25 United Microelectronics Corp. Super halo implant combined with offset spacer process
US6277700B1 (en) 2000-01-11 2001-08-21 Chartered Semiconductor Manufacturing Ltd. High selective nitride spacer etch with high ratio of spacer width to deposited nitride thickness
US6979622B1 (en) 2004-08-24 2005-12-27 Freescale Semiconductor, Inc. Semiconductor transistor having structural elements of differing materials and method of formation

Patent Citations (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962892A (en) * 1994-10-12 1999-10-05 Nec Corporation MISFET and complementary MISFET device having high performance source and drain diffusion layer
US6479258B1 (en) * 1995-12-07 2002-11-12 Diversa Corporation Non-stochastic generation of genetic vaccines
US20010012693A1 (en) * 1997-01-29 2001-08-09 Somit Talwar Method for forming a silicide region on a silicon body
US6777759B1 (en) * 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
US6346447B1 (en) * 1997-08-29 2002-02-12 Texas Instruments Incorporated Shallow-implant elevated source/drain doping from a sidewall dopant source
US6436505B2 (en) * 1997-12-16 2002-08-20 Sumitomo Chemical Company, Limited Vacuum thermal insulator
US6461385B1 (en) * 1997-12-18 2002-10-08 Comfort Biomedical Inc. Method and apparatus for augmenting osteointegration of prosthetic implant devices
US6335251B2 (en) * 1998-05-29 2002-01-01 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
US6316302B1 (en) * 1998-06-26 2001-11-13 Advanced Micro Devices, Inc. Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
US6726767B1 (en) * 1998-10-19 2004-04-27 Qinetiq Limited Layer processing
US6342422B1 (en) * 1999-04-30 2002-01-29 Tsmc-Acer Semiconductor Manufacturing Company Method for forming MOSFET with an elevated source/drain
US6383877B1 (en) * 1999-05-20 2002-05-07 Samsung Electronics Co., Ltd. Method of forming T-shaped isolation layer, method of forming elevated salicide source/drain region using the same, and semiconductor device having T-shaped isolation layer
US6472283B1 (en) * 1999-09-24 2002-10-29 Advanced Micro Devices, Inc. MOS transistor processing utilizing UV-nitride removable spacer and HF etch
US6440851B1 (en) * 1999-10-12 2002-08-27 International Business Machines Corporation Method and structure for controlling the interface roughness of cobalt disilicide
US6165857A (en) * 1999-12-21 2000-12-26 United Micoelectronics Corp. Method for forming a transistor with selective epitaxial growth film
US20030098479A1 (en) * 1999-12-30 2003-05-29 Anand Murthy Novel MOS transistor structure and method of fabrication
US6346468B1 (en) * 2000-02-11 2002-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming an L-shaped spacer using a disposable polysilicon spacer
US6387765B2 (en) * 2000-02-11 2002-05-14 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
US6800213B2 (en) * 2000-02-17 2004-10-05 Ji Ding Precision dielectric etch using hexafluorobutadiene
US6348387B1 (en) * 2000-07-10 2002-02-19 Advanced Micro Devices, Inc. Field effect transistor with electrically induced drain and source extensions
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6679946B1 (en) * 2000-09-27 2004-01-20 Optical Communication Products, Inc. Method and apparatus for controlling substrate temperature and layer thickness during film formation
US6451693B1 (en) * 2000-10-05 2002-09-17 Advanced Micro Device, Inc. Double silicide formation in polysicon gate without silicide in source/drain extensions
US20020048890A1 (en) * 2000-10-20 2002-04-25 Karsten Wieczorek Sidewall spacer based fet alignment technology
US20020063084A1 (en) * 2000-11-29 2002-05-30 Mu-Tsang Lin Apparatus and method for reducing contamination in a wafer transfer chamber
US6596138B2 (en) * 2001-01-26 2003-07-22 Seiko Epson Corporation Sputtering apparatus
US20020104756A1 (en) * 2001-01-26 2002-08-08 Seiko Epson Corporation Sputtering apparatus
US6403434B1 (en) * 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6380043B1 (en) * 2001-02-12 2002-04-30 Advanced Micro Devices, Inc. Low temperature process to form elevated drain and source of a field effect transistor having high-K gate dielectric
US6444578B1 (en) * 2001-02-21 2002-09-03 International Business Machines Corporation Self-aligned silicide process for reduction of Si consumption in shallow junction and thin SOI electronic devices
US6600212B2 (en) * 2001-03-13 2003-07-29 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20020137297A1 (en) * 2001-03-22 2002-09-26 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
US20020135017A1 (en) * 2001-03-23 2002-09-26 Vogt Eric E. Recessed silicon oxidation for devices such as a cmos soi ics
US20020142616A1 (en) * 2001-03-30 2002-10-03 International Business Machines Corporation Method for improved fabrication of salicide structures
US20020171107A1 (en) * 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
US6727553B2 (en) * 2001-08-27 2004-04-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device including SOI substrate
US20030038323A1 (en) * 2001-08-27 2003-02-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20030042515A1 (en) * 2001-08-28 2003-03-06 Advanced Micro Devices, Inc. Improved silicide process using high k-dielectrics
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20070132013A1 (en) * 2001-09-07 2007-06-14 Power Integrations, Inc. High-voltage vertical transistor with a multi-gradient drain doping profile
US6436841B1 (en) * 2001-09-10 2002-08-20 Taiwan Semiconductor Manufacturing Company Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
US7226832B2 (en) * 2001-11-30 2007-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6677233B2 (en) * 2002-01-02 2004-01-13 Intel Corporation Material deposition from a liquefied gas solution
US20030124840A1 (en) * 2002-01-02 2003-07-03 Dubin Valery M. Material deposition from a liquefied gas solution
US20040132291A1 (en) * 2002-02-22 2004-07-08 Samsung Electronics Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US20060014366A1 (en) * 2002-06-07 2006-01-19 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6976622B1 (en) * 2002-08-05 2005-12-20 Diebold, Incorporated Automated banking machine diagnostic system and method
US6713350B2 (en) * 2002-08-07 2004-03-30 Micron Technology, Inc. Method to remove an oxide seam along gate stack edge, when nitride space formation begins with an oxide liner surrounding gate stack
US20070042602A1 (en) * 2002-08-09 2007-02-22 The University of Massachusetts, a Massachusetts corporations, Etch method using supercritical fluids
US20040118812A1 (en) * 2002-08-09 2004-06-24 Watkins James J. Etch method using supercritical fluids
US20040041216A1 (en) * 2002-08-29 2004-03-04 Fujitsu Limited Semiconductor device with elevated source/drain structure and its manufacture method
US20050121719A1 (en) * 2002-08-29 2005-06-09 Fujitsu Limited Semiconductor device with elevated source/drain structure and its manufacture method
US20040053481A1 (en) * 2002-09-13 2004-03-18 Srinivasan Chakravarthi Methods and apparatus for improved mosfet drain extension activation
US6890391B2 (en) * 2002-10-17 2005-05-10 Nec Electronics Corporation Method of manufacturing semiconductor device and apparatus for cleaning substrate
US20040119102A1 (en) * 2002-12-23 2004-06-24 Chan Kevin K. Self-aligned isolation double-gate FET
US6649489B1 (en) * 2003-02-13 2003-11-18 Taiwan Semiconductor Manufacturing Company Poly etching solution to improve silicon trench for low STI profile
US6924518B2 (en) * 2003-07-11 2005-08-02 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7183662B2 (en) * 2003-08-23 2007-02-27 Samsung Electronics Co., Ltd. Memory devices with memory cell transistors having gate sidewell spacers with different dielectric properties
US6908822B2 (en) * 2003-09-15 2005-06-21 Freescale Semiconductor, Inc. Semiconductor device having an insulating layer and method for forming
US20050090066A1 (en) * 2003-10-22 2005-04-28 International Business Machines Corporation Method and manufacture of thin silicon on insulator (soi) with recessed channel and devices manufactured thereby
US7008835B2 (en) * 2003-11-24 2006-03-07 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device having a gate structure with low parasitic capacitance
US20060099766A1 (en) * 2003-11-24 2006-05-11 Samsung Electronics, Co., Ltd. Method of manufacturing a semiconductor device having a gate structure with low parasitic capacitance
US20050112817A1 (en) * 2003-11-25 2005-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture thereof
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US20050118769A1 (en) * 2003-11-28 2005-06-02 Thorsten Kammler Method of forming sidewall spacer elements for a circuit element by increasing an etch selectivity
US20050124126A1 (en) * 2003-12-03 2005-06-09 Bing-Chang Wu Method for fabricating silicide
US7235848B2 (en) * 2003-12-09 2007-06-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with spacer trapping structure
US20050130434A1 (en) * 2003-12-15 2005-06-16 United Microelectronics Corp. Method of surface pretreatment before selective epitaxial growth
US7018891B2 (en) * 2003-12-16 2006-03-28 International Business Machines Corporation Ultra-thin Si channel CMOS with improved series resistance
US20050153566A1 (en) * 2004-01-14 2005-07-14 Samsung Electronics Co., Ltd. Method of fabricating microelectronic device using super critical fluid
US7037793B2 (en) * 2004-02-09 2006-05-02 United Microelectronics Corp. Method of forming a transistor using selective epitaxial growth
US20050176205A1 (en) * 2004-02-09 2005-08-11 Chin-Cheng Chien Method of forming a transistor using selective epitaxial growth
US20050212015A1 (en) * 2004-03-25 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate semiconductor device and manufacturing method
US20060202237A1 (en) * 2004-03-25 2006-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate semiconductor device and manufacturing method
US7220650B2 (en) * 2004-04-09 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacer for semiconductor device and fabrication method thereof
US20050252443A1 (en) * 2004-05-17 2005-11-17 Pang-Yen Tsai Epitaxy layer and method of forming the same
US7241700B1 (en) * 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US20060094215A1 (en) * 2004-10-29 2006-05-04 Kai Frohberg Technique for forming a dielectric etch stop layer above a structure including closely spaced lines
US20060121688A1 (en) * 2004-12-03 2006-06-08 Chih-Hsin Ko Transistor mobility by adjusting stress in shallow trench isolation
US20070132035A1 (en) * 2004-12-03 2007-06-14 Chih-Hsin Ko Transistor mobility improvement by adjusting stress in shallow trench isolation
US7190036B2 (en) * 2004-12-03 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor mobility improvement by adjusting stress in shallow trench isolation
US20060131648A1 (en) * 2004-12-17 2006-06-22 Electronics And Telecommunications Research Institute Ultra thin film SOI MOSFET having recessed source/drain structure and method of fabricating the same
US20060145270A1 (en) * 2004-12-30 2006-07-06 Dongbuanam Semiconductor Inc. Semiconductor device having silicide-blocking layer and fabrication method thereof
US7358574B2 (en) * 2004-12-30 2008-04-15 Dongbu Electronics Co., Ltd. Semiconductor device having silicide-blocking layer and fabrication method thereof
US7314804B2 (en) * 2005-01-04 2008-01-01 Intel Corporation Plasma implantation of impurities in junction region recesses
US20060151776A1 (en) * 2005-01-11 2006-07-13 Fujitsu Limited Semiconductor integrated circuit and fabrication process thereof
US20060151840A1 (en) * 2005-01-11 2006-07-13 Oki Electric Industry Co., Ltd. Semiconductor device and a method of manufacturing thereof
US20060154410A1 (en) * 2005-01-12 2006-07-13 Samsung Electronics Co., Ltd. Transistor having gate dielectric layer of partial thickness difference and method of fabricating the same
US20060220148A1 (en) * 2005-01-17 2006-10-05 International Business Machines Corporation Shallow trench isolation formation
US20060175686A1 (en) * 2005-02-09 2006-08-10 Fujitsu Limited Semiconductor device and fabrication method thereof
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US20070018205A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation STRUCTURE AND METHOD FOR IMPROVED STRESS AND YIELD IN pFETS WITH EMBEDDED SiGe SOURCE/DRAIN REGIONS
US7358551B2 (en) * 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
US20070057324A1 (en) * 2005-09-13 2007-03-15 Tews Helmut H Strained semiconductor device and method of making the same
US20070166937A1 (en) * 2006-01-19 2007-07-19 Adetutu Olubunmi O Dual metal silicide scheme using a dual spacer process
US7355262B2 (en) * 2006-03-17 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion topography engineering for high performance CMOS fabrication

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102148236A (en) * 2010-02-09 2011-08-10 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacturing the same
US20110193144A1 (en) * 2010-02-09 2011-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US8946828B2 (en) * 2010-02-09 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure and method of manufacturing the same
US9601587B2 (en) 2010-02-09 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having elevated structure
US10403547B2 (en) * 2017-12-12 2019-09-03 Varian Semiconductor Equipment Associates, Inc. Structure and method of forming self aligned contacts in semiconductor device

Also Published As

Publication number Publication date
US7553732B1 (en) 2009-06-30

Similar Documents

Publication Publication Date Title
US20060252191A1 (en) Methodology for deposition of doped SEG for raised source/drain regions
US20090236664A1 (en) Integration scheme for constrained seg growth on poly during raised s/d processing
US7732285B2 (en) Semiconductor device having self-aligned epitaxial source and drain extensions
US7456062B1 (en) Method of forming a semiconductor device
US8598661B2 (en) Epitaxial process for forming semiconductor devices
US6811448B1 (en) Pre-cleaning for silicidation in an SMOS process
US7569443B2 (en) Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US6599803B2 (en) Method for fabricating semiconductor device
US9997352B2 (en) Polysilicon residue removal in nanosheet MOSFETs
TW201338165A (en) Semiconductor device, semiconductor apparatus, and method for fabricating the same
US20060281271A1 (en) Method of forming a semiconductor device having an epitaxial layer and device thereof
US7910996B2 (en) Semiconductor device and method of manufacturing a semiconductor device
US7241700B1 (en) Methods for post offset spacer clean for improved selective epitaxy silicon growth
KR100558011B1 (en) Method of forming a MOS transistor having fully silicided metal gate electrode
US7402485B1 (en) Method of forming a semiconductor device
US11081396B2 (en) Semiconductor device and method
US20050252525A1 (en) Method of cleaning a semiconductor substrate and cleaning recipes
JP6083150B2 (en) Manufacturing method of semiconductor device
US20110001197A1 (en) Method for manufacturing semiconductor device and semiconductor device
TW202133227A (en) Method for forming semiconductor device
TWI831182B (en) Method for making semiconductor device
KR100525912B1 (en) Method of manufacturing a semiconductor device
KR20100095141A (en) Method for munufacturing memory device and method for manufacturing phase change random access memory device using the same
KR101062290B1 (en) Method for forming contact plug of semiconductor device
WO2023196717A1 (en) Lateral etching of silicon

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION