US20090283038A1 - Film forming method and apparatus - Google Patents

Film forming method and apparatus Download PDF

Info

Publication number
US20090283038A1
US20090283038A1 US12/509,872 US50987209A US2009283038A1 US 20090283038 A1 US20090283038 A1 US 20090283038A1 US 50987209 A US50987209 A US 50987209A US 2009283038 A1 US2009283038 A1 US 2009283038A1
Authority
US
United States
Prior art keywords
gas
film forming
energy transfer
forming apparatus
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/509,872
Inventor
Isao Gunji
Yumiko Kawano
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US12/509,872 priority Critical patent/US20090283038A1/en
Publication of US20090283038A1 publication Critical patent/US20090283038A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate

Definitions

  • the present invention relates to a film forming method and apparatus; and, more particularly, to a film forming method for forming a desired thin film on a surface of a substrate by using an ALD (atomic layer deposition) method and a film forming apparatus therefor.
  • ALD atomic layer deposition
  • a CVD (chemical vapor deposition) method As for a representative film forming method for forming a solid thin film on a surface of a substrate such as a semiconductor wafer or the like, there is known a CVD (chemical vapor deposition) method.
  • a source When a film is formed by using the CVD method, a source needs to be activated by applying energy to a source gas. Accordingly, there has been employed a CVD method for supplying thermal energy to a source gas through a substrate heated by a heater provided at a mounting table for mounting thereon the substrate or a plasma CVD method for supplying energy of a plasma generated in a space above a substrate by introducing a source gas into the atmosphere thereof.
  • a film forming apparatus for manufacturing an advanced very large scale integrated circuit needs to have a performance (a step coverage performance) of forming a high-quality thin film of a uniform thickness along surfaces of holes/grooves previously formed on a surface of a semiconductor wafer with a diameter/width of tens of nanometers.
  • a surface reaction needs to take place by activating a source gas on an uppermost surface of a substrate, not by activating it in a gas phase space above the substrate.
  • certain source gases may cause a gas phase reaction by an excessive activation thereof in a gas phase. Since the gas phase reaction greatly deteriorates the step coverage performance, there arises a need to suppress the gas phase reaction and facilitate the surface reaction in order to maintain the high step coverage performance.
  • ALD atomic layer deposition
  • a thin film having high step coverage can be formed on a substrate disposed inside a vacuum chamber by repetitively performing a film forming process and a purge process.
  • a reaction is carried out by supplying energy to a monomolecular or a multimolecular adsorption layer adsorbed on a surface, the adsorption layer being formed of molecules of a source compound.
  • the purge process the atmosphere inside the vacuum chamber is substituted.
  • the ALD method for forming a film while suppressing a gas phase reaction was suggested in 1977 by Suntola et al. (see U.S. Pat. No. 4,058,430).
  • the method is performed by alternately supplying a source gas and a reactant gas to a substrate at different timings, as shown in FIG. 24 , and then removing a residual source gas and a by-product gas of a previous cycle remaining in a gas phase with a non-reactive purge gas before supplying the source gas and the reactant gas again.
  • the gas phase reaction can be suppressed by repeating those cycles. Further, the high step coverage performance can be maintained by restricting the reaction to take place at the uppermost surface of the substrate.
  • thermo ALD method In an initial ALD method, although the source gas and the reactant gas are separately provided as shown in FIG. 24 , the energy (heat) is constantly supplied. This is because the initial ALD method supplies the thermal energy to a surface of a substrate via the substrate by heating the entire substrate as in the thermal CVD method and, therefore, a time responsiveness in controlling an on/off of energy supply becomes poor (see, e.g., U.S. Pat. No. 4,389,973). Such an ALD method is referred to as “thermal ALD method”.
  • a source gas supplying process and an energy supplying process can be carried out at different timings. Therefore, it is possible to prevent a self-pyrolysis reaction of the source gas from taking place during the source gas supplying process, the self-pyrolysis reaction being caused by the continuous supply of thermal energy. Further, since such a method is not a method for supplying energy through a substrate being continuously heated, it is possible to avoid the problem of deteriorating the previously formed solid layer with the heat.
  • the excessively high energy of the active species (radicals, ions and electrons) generated from a plasma inflicts a serious physical damage or causes a chemical deterioration on a base layer of a substrate where a film will be formed (see, e.g., A. Grill et al, “Hydrogen plasma effects on ultra low-k porous SiCOH dielectric”, Journal of Applied Physics, vol. 98, p 074502 (2005)).
  • the active species also collide against not only the substrate but also an inner surface of an apparatus in contact with the plasma, thereby causing a physical sputtering, which in turn result in impurity incorporation into the surface of the substrate.
  • the side chain groups may be incorporated as undesired impurities into the film.
  • a potential gradient generated inside the apparatus electrically may destroy fine integrated circuits formed on the substrate.
  • high energy ultraviolet rays generated from the plasma may deteriorate the base layer of the substrate.
  • an object of the present invention to provide a film forming method capable of forming a high-quality thin film having high step coverage on a surface of a substrate without deteriorating a thin film previously formed on the substrate with heat or inflicting plasma damages thereon.
  • the present inventors have achieved the present invention by conceiving an energy supply method based on the ALD method and finding solutions to the aforementioned problems.
  • a film forming method for depositing thin films on a surface of a substrate mounted on a mounting table arranged in a vacuum evacuable processing chamber, the method comprising the steps of: an adsorption process for adsorbing a film forming material on the substrate by introducing a source gas into the processing chamber; and a reaction process for carrying out a film forming reaction, after the adsorption process, by introducing an energy transfer gas into the processing chamber and supplying thermal energy to the film forming material adsorbed on the substrate.
  • a purge process for introducing a purge gas into the processing chamber.
  • the adsorption process and the reaction process are alternately performed, and the purge process is performed therebetween.
  • a pressure decreasing process for decreasing an inner pressure of the processing chamber is provided upon or after the reaction process is completed.
  • the reaction process it is preferable to introduce a reactant gas chemically participating in the film forming reaction in addition to the energy transfer gas.
  • the reactant gas is one of a reduction gas, a carbonization gas, a nitrification gas and an oxidizing gas.
  • the energy transfer gas is selected among a reduction gas, a carbonization gas, a nitrification gas and an oxidizing gas.
  • the source gas is introduced and exhausted such that a gas flow is formed in a direction parallel to the surface of the substrate mounted on the mounting table. Furthermore, preferably, the source gas is introduced and exhausted such that a gas flow is formed in a direction of colliding against the surface of the substrate mounted on the mounting table.
  • the energy transfer gas is injected toward the surface of the substrate mounted on the mounting table, the surface having the film forming material adsorbed thereon.
  • the adsorption process is performed while controlling a temperature of the substrate mounted on the mounting table to a level at which the film forming material is absorbable.
  • the film forming material contains at least one metal element selected from a group consisting of Al, Si, Ti, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Zr, Mo, Ru, Rh, Pd, Ag, Ba, Hf, Ta, W, Re, Ir and Pt.
  • the thin films are deposited on the substrate by repeating a plurality of cycles of performing a film forming reaction with a film forming material of a monomolecular or a multimolecular adsorption layer through an atomic layer deposition method.
  • a computer-executable program for controlling the processing chamber such that the film forming method of the first aspect of the present invention is performed.
  • a computer readable storage medium for storing therein a computer-executable program, wherein the control program controls the processing chamber such that the film forming method of the first aspect of the present invention is performed.
  • a film forming apparatus including: a processing chamber accommodating therein a substrate, for performing a film forming process; a mounting table for mounting thereon the substrate in the processing chamber; a source gas inlet for introducing a source gas into the processing chamber; an energy transfer gas inlet for injecting an energy transfer gas toward a surface of the substrate mounted on the mounting table in the processing chamber; a gas exhaust unit for vacuum exhausting an inside of the processing chamber; and a controller for controlling the film forming method described in any one of claims 1 to 14 to be performed.
  • a film forming apparatus including: a processing chamber accommodating therein a substrate, for performing a film forming process; a mounting table for mounting thereon the substrate in the processing chamber; a source gas inlet for introducing a source gas into the processing chamber; an energy transfer gas inlet for injecting an energy transfer gas toward a surface of the substrate mounted on the mounting table in the processing chamber; and a gas discharge port connected with a gas exhaust unit for vacuum exhausting an inside of the processing chamber, wherein the source gas inlet and the gas discharge port are provided such that the introduced source gas flows in a direction parallel to a surface of the substrate mounted on the mounting table before being exhausted.
  • a film forming apparatus including: a processing chamber accommodating therein a substrate, for performing a film forming process; a mounting table for mounting thereon the substrate in the processing chamber; a source gas inlet for introducing a source gas into the processing chamber; an energy transfer gas inlet for injecting an energy transfer gas toward a surface of the substrate mounted on the mounting table in the processing chamber; and a gas discharge port connected with a gas exhaust unit for vacuum exhausting an inside of the processing chamber by a depressurization, wherein the source gas inlet and the gas discharge port are provided such that the source gas is introduced and exhausted in a direction of colliding against the surface of the substrate mounted on the mounting table.
  • the mounting table includes a temperature control unit for controlling a temperature of the substrate mounted thereon to a level at which a source material is absorbable on the substrate.
  • the adsorption process for adsorbing a film forming material on a substrate by introducing a source gas into a processing chamber is performed at a different timing from the reaction process for carrying out a film forming reaction by introducing an energy transfer gas into the processing chamber and supplying thermal energy to the film forming material adsorbed on the substrate. Accordingly, the whole substrate does not need to be heated for a long period of time. Further, since the thermal energy is supplied by using the energy transfer gas, a surface of the substrate is mainly heated.
  • a conventional thermal ALD method such as a deterioration of a solid layer due to a heat, a deterioration of step coverage due to a self-pyrolysis of a gaseous source gas and the like.
  • drawbacks of a plasma-assisted ALD method such as a damage inflicted on a substrate due to a plasma, a deterioration of a film quality due to a sputtering or an excessive activation of a source gas, and the like.
  • the present invention provides a high energy efficiency of the entire process.
  • a stage heater for maintaining a high temperature of a substrate, a plasma generating device and the like are not required and, also, a high-quality thin film can be formed with a simple configuration.
  • FIG. 1 is a cross sectional view showing a schematic configuration of a film forming apparatus in accordance with a first embodiment of the present invention
  • FIGS. 2A and 2B describe arrangements of gas injection openings formed on a bottom surface of a shower head, wherein FIG. 2A provides an example of a concentric arrangement, and FIG. 2B depicts an example of a grid pattern arrangement;
  • FIG. 3 illustrates a schematic configuration of a heater provided around the injection openings
  • FIG. 4 presents a flowchart for explaining exemplary processes of a film forming method of the present invention
  • FIG. 5 represents a flowchart for explaining another exemplary processes of the film forming method of the present invention.
  • FIG. 6 depicts a timing chart of the exemplary processes of FIG. 5 ;
  • FIG. 7 provides a flowchart for explaining still another exemplary processes of the film forming method of the present invention.
  • FIG. 8 describes a timing chart of the exemplary processes of FIG. 7 ;
  • FIG. 9 is a flowchart for explaining still another exemplary processes of the film forming method of the present invention.
  • FIG. 10 shows a timing chart of the exemplary processes of FIG. 9 ;
  • FIGS. 11A to 11J illustrate schematic views for explaining a principle of the film forming method of the present invention
  • FIG. 12 presents a schematic configuration of a film forming apparatus in accordance with a second embodiment of the present invention.
  • FIG. 13 represents a schematic configuration of a film forming apparatus in accordance with a third embodiment of the present invention.
  • FIG. 14 describes a timing chart of a film formation of a first example
  • FIG. 15 illustrates a timing chart of a film formation of a second example
  • FIG. 16 provides a timing chart of a film formation of a third example
  • FIG. 17 shows a timing chart of a film formation of a fifth example
  • FIG. 18 offers a timing chart of a film formation of a sixth example
  • FIG. 19 depicts a timing chart of a film formation of a seventh example
  • FIG. 20 presents a timing chart of a film formation of a ninth example
  • FIG. 21 represents a timing chart of a film formation of a tenth example
  • FIG. 22 illustrates a schematic configuration of a shower head having a cylindrical heater
  • FIG. 23 shows a schematic configuration of the cylindrical heater
  • FIG. 24 offers a timing chart of a conventional thermal ALD.
  • FIG. 1 is a cross sectional view schematically showing an exemplary film forming apparatus suitable for performing a film forming method of the present invention.
  • a film forming apparatus 100 has a substantially cylindrical airtight chamber 1 .
  • a circular opening 2 is formed at a central portion of a bottom wall 1 a of the chamber 1 .
  • a mounting table 3 made of ceramic such as AlN or the like, for horizontally supporting a wafer W (a semiconductor substrate).
  • An insulating unit 4 is provided between the mounting table 3 and the bottom wall 1 a and airtightly contacted with the bottom wall 1 a of the chamber 1 .
  • a gas exhaust port 5 is formed on a sidewall 1 b of the chamber 1 and connected with a gas exhaust unit 7 via a gas exhaust line 6 connected therewith, the gas exhaust unit 7 having a high speed vacuum pump.
  • a conductance variable valve 6 a is provided in the gas exhaust line 6 to control a gas exhaust amount from the chamber 1 .
  • the conductance variable valve 6 a there can be used, e.g., a butterfly valve or the like.
  • a shower head 10 is provided on a ceiling wall 1 c of the chamber 1 .
  • a gas inlet port 12 Disposed on an upper wall of the shower head 10 is a gas inlet port 12 for introducing a gas into the shower head 10 .
  • a line 13 Connected to the gas inlet port 12 is a line 13 for supplying an energy transfer gas such as He, Ar, Kr, Xe, H 2 , N 2 , CO 2 , CH 4 or the like.
  • the other end portion of the line 13 connected with the gas inlet port 12 is branched into two.
  • One is connected with an energy transfer gas supply source 23 a via a mass flow controller 21 a and valves 22 a provided in its forward and backward direction, and the other is connected with a reactant gas supply source 23 b via a mass flow controller 21 b and valves 22 b provided in its forward and backward direction.
  • a diffusion space 14 is formed inside the shower head 10 .
  • the gas introduced from the gas inlet port 12 is diffused in the diffusion space 14 .
  • Formed at a lower portion of the shower head 10 are a plurality of gas injection openings 11 for discharging the energy transfer gas and the reactant gas toward the mounting table 3 .
  • the gas injection openings 11 may be arranged in any pattern. For example, they can be formed in a concentric pattern as shown in FIG. 2A or in a grid pattern as illustrated in FIG. 2 B. Further, a diameter or the number of the gas injection openings 11 can be appropriately determined depending on film types.
  • FIG. 3 shows an exemplary configuration of a heater 15 .
  • the heater 15 includes a cylindrical ceramic member 15 a formed to surround the gas injection openings 11 and a resistance (heating wire) 201 embedded in a coil shape in the ceramic member 15 a .
  • a heater power supply not shown
  • the energy transfer gas flowing through inside the resistance (heating wire) 201 can be instantly and effectively heated.
  • a gas inlet port 17 is provided at the opposite side of the gas exhaust ports 5 provided on the sidewall 1 b of the chamber 1 and connected with a gas exhaust line 18 for supplying a source gas and a purge gas to the chamber 1 .
  • the other end portion of the line 18 is branched into two. One is connected with connected with a source gas supply source 26 via a mass flow controller 24 a and valves 25 a provided in its forward and backward direction, and the other is connected with a purge gas supply source 27 via a mass flow controller 24 b and valves 25 b provided in its forward and backward direction.
  • a film forming source gas supply source 26 is configured to supply a source gas.
  • the source gas contains a metal element in a part of a molecular structure and supplies the metal element as a main constituent of a thin film produced by a reaction.
  • the metal element there can be employed a third periodic element in the periodic table, such as Al, Si or the like, fourth periodic element such as Ti, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge or the like, fifth periodic element such as Zr, Mo, Ru, Rh, Pd, Ag or the like or sixth periodic element such as Ba, Hf, Ta, W, Re, Ir, Pt and the like.
  • a metal compound forming a source gas there can be used one of the following exemplary metal compounds:
  • the film forming source gas supply source 26 may be provided with a plurality of source gas supply sources (not shown). Further, in order to introduce the source gas into the chamber, there may be provided, e.g., a heating equipment for sublimating a solid film forming material, a vaporizer for vaporizing a liquid film forming material and the like in addition to a carrier gas supply source for supplying a carrier gas such as Ar or the like (all not shown).
  • the purge gas supply source 27 is configured to supply a purge gas.
  • the purge gas is used to purge a source gas remaining in a gas phase, by-products generated in the gas phase by a reaction and an energy transfer gas containing a large amount of thermal energy.
  • the purge gas there can be employed H 2 gas or a non-reactive gas such as Ar gas, He gas, N 2 gas or the like.
  • the purge gas By introducing the purge gas, the residual source gas in the line 18 can be exhausted. Further, the by-products can be removed by substituting the atmosphere inside the chamber 1 . Furthermore, the wafer W can be cooled.
  • a clamp ring 28 for fixing the wafer W is provided at an outer peripheral portion of the mounting table 3 .
  • the clamp ring 28 moves up and down by an elevating mechanism 29 and fixedly presses downward the wafer W mounted on the mounting table 3 .
  • a thickness of the clamp ring 28 is exaggerated in FIG. 1 , the actual thickness is set such that a contact between the source gas and a surface of the wafer W is not interfered.
  • the mounting table 3 is provided with three wafer supporting pins (not shown) capable of protruding and retracting relative to a surface of the mounting table 3 so that the wafer W can be supportively lifted up and down.
  • a temperature control medium chamber 30 is formed inside the mounting table 3 and configured to control a temperature of the mounting table 3 by introducing thereinto a temperature control medium of a predetermined temperature, e.g., water or Galden (trademark) as a fluorine-based non-reactive liquid, through an introduction path 31 a and then discharging it through a discharge path 31 b.
  • a temperature control medium of a predetermined temperature e.g., water or Galden (trademark) as a fluorine-based non-reactive liquid
  • a gas channel 32 is formed inside the mounting table 3 from a lower portion of the mounting table 3 to a top surface of the mounting table 3 , i.e., a mounting surface of the wafer W. Also, the gas channel 32 is branched into a plurality of gas injection openings 32 a near the mounting surface to thereby supply a heat transfer gas, e.g., He gas or the like, to a backside of the wafer W in multiple places at a predetermined pressure. In this way, the temperature of the mounting table 3 is transferred to the wafer W and, accordingly, the temperature thereof is controlled.
  • a heat transfer gas e.g., He gas or the like
  • the temperature control medium chamber 30 for circulating the temperature control medium and the gas channel 32 for supplying the heat transfer gas to the backside of the wafer W cooperatively serve as a temperature control unit for controlling a temperature of the wafer W.
  • a loading/unloading port for loading/unloading the wafer W to/from a transfer chamber (not shown) disposed adjacent to the film forming apparatus 100 and a gate valve (not shown) for opening/closing the loading/unloading port.
  • Each component of the film forming apparatus 100 is connected with a process controller 50 having a CPU and controlled by the process controller 50 .
  • the process controller 50 is connected with a user interface 51 having a keyboard, a display and the like.
  • a process operator uses the keyboard when inputting commands for managing the plasma processing apparatus 100
  • the display is used to display the operation status of the film forming apparatus 100 .
  • the process controller 50 is connected with a storage unit 52 for storing therein recipes including control programs (software) for implementing various processes in the film forming apparatus 100 under the control of the process controller 50 , processing condition data and the like.
  • the process controller 50 executes a recipe read from the storage unit 52 in response to instructions from the user interface 51 , thereby implementing a desired process in the film forming apparatus 100 under the control of the process controller 50 .
  • the process controller 50 controls each mass flow controller, each valve and the gas exhaust unit 7 . Accordingly, the source gas, the carrier gas, the purge gas and the like are controlled to be supplied at required flow rates thereof, or the supply thereof is stopped.
  • the recipes such as the control programs, the processing condition data and the like can be read from a computer-readable storage medium, e.g., a CD-ROM, a hard disk, a flexible disk, a flash memory or the like, or transmitted on-line from another device via, e.g., a dedicated line when necessary.
  • a computer-readable storage medium e.g., a CD-ROM, a hard disk, a flexible disk, a flash memory or the like
  • transmitted on-line from another device via, e.g., a dedicated line when necessary.
  • step S 11 a temperature control medium of a predetermined temperature is introduced into the temperature control medium chamber 30 and, also, a heat transfer gas such as He gas or the like is introduced into the gas channel 32 .
  • a temperature of the wafer W is controlled until it reaches a level enabling the film forming material to be easily adsorbed on a surface of the wafer W (step S 12 ).
  • the temperature can vary depending on types of film forming material, it can be controlled between ⁇ 20° C. and 100° C., for example.
  • the inside of the chamber 1 is exhausted by a vacuum pump of the gas exhaust unit 7 .
  • a source gas is supplied from the film forming source gas supply source 26 to the chamber 1 via the gas inlet port 17 at a flow rate controlled by the mass flow controller 24 a .
  • the source gas flows from the gas inlet port 17 toward the gas exhaust port 5 in a direction parallel to the surface of the wafer W mounted on the mounting table 3 , as indicated by white arrows of FIG. 1 .
  • Due to the flow of the source gas the film forming material is physically or chemically adsorbed on the surface of the wafer W (step S 13 ).
  • an inner pressure of the chamber 1 during the adsorption process can vary depending on types of source materials, it is preferably controlled between 10 Pa and 1000 Pa, for example.
  • the purge gas is supplied from the purge gas supply source 27 to the chamber 1 via the gas inlet port 17 at a flow rate controlled by the mass flow controller 24 b .
  • the atmosphere inside the chamber 1 is substituted by the purge gas. Consequently, a residual gaseous source gas is removed (step S 14 ).
  • an inner pressure of the chamber 1 is increased in a step S 15 .
  • a temperature can be prevented from decreasing due to an expansion of the energy transfer gas and it is possible to suppress a desorption and a diffusion of the source gas adsorbed on the surface of the wafer W.
  • the pressure can be increased, under the control of the process controller 50 , by constantly introducing the purge gas and adjusting an exhaust conductance with the use of the conductance variable valve 6 a arranged on the gas exhaust line 6 between the gas exhaust port 5 and the gas exhaust unit 7 .
  • the gas exhaust unit 7 and the conductance variable valve 6 a cooperatively serve as a pressure control unit.
  • the inner pressure of the chamber 1 is set to be between 500 Pa and 5000 Pa, for example.
  • the step S 15 of increasing the inner pressure of the chamber 1 by using the purge gas and adjusting an exhaust amount through the use of the pressure control unit can be simultaneously performed to reduce a processing time.
  • the pressure can be increased by controlling the exhaust amount with the use of pressure control unit.
  • the energy transfer gas is introduced from the energy transfer gas supply source 23 a into the diffusion space 14 of the shower head 10 via the gas inlet port 12 at a flow rate controlled by the mass flow controller 21 a .
  • the energy transfer gas facilitates a film forming reaction by conveying thermal energy transferred thereto from the heating unit such as the heaters 15 or the like to the source gas adsorbed on the surface of the wafer W (substrate).
  • the energy transfer gas introduced into the diffusion space 14 is substantially vertically injected to the surface of the wafer W through the multiple gas injection openings 11 disposed opposite to the wafer W in the lower portion of the shower head 10 .
  • the energy transfer gas is heated to a predetermined high temperature by the heaters 15 serving as the heating unit and thus collides against the surface of the wafer W with the sufficient thermal energy.
  • each of the heaters 15 is controlled by the process controller 50 .
  • a heating temperature of the energy transfer gas may vary depending on target film types, it is preferably within the range from 300 to 1000° C., for example. Further, it is preferable to maintain the inner pressure of the chamber at the level obtained in the pressure increasing process (step S 15 ) in view of effectively performing the film forming reaction.
  • the thermal energy required for the film forming reaction can be effectively supplied by injecting the high-temperature energy transfer gas thereto. Consequently, the film forming reaction is carried out on the surface of the wafer W, thereby forming a thin film corresponding to a monomolecular or a multimolecular adsorption layer of a source gas adsorbed on the surface of the wafer W (step S 16 ).
  • the energy transfer gas can be heated in advance to a predetermined temperature by an external heating unit before being introduced into the shower head 10 .
  • the heaters 15 provided at the lower portion of the shower head 10 can serve as auxiliary heating units for final temperature regulation of the energy transfer gas.
  • each of the gases may undergo the adsorption process of the step S 13 and the purge process of the step S 14 .
  • a reactant gas chemically participating in the film forming reaction may be introduced in the reaction process of the step S 16 . That is, by opening the valves 22 b , the reactant gas is introduced from the reactant gas supply source 23 b into the diffusion space 14 of the shower head 10 at a flow rate controlled by the mass flow controller 21 b and then injected into the chamber 1 .
  • the reactant gas contains no metal elements in its molecular structure and is used to oxidize, reduce, carbonize and nitrify metal elements of a film forming material by reacting with the film forming material.
  • the reactant gas there can be used, e.g., an oxidizing gas (O 2 , O 3 , H 2 O or the like), a reduction gas (H 2 , organic acid such as HCOOH, CH 3 COOH or the like, or alcohol such as CH 3 OH, C 2 H 5 OH or the like), a carbonization gas (CH 4 , C 2 H 6 , C 2 H 4 , C 2 H 2 or the like), a nitrification gas (NH 3 , NH 2 NH 2 , N 2 or the like) or the like.
  • an oxidizing gas O 2 , O 3 , H 2 O or the like
  • a reduction gas H 2 , organic acid such as HCOOH, CH 3 COOH or the like, or alcohol such as CH 3 OH, C 2 H 5
  • the “reactant gas” of the present invention includes the aforementioned H 2 O, organic acid, alcohol, NH 2 NH 2 or the like, which is a liquid in a normal temperature and pressure condition.
  • the elements forming the reactant gas may be incorporated into the film as a result of the reaction or may serve only to facilitate the reaction without being incorporated into the film. Whether to employ the reactant gas or not is determined depending on types of film forming materials and those of target films.
  • the reactant gas can be used as the energy transfer gas.
  • step S 17 After the reaction process of the step S 16 is completed, it is preferable to stop the introduction of the energy transfer gas by closing the valves 22 a and perform a pressure decreasing process for decreasing the inner pressure of the chamber 1 (step S 17 ).
  • the energy transfer gas is exhausted and the energy supply to the surface of the wafer W is stopped in a short period of time. Further, by removing the heat from the surface of the wafer W, it is possible to prepare for a next source gas adsorption process and facilitate a desorption of by-products from the surface of the wafer W. Also, a gas purge process can be shortened by facilitating a discharge of gaseous by-products after the reaction.
  • the pressure reduction is performed, under the control of the process controller 50 , for example, by exhausting the inside of the chamber 1 with the use of the gas exhaust unit 7 , while fully opening the conductance variable valve 6 a arranged on the gas exhaust line 6 between the gas exhaust port 5 and the gas exhaust unit 7 .
  • the purge gas is supplied again from the purge gas supply source 27 into the chamber 1 via the gas inlet port 17 at a flow rate controlled by the mass flow controller 24 b .
  • the atmosphere inside the chamber 1 is substituted by a low temperature purge gas by exhausting the inside of the chamber 1 with the gas exhaust unit 7 .
  • the thermal energy conveyed by the energy transfer gas is removed and, also, reaction by-products existing in the gas phase or absorbed on the surface of the wafer W are removed (step S 18 ).
  • the heat is removed from the surface of the wafer W by purging the energy transfer gas in the purge process of the step S 18 .
  • a concentration of impurities in the film can be decreased by purging the gaseous reaction by-products.
  • a high-quality thin film corresponding to a monomolecular or a multimolecular adsorption layer of a film forming material can be formed on the wafer W by performing main processes including the adsorption process for adsorbing the film forming material on the surface of the wafer W, the purge process for substituting the atmosphere inside the chamber with the purge gas and the reaction process for carrying out the film forming reaction by supplying the thermal energy to the film forming material on the surface of the wafer W through the use of the energy transfer gas. Therefore, thin films can be sequentially deposited on the surface of the wafer W by repetitively performing the processes of the steps S 12 to S 18 in FIG. 4 .
  • the pressure increasing process of the step S 15 and the pressure decreasing process of the step S 17 are not prerequisite processes for the film formation. In other words, it is possible to perform the purge process of the step S 14 , the reaction process of the step S 16 and the purge process of the step S 18 while maintaining the inner pressure of the chamber 1 at a constant level.
  • the wafer W is unloaded from the loading/unloading port (not shown) by opening the gate valve (not shown) (step S 19 ). In this way, the film forming process for a single wafer W is completed.
  • FIG. 5 provides a flowchart showing an example of a film forming reaction performed by introducing into the chamber 1 a reactant gas in addition to an energy transfer gas during a reaction process.
  • FIG. 6 offers a timing chart based on the flowchart of FIG. 5 .
  • FIG. 6 illustrates only a first to a third cycle for convenience, the number of cycles may be one or more than four depending on desired thin films (same in FIGS. 8 , 10 and 14 to 21 ). Since the details of each process are the same as those described above, the description thereof will be omitted.
  • a source gas is adsorbed on a surface of a wafer W in a step S 21 .
  • a temperature of the wafer W is controlled in advance as described above.
  • a first purge process is performed to purge a gaseous source gas in a step S 22 (gaseous source gas purge process). Then, an inner pressure of the chamber 1 is increased by controlling an exhaust conductance while introducing the purge gas in a pressure increasing process of a step S 23 .
  • the purge process of the step S 22 and the pressure increasing process of the step S 23 are overlapped temporally.
  • a film forming reaction is carried out by simultaneously supplying to the chamber a reactant gas in addition to an energy transfer gas.
  • a step 25 the introduction of the energy transfer gas and the reactant gas is stopped and, also, the inner pressure of the chamber 1 is decreased to a level before the pressure increasing process. Then, a second purge process is performed to purge reaction by-products and the energy transfer gas having the thermal energy (step S 26 ).
  • One cycle of the aforementioned steps S 21 to S 26 is repeated multiple times as necessary.
  • the first purge process of the step S 22 and the pressure increasing process of the step S 23 can be performed simultaneously.
  • the pressure decreasing process of the step S 25 and the second purge process of the step S 26 can be performed simultaneously.
  • FIG. 7 presents a flowchart describing an example of a film forming reaction performed by introducing into the chamber 1 a reactant gas serving as an energy transfer gas. That is, the heated reactant gas can be used as the energy transfer gas.
  • FIG. 8 represents a timing chart based on the flowchart of FIG. 7 . Since the details of each process are the same as those described above, the description thereof will be omitted.
  • a source gas is adsorbed on a surface of a wafer W in a step S 31 .
  • a temperature of the wafer W is controlled in advance as described above.
  • a first purge process is performed to purge a gaseous source gas in a step S 32 (gaseous source gas purge process). Then, an inner pressure of the chamber 1 is increased by controlling an exhaust conductance while introducing the purge gas in a pressure increasing process of a step S 33 .
  • the purge process of the step S 32 and the pressure increasing process of the step S 33 are overlapped temporally.
  • a reaction process of a step S 34 a film forming reaction is carried out by supplying to the chamber an energy transfer gas serving as a reactant gas.
  • the energy transfer gas serving as the reactant gas there can be employed, e.g., H 2 , NH 3 , N 2 , N 2 H 4 , HCOOH, CH 3 COOH, CH 3 OH, H 2 O (vapor), O 3 , CO and the like.
  • a step 35 the introduction of the energy transfer gas is stopped and, also, the inner pressure of the chamber 1 is decreased to a level before the pressure increasing process. Then, a second purge process is performed to purge reaction by-products and the energy transfer gas having the thermal energy (step S 36 ).
  • One cycle of the aforementioned steps S 31 to S 36 is repeated multiple times as necessary.
  • the first purge process of the step S 32 and the pressure increasing process of the step S 33 can be performed simultaneously.
  • the pressure decreasing process of the step S 35 and the second purge process of the step S 36 can be performed simultaneously.
  • FIG. 9 is a flowchart showing an example of a film forming reaction performed by introducing only an energy transfer gas into the chamber 1 during a reaction process. This is for a case where the film forming reaction is carried out by only supplying the thermal energy by the energy transfer gas without having to use the reactant gas.
  • FIG. 10 illustrates a timing chart based on the flowchart of FIG. 9 . Since the details of each process are the same as those described above, the description thereof will be omitted.
  • a source gas is adsorbed on a surface of a wafer W in a step S 41 .
  • a temperature of the wafer W is controlled in advance as described above.
  • a first purge process is performed to purge a gaseous source gas in a step S 42 (gaseous source gas purge process). Then, an inner pressure of the chamber 1 is increased by controlling an exhaust conductance while introducing the purge gas in a pressure increasing process of a step S 43 .
  • the purge process of the step S 42 and the pressure increasing process of the step S 43 are overlapped temporally.
  • a film forming reaction is carried out by supplying only the energy transfer gas into the chamber.
  • a step 45 the introduction of the energy transfer gas is stopped and, also, the inner pressure of the chamber 1 is decreased to a pressure level before the pressure increasing process. Then, a second purge process is performed to purge reaction by-products and the energy transfer gas having the thermal energy (step S 46 ).
  • One cycle of the aforementioned steps S 41 to S 46 is repeated multiple times as necessary.
  • the first purge process of the step S 42 and the pressure increasing process of the step S 43 can be performed simultaneously.
  • the pressure decreasing process of the step S 45 and the second purge process of the step S 46 can be performed simultaneously.
  • FIGS. 11A to 11J schematically illustrate a principle of a film forming process of this embodiment.
  • FIG. 11A shows a wafer W having a temperature controlled to a level at which a source material can be easily adsorbed.
  • a source material Si is adsorbed by contacting a source gas on a surface of the wafer W having the temperature controlled to a predetermined level.
  • FIG. 11C the residual gaseous source material S 1 is removed by performing a purge process with a purge gas P.
  • a thermal energy E required for a reaction is supplied by injecting a reactant gas S 2 and an energy transfer gas (not shown) heated to a high temperature toward the wafer W having the source material S 1 adsorbed thereon, as illustrated in FIG. 11D .
  • a chemical reaction takes place between the source material S 1 and the reactant gas S 2 , thereby forming a first layer of thin film D 1 , as shown in FIG. 11E .
  • the reactant gas S 2 may not be used if not required.
  • the energy transfer gas having the thermal energy or the reaction by-products are removed by carrying out the purge process with the purge gas P, as illustrated in FIG. 11F . Therefore, in order to deposit a second layer of thin film, the source material S 1 is adsorbed again on the wafer W (on the thin film D 1 ) ( FIG. 11G ) and, then, the purge process is performed ( FIG. 11H ). After increasing the inner pressure of the chamber 1 if necessary, the reactant gas S 2 and the energy transfer gas are injected ( FIG. 11I ). As a result, a chemical reaction takes place, forming a second layer of thin film D 2 ( FIG. 11J ).
  • FIGS. 11A to 11J depict an example in which the film is formed by supplying the energy to a monomolecular adsorption layer adsorbed on the wafer W, a thin film can be deposited by supplying the energy to a multimolecular adsorption layer.
  • FIG. 12 is a cross sectional view illustrating a schematic configuration of a film forming apparatus 101 in accordance with a second embodiment of the present invention.
  • the film forming apparatus 101 is different from the film forming apparatus 100 of the first embodiment in that a gas exhaust port 5 is formed on a bottom wall 1 a of a chamber 1 and connected with a gas exhaust unit 7 via a gas exhaust line 6 connected therewith, the gas exhaust unit 7 having a high speed vacuum pump. It is preferable that the gas exhaust port 5 and the gas inlet port 17 are located at diametrically opposite locations with respect to the mounting table 3 .
  • a conductance variable valve 6 a serving as a pressure control unit is arranged on the gas exhaust line 6 between the gas exhaust port 5 and the gas exhaust unit 7 .
  • an inner pressure of the chamber 1 can be decreased to a predetermined vacuum level at a high speed via the gas exhaust line 6 while controlling the pressure.
  • the gas exhaust port 5 is disposed as shown in FIG. 12 , a flow of the source gas can be formed from the gas inlet port 17 toward the gas exhaust port 5 in a direction parallel to the surface of the wafer W mounted on the mounting table 3 , as indicated by white arrows of FIG. 12 . Consequently, the film forming source material can be effectively adsorbed on the surface of the wafer W. Since other configurations of the film forming apparatus 101 in accordance with the second embodiment are the same as those of the film forming apparatus of the first embodiment, like reference numbers are given to like parts and the description thereof will be omitted.
  • FIG. 13 provides a cross sectional view showing a schematic configuration of a film forming apparatus 102 in accordance with a third embodiment of the present invention.
  • the film forming apparatus 102 employs a structure in which a source gas, a purge gas, a reactant gas and an energy transfer gas are all supplied via a shower head.
  • a shower head 60 is provided on a ceiling wall 1 c of the chamber 1 and includes an upper block body 61 , an intermediate block body 62 and a lower block body 63 . Alternately formed in the lower block body 63 are gas injection openings 64 and 65 for discharging gases.
  • a first and a second gas inlet port 66 and 67 are formed on a top surface of the upper block body 61 .
  • the first gas inlet port 66 is connected with an energy transfer gas supply source 23 a and a reactant gas supply source 23 b via a bifurcated gas line 72 .
  • the second gas inlet port 67 is connected with a film forming source gas supply source 26 and a purge gas supply source 27 via a bifurcated gas line 73 .
  • the heated reactant gas can be used as the energy transfer gas. In such a case, the energy transfer gas supply source 23 a does not need to be provided in addition to the reactant gas supply source 23 b.
  • a plurality of gas channels 68 are branched from the first gas inlet port 66 inside the upper block body 61 . Further, gas channels 69 are formed in the intermediate block body 62 and the gas channels 68 communicate with the gas channels 69 . Furthermore, the gas channels 69 communicate with the gas injection openings 64 of the lower block body 63 .
  • gas channels 70 are branched from the second gas inlet port 67 inside the upper block body 61 .
  • gas channels 71 are formed in the intermediate block body 62 and the gas channels 70 communicate with the gas channels 71 .
  • the gas channels 71 communicate with the gas injection openings 65 of the lower block body 63 .
  • heaters 74 serving as heating units for heating the energy transfer gas and the reactant gas inside the shower head 60 .
  • insulating units 75 are provided around the heaters 74 to insulate the heaters 74 , the insulating units 75 being made of a material having a low thermal conductivity, e.g., heat resistant synthetic resin, quartz, ceramic or the like.
  • Gas exhaust ports 76 a and 76 b are formed on a bottom wall 1 a of the chamber 1 , e.g., at diametrically opposite locations with respect to the mounting table 3 and connected with a gas exhaust unit 7 having a high speed vacuum pump via gas exhaust lines 77 a and 77 b connected therewith.
  • a gas exhaust unit 7 having a high speed vacuum pump via gas exhaust lines 77 a and 77 b connected therewith.
  • the pressure can be controlled to be increased or decreased by adjusting an exhaust conductance with the conductance variable valves 77 c and 77 d arranged on the gas exhaust lines 77 a and 77 b between the gas exhaust ports 76 a and 76 b and the gas exhaust unit 7 under the control of the process controller 50 .
  • the gas exhaust unit 7 and the conductance variable valves 77 c and 77 d cooperatively serve as a pressure control unit.
  • the source gas from the film forming source gas supply source 26 is discharged through the gas injection openings 65 of the lower block body 63 facing the wafer W via the second gas inlet port 67 and the gas channels 70 and 71 . Accordingly, the source gas can collide against the surface of the wafer W in a substantially vertical direction. Further, by exhausting the gas in the chamber 1 through the gas exhaust ports 76 a and 76 b formed on the bottom wall 1 a of the chamber 1 , the source gas that has collided against the surface of the wafer W can flow toward the gas exhaust ports 76 a and 76 b in a direction substantially parallel to the surface of the wafer W mounted on the mounting table 3 . Consequently, the film forming material can be effectively adsorbed on the surface of the wafer W.
  • the energy transfer gas from the energy transfer gas supply source 23 a and the reactant gas from the reactant gas supply source 23 b are discharged, if necessary, through the gas injection openings 64 of the lower block body 63 facing the wafer W via the first gas inlet port 66 and the gas channels 68 and 69 . Accordingly, the energy transfer gas and the reactant gas collide against the surface of the wafer W in a substantially vertical direction. As a result, the thermal energy can be effectively supplied to the surface of the wafer W where the reaction takes place.
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • a liquid source material of Ru(EtCp) 2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar.
  • a carrier gas of Ar As for an oxidizing gas (reactant gas), O 2 was used.
  • Ru(EtCp) 2 Ar serving as a carrier and dilution gas; and O 2 serving as a reactant gas and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • FIG. 14 shows a timing chart of the film forming process in this example:
  • Ru(EtCp) 2 of 0.1 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr),
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Ar and O 2 each being heated to 500° C., were set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • Each of the flow rates of Ar and O 2 was 500 mL/min (sccm)
  • a purge process was performed by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • a liquid source material of Ru(EtCp) 2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ru(EtCp) 2 , Ar serving as a carrier and dilution gas; and H 2 serving as a reactant gas and Ar as an energy transfer gas heated to a high temperature for a film forming reaction.
  • FIG. 15 shows a timing chart of the film forming process in this example:
  • Ru(EtCp) 2 of 0.2 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Ar and H 2 each being heated to 500° C., were set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). Each of the flow rates of Ar and H 2 was 500 mL/min (sccm).
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • a liquid source material of Ru(EtCp) 2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ru(EtCp) 2 , Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • FIG. 16 shows a timing chart of the film forming process in this example:
  • Ru(EtCp) 2 of 0.2 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • the flow rate of Ar was 1000 mL/min (sccm).
  • a purge process was performed by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm is loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • a liquid source material of Ru(EtCp) 2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ru(EtCp) 2 , Ar serving as a carrier gas and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Ru(EtCp) 2 of 0.5 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr),
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • the flow rate of Ar was 1000 mL/min (sccm).
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr),
  • Ru(EtCp) 2 of 0.5 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting the inner pressure of the chamber at 666.6 Pa (5 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 10° C.
  • a solid source material of Ru 3 (CO) 12 in a vessel having a temperature controlled to 50° C. was introduced into the vacuum film forming apparatus by using a bubbling method employing Ar as a carrier gas.
  • Ru 3 (CO) 12 serving as a carrier and dilution gas
  • Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • FIG. 17 shows a timing chart of the film forming process in this example:
  • Ru 3 (CO) 12 of 1 mL/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the pressure inside the chamber at 1333 Pa (10 Torr).
  • the flow rate of Ar was 1000 mL/min (sccm).
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • FIG. 18 shows a timing chart of the film forming process in this example:
  • Ta(Nt-Am) (NMe 2 ) 3 of 0.2 g/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr)
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • NH 3 and Ar each being heated to 500° C., were set to flow for 10 seconds at while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • the flow rates of NH 3 and Ar were 700 and 300 mL/min (sccm).
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • a source of Ta(Nt-Am) (NMe 2 ) 3 was introduced into a vaporizer heated to 120° C. via a line heated to 50° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ta(Nt-Am) (NMe 2 ) 3 , Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • FIG. 19 shows a timing chart of the film forming process in this example:
  • Ta(Nt-Am) (NMe 2 ) 3 of 0.2 g/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • the flow rate of Ar was 1000 mL/min (sccm).
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • a source material of Ta(Nt-Am) (NMe 2 ) 3 was introduced into a vaporizer heated to 120° C. via a line heated to 50° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ta(Nt-Am)(NMe 2 ) 3 , Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • the flow rate of Ar was 1000 mL/min (sccm).
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 10° C.
  • a solid source material of W(CO) 6 in a vessel having a temperature controlled to 50° C. was introduced into the vacuum film forming apparatus by using a bubbling method employing Ar as a carrier gas. Introduced into the chamber were W(CO) 6 , Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • FIG. 20 provides a timing chart of the film forming process in this example:
  • W(CO) 6 of 5 mL/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • the flow rate of Ar was 1000 mL/min (sccm).
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • a wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 10° C.
  • a solid source material of W(CO) 6 in a vessel having a temperature controlled to 50° C. was introduced into the vacuum film forming apparatus by using a bubbling method employing Ar as a carrier gas. Introduced into the chamber were W(CO) 6 , Ar serving as a carrier and dilution gas; and H 2 serving as a reactant gas heated to a high temperature for a film forming reaction and Ar as an energy transfer gas.
  • FIG. 21 provides a timing chart of the film forming process in this example:
  • a purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • H 2 and Ar each being heated to 500° C., were set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr).
  • the flow rates of H 2 and Ar were 800 and 200 mL/min (sccm), respectively.
  • a purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • the present invention may be variously modified without being limited to the aforementioned embodiments.
  • the heaters 15 for heating the energy transfer gas are provided around the gas injection openings 11 of the shower head 10 in the film forming apparatus 100 of FIG. 1
  • the heaters may be installed in the diffusion space 14 of the shower head 10 .
  • the bar-shaped resistance 212 is connected with a heater power supply (not shown) via lead lines 215 , so that an inside of the container 211 can be rapidly heated by supplying power to the heater 210 .
  • a gas inlet 213 is provided at one place of an upper portion of the container 211 .
  • a plurality of gas outlets 214 communicating with the gas injection openings 11 of the shower head 10 are formed at a lower portion of the container 211 .
  • the energy transfer gas can be rapidly heated while passing through the inside of the container 211 .
  • a number of the cylindrical heaters 210 may be disposed side by side inside the diffusion space 14 of the shower head 10 .
  • the first to the third embodiments employ the fixed mounting table 3
  • a mounting table 3 horizontally rotatable by a rotating unit In such a case, a more uniform thickness and quality of a thin film formed on the surface of the wafer W can be achieved during the adsorption process for adsorbing a film forming material on the surface of the wafer W and the reaction process for carrying out a film forming reaction on the surface of the wafer W.
  • the present invention can be appropriately used for forming a desired film on a substrate such as a semiconductor wafer or the like during a manufacturing process of various semiconductor devices, for example.

Abstract

A film forming method, for depositing a thin film on a surface of a substrate mounted on a mounting table disposed in a vacuum processing chamber, includes an adsorption process for adsorbing a film forming material on the substrate by introducing a source gas into the processing chamber; and a reaction process for carrying out a film forming reaction, after the adsorption process, by introducing an energy transfer gas into the processing chamber and supplying thermal energy to the film forming material adsorbed on the substrate. By repeating the above process, the thin film is formed on the substrate in a layer-by-layer manner.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application of pending U.S. application Ser. No. 11/608,504, filed on Dec. 8, 2006, which claims priority to Japan Patent Application No. 2005-335152, filed on Dec. 8, 2005. The entire contents of each of the above applications are incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The present invention relates to a film forming method and apparatus; and, more particularly, to a film forming method for forming a desired thin film on a surface of a substrate by using an ALD (atomic layer deposition) method and a film forming apparatus therefor.
  • BACKGROUND OF THE INVENTION
  • As for a representative film forming method for forming a solid thin film on a surface of a substrate such as a semiconductor wafer or the like, there is known a CVD (chemical vapor deposition) method. When a film is formed by using the CVD method, a source needs to be activated by applying energy to a source gas. Accordingly, there has been employed a CVD method for supplying thermal energy to a source gas through a substrate heated by a heater provided at a mounting table for mounting thereon the substrate or a plasma CVD method for supplying energy of a plasma generated in a space above a substrate by introducing a source gas into the atmosphere thereof.
  • A film forming apparatus for manufacturing an advanced very large scale integrated circuit needs to have a performance (a step coverage performance) of forming a high-quality thin film of a uniform thickness along surfaces of holes/grooves previously formed on a surface of a semiconductor wafer with a diameter/width of tens of nanometers.
  • In order to obtain a high step coverage performance, a surface reaction needs to take place by activating a source gas on an uppermost surface of a substrate, not by activating it in a gas phase space above the substrate. However, in the CVD method for forming a film by continuously supplying a source gas, a reactant gas and energy, certain source gases may cause a gas phase reaction by an excessive activation thereof in a gas phase. Since the gas phase reaction greatly deteriorates the step coverage performance, there arises a need to suppress the gas phase reaction and facilitate the surface reaction in order to maintain the high step coverage performance.
  • As for another method for forming a thin film on a surface of a substrate, there is known an atomic layer deposition (ALD) method. With the ALD method, a thin film having high step coverage can be formed on a substrate disposed inside a vacuum chamber by repetitively performing a film forming process and a purge process. In the ALD film forming process, a reaction is carried out by supplying energy to a monomolecular or a multimolecular adsorption layer adsorbed on a surface, the adsorption layer being formed of molecules of a source compound. In the purge process, the atmosphere inside the vacuum chamber is substituted.
  • The ALD method for forming a film while suppressing a gas phase reaction was suggested in 1977 by Suntola et al. (see U.S. Pat. No. 4,058,430). The method is performed by alternately supplying a source gas and a reactant gas to a substrate at different timings, as shown in FIG. 24, and then removing a residual source gas and a by-product gas of a previous cycle remaining in a gas phase with a non-reactive purge gas before supplying the source gas and the reactant gas again. The gas phase reaction can be suppressed by repeating those cycles. Further, the high step coverage performance can be maintained by restricting the reaction to take place at the uppermost surface of the substrate. There are plenty of reports on the ALD method (see, e.g., R. L. Puurunen, “Surface chemistry of atomic layer deposition: A case study for the triethylaluminum/waterprocess”, Journal of Applied Physics, APPLIED PHYSICS REVIEW, vol. 97, p 121301 (2005)).
  • In an initial ALD method, although the source gas and the reactant gas are separately provided as shown in FIG. 24, the energy (heat) is constantly supplied. This is because the initial ALD method supplies the thermal energy to a surface of a substrate via the substrate by heating the entire substrate as in the thermal CVD method and, therefore, a time responsiveness in controlling an on/off of energy supply becomes poor (see, e.g., U.S. Pat. No. 4,389,973). Such an ALD method is referred to as “thermal ALD method”. In this method, since the energy is constantly supplied during a source gas supply process, parts of the source gas may ever cause an self-pyrolysis reaction in a gas phase by receiving the thermal energy transferred in the gas phase from the substrate, which leads to a deterioration of the step coverage performance.
  • Moreover, since the entire substrate is heated constantly during the processing, a solid layer that has been formed by a previous process may be deteriorated by the heat.
  • To solve those drawbacks, Sherman et al. has suggested an ALD method for supplying energy by radicals generated by an RF power supply (see U.S. Pat. No. 5,916,365). Further, Chiang et al. has suggested a method for supplying energy by radicals and ions generated from a plasma (see U.S. Pat. No. 6,416,822). In these methods, the energy is supplied not by heat but by chemically active species (radicals, ions or combination thereof) generated from the RF power supply, so that an ON/OFF of energy supply can be controlled with fine time-responsiveness. Such an ALD method is referred to as “a plasma-assisted ALD method”.
  • In the plasma-assisted ALD method, a source gas supplying process and an energy supplying process can be carried out at different timings. Therefore, it is possible to prevent a self-pyrolysis reaction of the source gas from taking place during the source gas supplying process, the self-pyrolysis reaction being caused by the continuous supply of thermal energy. Further, since such a method is not a method for supplying energy through a substrate being continuously heated, it is possible to avoid the problem of deteriorating the previously formed solid layer with the heat.
  • However, the method using as an energy source radicals or ions generated from a plasma has new problems to be described as follows.
  • Firstly, the excessively high energy of the active species (radicals, ions and electrons) generated from a plasma inflicts a serious physical damage or causes a chemical deterioration on a base layer of a substrate where a film will be formed (see, e.g., A. Grill et al, “Hydrogen plasma effects on ultra low-k porous SiCOH dielectric”, Journal of Applied Physics, vol. 98, p 074502 (2005)).
  • Secondly, the active species also collide against not only the substrate but also an inner surface of an apparatus in contact with the plasma, thereby causing a physical sputtering, which in turn result in impurity incorporation into the surface of the substrate.
  • Thirdly, since the energy is also supplied by the active species to side chain groups contained in the source gas that are desirably to be removed by the reaction, the side chain groups may be incorporated as undesired impurities into the film.
  • Fourthly, a potential gradient generated inside the apparatus electrically may destroy fine integrated circuits formed on the substrate.
  • Fifthly, high energy ultraviolet rays generated from the plasma may deteriorate the base layer of the substrate.
  • As long as the energy is supplied by using the plasma, the aforementioned problems may be only partially, but not completely, avoided.
  • In order to avoid those problems generated in supplying energy by the plasma, Chiang et al. has suggested a method for supplying energy by light (see, U.S. Pat. No. 6,878,402). In case the energy is supplied by irradiating light on a surface of a substrate, a window for transmitting the light needs to be provided above the substrate. Since, however, a surface of the window becomes dirty during a film forming process, the light is reflected or absorbed and, thus, an intensity of the light reaching the substrate decreases. Moreover, in case the surface of a processing target substrate is made of a metal, the light is reflected on the surface of the substrate, which hinders the energy supply for the reaction.
  • SUMMARY OF THE INVENTION
  • It is, therefore, an object of the present invention to provide a film forming method capable of forming a high-quality thin film having high step coverage on a surface of a substrate without deteriorating a thin film previously formed on the substrate with heat or inflicting plasma damages thereon.
  • The present inventors have achieved the present invention by conceiving an energy supply method based on the ALD method and finding solutions to the aforementioned problems.
  • In accordance with a first aspect of the present invention, there is provided a film forming method for depositing thin films on a surface of a substrate mounted on a mounting table arranged in a vacuum evacuable processing chamber, the method comprising the steps of: an adsorption process for adsorbing a film forming material on the substrate by introducing a source gas into the processing chamber; and a reaction process for carrying out a film forming reaction, after the adsorption process, by introducing an energy transfer gas into the processing chamber and supplying thermal energy to the film forming material adsorbed on the substrate.
  • In view of the first aspect, it is preferable to further include a purge process for introducing a purge gas into the processing chamber. Preferably, the adsorption process and the reaction process are alternately performed, and the purge process is performed therebetween. Moreover, it is preferable to further include a pressure increasing process for increasing a pressure inside the processing chamber before the reaction process. In such a case, it is preferable that a pressure decreasing process for decreasing an inner pressure of the processing chamber is provided upon or after the reaction process is completed. In the reaction process, it is preferable to introduce a reactant gas chemically participating in the film forming reaction in addition to the energy transfer gas. Further, it is preferable that the reactant gas is one of a reduction gas, a carbonization gas, a nitrification gas and an oxidizing gas.
  • Further, it is preferable that the energy transfer gas is selected among a reduction gas, a carbonization gas, a nitrification gas and an oxidizing gas.
  • Preferably, the source gas is introduced and exhausted such that a gas flow is formed in a direction parallel to the surface of the substrate mounted on the mounting table. Furthermore, preferably, the source gas is introduced and exhausted such that a gas flow is formed in a direction of colliding against the surface of the substrate mounted on the mounting table. Preferably, the energy transfer gas is injected toward the surface of the substrate mounted on the mounting table, the surface having the film forming material adsorbed thereon.
  • Preferably, the adsorption process is performed while controlling a temperature of the substrate mounted on the mounting table to a level at which the film forming material is absorbable.
  • Preferably, the film forming material contains at least one metal element selected from a group consisting of Al, Si, Ti, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Zr, Mo, Ru, Rh, Pd, Ag, Ba, Hf, Ta, W, Re, Ir and Pt. Further, it is preferable that the thin films are deposited on the substrate by repeating a plurality of cycles of performing a film forming reaction with a film forming material of a monomolecular or a multimolecular adsorption layer through an atomic layer deposition method.
  • In accordance with a second aspect of the present invention, there is provided a computer-executable program for controlling the processing chamber such that the film forming method of the first aspect of the present invention is performed.
  • In accordance with a third aspect of the present invention, there is provided a computer readable storage medium for storing therein a computer-executable program, wherein the control program controls the processing chamber such that the film forming method of the first aspect of the present invention is performed.
  • In accordance with a fourth aspect of the present invention, there is provided a film forming apparatus including: a processing chamber accommodating therein a substrate, for performing a film forming process; a mounting table for mounting thereon the substrate in the processing chamber; a source gas inlet for introducing a source gas into the processing chamber; an energy transfer gas inlet for injecting an energy transfer gas toward a surface of the substrate mounted on the mounting table in the processing chamber; a gas exhaust unit for vacuum exhausting an inside of the processing chamber; and a controller for controlling the film forming method described in any one of claims 1 to 14 to be performed.
  • In accordance with a fifth aspect of the present invention, there is provided a film forming apparatus including: a processing chamber accommodating therein a substrate, for performing a film forming process; a mounting table for mounting thereon the substrate in the processing chamber; a source gas inlet for introducing a source gas into the processing chamber; an energy transfer gas inlet for injecting an energy transfer gas toward a surface of the substrate mounted on the mounting table in the processing chamber; and a gas discharge port connected with a gas exhaust unit for vacuum exhausting an inside of the processing chamber, wherein the source gas inlet and the gas discharge port are provided such that the introduced source gas flows in a direction parallel to a surface of the substrate mounted on the mounting table before being exhausted.
  • In accordance with a sixth aspect of the present invention, there is provided a film forming apparatus including: a processing chamber accommodating therein a substrate, for performing a film forming process; a mounting table for mounting thereon the substrate in the processing chamber; a source gas inlet for introducing a source gas into the processing chamber; an energy transfer gas inlet for injecting an energy transfer gas toward a surface of the substrate mounted on the mounting table in the processing chamber; and a gas discharge port connected with a gas exhaust unit for vacuum exhausting an inside of the processing chamber by a depressurization, wherein the source gas inlet and the gas discharge port are provided such that the source gas is introduced and exhausted in a direction of colliding against the surface of the substrate mounted on the mounting table.
  • In accordance with the film forming apparatus of the fifth and the sixth aspect of the present invention, it is preferable that the mounting table includes a temperature control unit for controlling a temperature of the substrate mounted thereon to a level at which a source material is absorbable on the substrate.
  • In accordance with the film forming method of the present invention, the adsorption process for adsorbing a film forming material on a substrate by introducing a source gas into a processing chamber is performed at a different timing from the reaction process for carrying out a film forming reaction by introducing an energy transfer gas into the processing chamber and supplying thermal energy to the film forming material adsorbed on the substrate. Accordingly, the whole substrate does not need to be heated for a long period of time. Further, since the thermal energy is supplied by using the energy transfer gas, a surface of the substrate is mainly heated. Therefore, it is possible to avoid drawbacks of a conventional thermal ALD method, such as a deterioration of a solid layer due to a heat, a deterioration of step coverage due to a self-pyrolysis of a gaseous source gas and the like. Moreover, it is also possible to avoid drawbacks of a plasma-assisted ALD method, such as a damage inflicted on a substrate due to a plasma, a deterioration of a film quality due to a sputtering or an excessive activation of a source gas, and the like. Furthermore, the present invention provides a high energy efficiency of the entire process.
  • Moreover, in accordance with the film forming apparatus of the present invention, a stage heater for maintaining a high temperature of a substrate, a plasma generating device and the like are not required and, also, a high-quality thin film can be formed with a simple configuration.
  • While the invention has been shown and described with respect to the embodiments, it will be understood by those skilled in the art that various changes and modification may be made without departing from the scope of the invention as defined in the following claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The above and other objects and features of the present invention will become apparent from the following description of embodiments, given in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a cross sectional view showing a schematic configuration of a film forming apparatus in accordance with a first embodiment of the present invention;
  • FIGS. 2A and 2B describe arrangements of gas injection openings formed on a bottom surface of a shower head, wherein FIG. 2A provides an example of a concentric arrangement, and FIG. 2B depicts an example of a grid pattern arrangement;
  • FIG. 3 illustrates a schematic configuration of a heater provided around the injection openings;
  • FIG. 4 presents a flowchart for explaining exemplary processes of a film forming method of the present invention;
  • FIG. 5 represents a flowchart for explaining another exemplary processes of the film forming method of the present invention;
  • FIG. 6 depicts a timing chart of the exemplary processes of FIG. 5;
  • FIG. 7 provides a flowchart for explaining still another exemplary processes of the film forming method of the present invention;
  • FIG. 8 describes a timing chart of the exemplary processes of FIG. 7;
  • FIG. 9 is a flowchart for explaining still another exemplary processes of the film forming method of the present invention;
  • FIG. 10 shows a timing chart of the exemplary processes of FIG. 9;
  • FIGS. 11A to 11J illustrate schematic views for explaining a principle of the film forming method of the present invention;
  • FIG. 12 presents a schematic configuration of a film forming apparatus in accordance with a second embodiment of the present invention;
  • FIG. 13 represents a schematic configuration of a film forming apparatus in accordance with a third embodiment of the present invention;
  • FIG. 14 describes a timing chart of a film formation of a first example;
  • FIG. 15 illustrates a timing chart of a film formation of a second example;
  • FIG. 16 provides a timing chart of a film formation of a third example;
  • FIG. 17 shows a timing chart of a film formation of a fifth example;
  • FIG. 18 offers a timing chart of a film formation of a sixth example;
  • FIG. 19 depicts a timing chart of a film formation of a seventh example;
  • FIG. 20 presents a timing chart of a film formation of a ninth example;
  • FIG. 21 represents a timing chart of a film formation of a tenth example;
  • FIG. 22 illustrates a schematic configuration of a shower head having a cylindrical heater;
  • FIG. 23 shows a schematic configuration of the cylindrical heater; and
  • FIG. 24 offers a timing chart of a conventional thermal ALD.
  • DETAILED DESCRIPTION OF THE EMBODIMENT
  • Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.
  • FIG. 1 is a cross sectional view schematically showing an exemplary film forming apparatus suitable for performing a film forming method of the present invention. Such a film forming apparatus 100 has a substantially cylindrical airtight chamber 1. A circular opening 2 is formed at a central portion of a bottom wall 1 a of the chamber 1. Further, arranged inside the chamber 1 is a mounting table 3 made of ceramic such as AlN or the like, for horizontally supporting a wafer W (a semiconductor substrate). An insulating unit 4 is provided between the mounting table 3 and the bottom wall 1 a and airtightly contacted with the bottom wall 1 a of the chamber 1.
  • A gas exhaust port 5 is formed on a sidewall 1 b of the chamber 1 and connected with a gas exhaust unit 7 via a gas exhaust line 6 connected therewith, the gas exhaust unit 7 having a high speed vacuum pump. Moreover, a conductance variable valve 6 a is provided in the gas exhaust line 6 to control a gas exhaust amount from the chamber 1. As for the conductance variable valve 6 a, there can be used, e.g., a butterfly valve or the like. By operating the gas exhaust unit 7, a gas inside the chamber 1 is exhausted and, further, an inside of the chamber 1 can be depressurized to a predetermined vacuum level at a high speed via the gas exhaust line 6.
  • A shower head 10 is provided on a ceiling wall 1 c of the chamber 1. Disposed on an upper wall of the shower head 10 is a gas inlet port 12 for introducing a gas into the shower head 10. Connected to the gas inlet port 12 is a line 13 for supplying an energy transfer gas such as He, Ar, Kr, Xe, H2, N2, CO2, CH4 or the like. The other end portion of the line 13 connected with the gas inlet port 12 is branched into two. One is connected with an energy transfer gas supply source 23 a via a mass flow controller 21 a and valves 22 a provided in its forward and backward direction, and the other is connected with a reactant gas supply source 23 b via a mass flow controller 21 b and valves 22 b provided in its forward and backward direction.
  • A diffusion space 14 is formed inside the shower head 10. The gas introduced from the gas inlet port 12 is diffused in the diffusion space 14. Formed at a lower portion of the shower head 10 are a plurality of gas injection openings 11 for discharging the energy transfer gas and the reactant gas toward the mounting table 3. The gas injection openings 11 may be arranged in any pattern. For example, they can be formed in a concentric pattern as shown in FIG. 2A or in a grid pattern as illustrated in FIG. 2B. Further, a diameter or the number of the gas injection openings 11 can be appropriately determined depending on film types.
  • Provided near each of the gas injection openings 11 of the shower head 10 is a heater 15 serving as heating units for heating the energy transfer gas inside the shower head 10. Moreover, insulating units 16 are provided around the heaters 15 to insulate the heaters 15, the insulating units 16 being made of a material having a low thermal conductivity, such as heat resistant synthetic resin, quartz, ceramic or the like. FIG. 3 shows an exemplary configuration of a heater 15. The heater 15 includes a cylindrical ceramic member 15 a formed to surround the gas injection openings 11 and a resistance (heating wire) 201 embedded in a coil shape in the ceramic member 15 a. By supplying power from a heater power supply (not shown) to the resistance 201 via a lead line 202, the energy transfer gas flowing through inside the resistance (heating wire) 201 can be instantly and effectively heated.
  • A gas inlet port 17 is provided at the opposite side of the gas exhaust ports 5 provided on the sidewall 1 b of the chamber 1 and connected with a gas exhaust line 18 for supplying a source gas and a purge gas to the chamber 1. The other end portion of the line 18 is branched into two. One is connected with connected with a source gas supply source 26 via a mass flow controller 24 a and valves 25 a provided in its forward and backward direction, and the other is connected with a purge gas supply source 27 via a mass flow controller 24 b and valves 25 b provided in its forward and backward direction.
  • A film forming source gas supply source 26 is configured to supply a source gas. The source gas contains a metal element in a part of a molecular structure and supplies the metal element as a main constituent of a thin film produced by a reaction. As for the metal element, there can be employed a third periodic element in the periodic table, such as Al, Si or the like, fourth periodic element such as Ti, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge or the like, fifth periodic element such as Zr, Mo, Ru, Rh, Pd, Ag or the like or sixth periodic element such as Ba, Hf, Ta, W, Re, Ir, Pt and the like.
  • As for a metal compound forming a source gas, there can be used one of the following exemplary metal compounds:
      • Al: Al(CH3)3
      • Ti: Ti[N(CH3)2]4; tetrakis(dimethylamino)titanium (TDMAT)
      • Cr: Cr(CO)6
      • Mn: Mn2(CO)10
      • Fe: Fe(CO)5, Fe2(CO)9, Fe3(CO)12
      • Co: Co2(CO)8
      • Ni: Ni(CO)4, Ni(acac)2; acac representing Acetylacetone (2,4-pentadion)
      • Cu: (Hfac)CuTMVS; Hfac representing hexafluoroacetylacetone, and TMVS representing trimethylvinylsilane
      • Zn: Zn(CH3)2
      • Ge: Ge(OCH3)4
      • Zr: Zr(O-t-C4H9)4
      • Mo: Mo(CO)6
      • Ru: Ru3(CO)12, Ru(EtCp)2; EtCp representing ethyl cyclopantadiene
      • Rh: Rh4(CO)12
      • Pd: Pd(OAc)2; OAc representing acetate
      • Ag: Ag[O2C—C(CH3)3]; 2,2-dimethylpropionate silver (I)
      • Ba: Ba(O2C11H19)2; Bis(dipivaloymethanato)barium
      • Hf: Hf (C11H19O2) 4
      • Ta: Ta(N-t-C5H11) [N(CH3)2]3; Tertiaryamylimidotris (dimethylamido) tantalum
      • W: W(CO)6
      • Re: Re2(CO)10
      • Ir: Ir(C5H4C2H5) (C8H12); ethylcyclopentadienyl(1,5-cyclooctadiene)iridium
      • Pt: Pt(C5H4C2H5) (CH3)3; ethylcyclopentadienyl(trimethyl)platinum
  • If necessary, the film forming source gas supply source 26 may be provided with a plurality of source gas supply sources (not shown). Further, in order to introduce the source gas into the chamber, there may be provided, e.g., a heating equipment for sublimating a solid film forming material, a vaporizer for vaporizing a liquid film forming material and the like in addition to a carrier gas supply source for supplying a carrier gas such as Ar or the like (all not shown).
  • The purge gas supply source 27 is configured to supply a purge gas. The purge gas is used to purge a source gas remaining in a gas phase, by-products generated in the gas phase by a reaction and an energy transfer gas containing a large amount of thermal energy. As for the purge gas, there can be employed H2 gas or a non-reactive gas such as Ar gas, He gas, N2 gas or the like. By introducing the purge gas, the residual source gas in the line 18 can be exhausted. Further, the by-products can be removed by substituting the atmosphere inside the chamber 1. Furthermore, the wafer W can be cooled.
  • A clamp ring 28 for fixing the wafer W is provided at an outer peripheral portion of the mounting table 3. The clamp ring 28 moves up and down by an elevating mechanism 29 and fixedly presses downward the wafer W mounted on the mounting table 3. Although a thickness of the clamp ring 28 is exaggerated in FIG. 1, the actual thickness is set such that a contact between the source gas and a surface of the wafer W is not interfered. Further, the mounting table 3 is provided with three wafer supporting pins (not shown) capable of protruding and retracting relative to a surface of the mounting table 3 so that the wafer W can be supportively lifted up and down.
  • A temperature control medium chamber 30 is formed inside the mounting table 3 and configured to control a temperature of the mounting table 3 by introducing thereinto a temperature control medium of a predetermined temperature, e.g., water or Galden (trademark) as a fluorine-based non-reactive liquid, through an introduction path 31 a and then discharging it through a discharge path 31 b.
  • A gas channel 32 is formed inside the mounting table 3 from a lower portion of the mounting table 3 to a top surface of the mounting table 3, i.e., a mounting surface of the wafer W. Also, the gas channel 32 is branched into a plurality of gas injection openings 32 a near the mounting surface to thereby supply a heat transfer gas, e.g., He gas or the like, to a backside of the wafer W in multiple places at a predetermined pressure. In this way, the temperature of the mounting table 3 is transferred to the wafer W and, accordingly, the temperature thereof is controlled.
  • The temperature control medium chamber 30 for circulating the temperature control medium and the gas channel 32 for supplying the heat transfer gas to the backside of the wafer W cooperatively serve as a temperature control unit for controlling a temperature of the wafer W.
  • Provided on the sidewall 1 b of the chamber 1 are a loading/unloading port (not shown) for loading/unloading the wafer W to/from a transfer chamber (not shown) disposed adjacent to the film forming apparatus 100 and a gate valve (not shown) for opening/closing the loading/unloading port.
  • Each component of the film forming apparatus 100 is connected with a process controller 50 having a CPU and controlled by the process controller 50. The process controller 50 is connected with a user interface 51 having a keyboard, a display and the like. A process operator uses the keyboard when inputting commands for managing the plasma processing apparatus 100, and the display is used to display the operation status of the film forming apparatus 100.
  • Also, the process controller 50 is connected with a storage unit 52 for storing therein recipes including control programs (software) for implementing various processes in the film forming apparatus 100 under the control of the process controller 50, processing condition data and the like.
  • If necessary, the process controller 50 executes a recipe read from the storage unit 52 in response to instructions from the user interface 51, thereby implementing a desired process in the film forming apparatus 100 under the control of the process controller 50. For example, the process controller 50 controls each mass flow controller, each valve and the gas exhaust unit 7. Accordingly, the source gas, the carrier gas, the purge gas and the like are controlled to be supplied at required flow rates thereof, or the supply thereof is stopped. Furthermore, the recipes such as the control programs, the processing condition data and the like can be read from a computer-readable storage medium, e.g., a CD-ROM, a hard disk, a flexible disk, a flash memory or the like, or transmitted on-line from another device via, e.g., a dedicated line when necessary.
  • Hereinafter, a sequence of forming a desired film by using the film forming apparatus 100 will be described with reference to FIG. 4. First of all, while a gate valve (not shown) is opened, the wafer W is loaded into the chamber 1 via the loading/unloading port and then mounted on the mounting table 3 (step S11). Next, a temperature control medium of a predetermined temperature is introduced into the temperature control medium chamber 30 and, also, a heat transfer gas such as He gas or the like is introduced into the gas channel 32. By injecting the heat transfer medium gas from the multiple injection openings 32 a to the backside of the wafer W, a temperature of the wafer W is controlled until it reaches a level enabling the film forming material to be easily adsorbed on a surface of the wafer W (step S12). Although the temperature can vary depending on types of film forming material, it can be controlled between −20° C. and 100° C., for example.
  • The inside of the chamber 1 is exhausted by a vacuum pump of the gas exhaust unit 7. Next, while the valves 25 a are opened, a source gas is supplied from the film forming source gas supply source 26 to the chamber 1 via the gas inlet port 17 at a flow rate controlled by the mass flow controller 24 a. By performing the exhaust with an operation of the gas exhaust unit 7, the source gas flows from the gas inlet port 17 toward the gas exhaust port 5 in a direction parallel to the surface of the wafer W mounted on the mounting table 3, as indicated by white arrows of FIG. 1. Due to the flow of the source gas, the film forming material is physically or chemically adsorbed on the surface of the wafer W (step S13). Although an inner pressure of the chamber 1 during the adsorption process can vary depending on types of source materials, it is preferably controlled between 10 Pa and 1000 Pa, for example.
  • Thereafter, while the valves 25 a are closed and the valves 25 b are opened, the purge gas is supplied from the purge gas supply source 27 to the chamber 1 via the gas inlet port 17 at a flow rate controlled by the mass flow controller 24 b. By performing the exhaust with an operation of the gas exhaust unit 7, the atmosphere inside the chamber 1 is substituted by the purge gas. Consequently, a residual gaseous source gas is removed (step S14).
  • Before an energy transfer gas is introduced into the chamber, an inner pressure of the chamber 1 is increased in a step S15. By increasing the pressure, when the energy transfer gas is introduced into the chamber in a next step 16, a temperature can be prevented from decreasing due to an expansion of the energy transfer gas and it is possible to suppress a desorption and a diffusion of the source gas adsorbed on the surface of the wafer W.
  • The pressure can be increased, under the control of the process controller 50, by constantly introducing the purge gas and adjusting an exhaust conductance with the use of the conductance variable valve 6 a arranged on the gas exhaust line 6 between the gas exhaust port 5 and the gas exhaust unit 7. At this time, the gas exhaust unit 7 and the conductance variable valve 6 a cooperatively serve as a pressure control unit. In the step S15 of increasing the pressure, it is preferable that the inner pressure of the chamber 1 is set to be between 500 Pa and 5000 Pa, for example.
  • It is preferable to carry out, after purging a certain amount of source gas by the purging step S14, the step S15 of increasing the inner pressure of the chamber 1 by using the purge gas and adjusting an exhaust amount through the use of the pressure control unit. However, the steps S14 and S15 can be simultaneously performed to reduce a processing time. In other words, upon the purge gas is introduced into the chamber 1, the pressure can be increased by controlling the exhaust amount with the use of pressure control unit.
  • Next, while the valves 25 b are closed and the valves 22 a are opened, the energy transfer gas is introduced from the energy transfer gas supply source 23 a into the diffusion space 14 of the shower head 10 via the gas inlet port 12 at a flow rate controlled by the mass flow controller 21 a. The energy transfer gas facilitates a film forming reaction by conveying thermal energy transferred thereto from the heating unit such as the heaters 15 or the like to the source gas adsorbed on the surface of the wafer W (substrate).
  • As indicated by black arrows of FIG. 1, the energy transfer gas introduced into the diffusion space 14 is substantially vertically injected to the surface of the wafer W through the multiple gas injection openings 11 disposed opposite to the wafer W in the lower portion of the shower head 10. At this time, the energy transfer gas is heated to a predetermined high temperature by the heaters 15 serving as the heating unit and thus collides against the surface of the wafer W with the sufficient thermal energy.
  • When starting introducing the energy transfer gas into the diffusion area 14 of the shower head 10, it is preferable to increase an input power to the heaters 15 rapidly so that the heat can be effectively conveyed to the energy transfer gas. Each of the heaters 15 is controlled by the process controller 50.
  • Although a heating temperature of the energy transfer gas may vary depending on target film types, it is preferably within the range from 300 to 1000° C., for example. Further, it is preferable to maintain the inner pressure of the chamber at the level obtained in the pressure increasing process (step S15) in view of effectively performing the film forming reaction.
  • Since the film forming material in the source gas is adsorbed on the surface of the wafer W as described above, the thermal energy required for the film forming reaction can be effectively supplied by injecting the high-temperature energy transfer gas thereto. Consequently, the film forming reaction is carried out on the surface of the wafer W, thereby forming a thin film corresponding to a monomolecular or a multimolecular adsorption layer of a source gas adsorbed on the surface of the wafer W (step S16). Moreover, the energy transfer gas can be heated in advance to a predetermined temperature by an external heating unit before being introduced into the shower head 10. At this time, the heaters 15 provided at the lower portion of the shower head 10 can serve as auxiliary heating units for final temperature regulation of the energy transfer gas.
  • When two or more types of gases are used as source gas to supply plural sepecies of metal elements, each of the gases may undergo the adsorption process of the step S13 and the purge process of the step S14. In addition to the energy transfer gas, a reactant gas chemically participating in the film forming reaction may be introduced in the reaction process of the step S16. That is, by opening the valves 22 b, the reactant gas is introduced from the reactant gas supply source 23 b into the diffusion space 14 of the shower head 10 at a flow rate controlled by the mass flow controller 21 b and then injected into the chamber 1.
  • The reactant gas contains no metal elements in its molecular structure and is used to oxidize, reduce, carbonize and nitrify metal elements of a film forming material by reacting with the film forming material. As for the reactant gas, there can be used, e.g., an oxidizing gas (O2, O3, H2O or the like), a reduction gas (H2, organic acid such as HCOOH, CH3COOH or the like, or alcohol such as CH3OH, C2H5OH or the like), a carbonization gas (CH4, C2H6, C2H4, C2H2 or the like), a nitrification gas (NH3, NH2NH2, N2 or the like) or the like. The “reactant gas” of the present invention includes the aforementioned H2O, organic acid, alcohol, NH2NH2 or the like, which is a liquid in a normal temperature and pressure condition. The elements forming the reactant gas may be incorporated into the film as a result of the reaction or may serve only to facilitate the reaction without being incorporated into the film. Whether to employ the reactant gas or not is determined depending on types of film forming materials and those of target films.
  • Further, by heating the reactant gas, the reactant gas can be used as the energy transfer gas.
  • After the reaction process of the step S16 is completed, it is preferable to stop the introduction of the energy transfer gas by closing the valves 22 a and perform a pressure decreasing process for decreasing the inner pressure of the chamber 1 (step S17). By reducing the inner pressure of the chamber 1 after the reaction process, the energy transfer gas is exhausted and the energy supply to the surface of the wafer W is stopped in a short period of time. Further, by removing the heat from the surface of the wafer W, it is possible to prepare for a next source gas adsorption process and facilitate a desorption of by-products from the surface of the wafer W. Also, a gas purge process can be shortened by facilitating a discharge of gaseous by-products after the reaction.
  • The pressure reduction is performed, under the control of the process controller 50, for example, by exhausting the inside of the chamber 1 with the use of the gas exhaust unit 7, while fully opening the conductance variable valve 6 a arranged on the gas exhaust line 6 between the gas exhaust port 5 and the gas exhaust unit 7. Herein, it is preferable to decrease the pressure as much as the increase in the pressure increasing process of the step S15. In this way, the pressure can be controlled to a level required in supplying the source gas for a next cycle.
  • Next, while the valves 25 b are opened, the purge gas is supplied again from the purge gas supply source 27 into the chamber 1 via the gas inlet port 17 at a flow rate controlled by the mass flow controller 24 b. Then, the atmosphere inside the chamber 1 is substituted by a low temperature purge gas by exhausting the inside of the chamber 1 with the gas exhaust unit 7. Accordingly, the thermal energy conveyed by the energy transfer gas is removed and, also, reaction by-products existing in the gas phase or absorbed on the surface of the wafer W are removed (step S18). In other words, the heat is removed from the surface of the wafer W by purging the energy transfer gas in the purge process of the step S18. As a result, it is possible to prepare for a source gas adsorption process of a next cycle. Further, a concentration of impurities in the film can be decreased by purging the gaseous reaction by-products.
  • In the film forming apparatus 100, a high-quality thin film corresponding to a monomolecular or a multimolecular adsorption layer of a film forming material can be formed on the wafer W by performing main processes including the adsorption process for adsorbing the film forming material on the surface of the wafer W, the purge process for substituting the atmosphere inside the chamber with the purge gas and the reaction process for carrying out the film forming reaction by supplying the thermal energy to the film forming material on the surface of the wafer W through the use of the energy transfer gas. Therefore, thin films can be sequentially deposited on the surface of the wafer W by repetitively performing the processes of the steps S12 to S18 in FIG. 4. The pressure increasing process of the step S15 and the pressure decreasing process of the step S17 are not prerequisite processes for the film formation. In other words, it is possible to perform the purge process of the step S14, the reaction process of the step S16 and the purge process of the step S18 while maintaining the inner pressure of the chamber 1 at a constant level.
  • After a desired film of a predetermined thickness is formed, the wafer W is unloaded from the loading/unloading port (not shown) by opening the gate valve (not shown) (step S19). In this way, the film forming process for a single wafer W is completed.
  • Hereinafter, examples of major processes of the film forming method of the present invention will be described with reference to FIGS. 5 to 10. FIG. 5 provides a flowchart showing an example of a film forming reaction performed by introducing into the chamber 1 a reactant gas in addition to an energy transfer gas during a reaction process. FIG. 6 offers a timing chart based on the flowchart of FIG. 5. Although FIG. 6 illustrates only a first to a third cycle for convenience, the number of cycles may be one or more than four depending on desired thin films (same in FIGS. 8, 10 and 14 to 21). Since the details of each process are the same as those described above, the description thereof will be omitted.
  • First of all, a source gas is adsorbed on a surface of a wafer W in a step S21. At this time, it is preferable that a temperature of the wafer W is controlled in advance as described above.
  • Next, a first purge process is performed to purge a gaseous source gas in a step S22 (gaseous source gas purge process). Then, an inner pressure of the chamber 1 is increased by controlling an exhaust conductance while introducing the purge gas in a pressure increasing process of a step S23. Herein, the purge process of the step S22 and the pressure increasing process of the step S23 are overlapped temporally. In a reaction process of a step S24, a film forming reaction is carried out by simultaneously supplying to the chamber a reactant gas in addition to an energy transfer gas.
  • In a step 25, the introduction of the energy transfer gas and the reactant gas is stopped and, also, the inner pressure of the chamber 1 is decreased to a level before the pressure increasing process. Then, a second purge process is performed to purge reaction by-products and the energy transfer gas having the thermal energy (step S26).
  • One cycle of the aforementioned steps S21 to S26 is repeated multiple times as necessary. Herein, the first purge process of the step S22 and the pressure increasing process of the step S23 can be performed simultaneously. Further, the pressure decreasing process of the step S25 and the second purge process of the step S26 can be performed simultaneously.
  • FIG. 7 presents a flowchart describing an example of a film forming reaction performed by introducing into the chamber 1 a reactant gas serving as an energy transfer gas. That is, the heated reactant gas can be used as the energy transfer gas. FIG. 8 represents a timing chart based on the flowchart of FIG. 7. Since the details of each process are the same as those described above, the description thereof will be omitted.
  • First of all, a source gas is adsorbed on a surface of a wafer W in a step S31. At this time, it is preferable that a temperature of the wafer W is controlled in advance as described above.
  • Next, a first purge process is performed to purge a gaseous source gas in a step S32 (gaseous source gas purge process). Then, an inner pressure of the chamber 1 is increased by controlling an exhaust conductance while introducing the purge gas in a pressure increasing process of a step S33. Herein, the purge process of the step S32 and the pressure increasing process of the step S33 are overlapped temporally. In a reaction process of a step S34, a film forming reaction is carried out by supplying to the chamber an energy transfer gas serving as a reactant gas. As for the energy transfer gas serving as the reactant gas, there can be employed, e.g., H2, NH3, N2, N2H4, HCOOH, CH3COOH, CH3OH, H2O (vapor), O3, CO and the like.
  • In a step 35, the introduction of the energy transfer gas is stopped and, also, the inner pressure of the chamber 1 is decreased to a level before the pressure increasing process. Then, a second purge process is performed to purge reaction by-products and the energy transfer gas having the thermal energy (step S36).
  • One cycle of the aforementioned steps S31 to S36 is repeated multiple times as necessary. Herein, the first purge process of the step S32 and the pressure increasing process of the step S33 can be performed simultaneously. Further, the pressure decreasing process of the step S35 and the second purge process of the step S36 can be performed simultaneously.
  • FIG. 9 is a flowchart showing an example of a film forming reaction performed by introducing only an energy transfer gas into the chamber 1 during a reaction process. This is for a case where the film forming reaction is carried out by only supplying the thermal energy by the energy transfer gas without having to use the reactant gas. FIG. 10 illustrates a timing chart based on the flowchart of FIG. 9. Since the details of each process are the same as those described above, the description thereof will be omitted.
  • First of all, a source gas is adsorbed on a surface of a wafer W in a step S41. In such a case, it is preferable that a temperature of the wafer W is controlled in advance as described above.
  • Next, a first purge process is performed to purge a gaseous source gas in a step S42 (gaseous source gas purge process). Then, an inner pressure of the chamber 1 is increased by controlling an exhaust conductance while introducing the purge gas in a pressure increasing process of a step S43. Herein, the purge process of the step S42 and the pressure increasing process of the step S43 are overlapped temporally. In a reaction process of a step S44, a film forming reaction is carried out by supplying only the energy transfer gas into the chamber.
  • In a step 45, the introduction of the energy transfer gas is stopped and, also, the inner pressure of the chamber 1 is decreased to a pressure level before the pressure increasing process. Then, a second purge process is performed to purge reaction by-products and the energy transfer gas having the thermal energy (step S46).
  • One cycle of the aforementioned steps S41 to S46 is repeated multiple times as necessary. Herein, the first purge process of the step S42 and the pressure increasing process of the step S43 can be performed simultaneously. Further, the pressure decreasing process of the step S45 and the second purge process of the step S46 can be performed simultaneously.
  • FIGS. 11A to 11J schematically illustrate a principle of a film forming process of this embodiment. FIG. 11A shows a wafer W having a temperature controlled to a level at which a source material can be easily adsorbed. Referring to FIG. 11B, a source material Si is adsorbed by contacting a source gas on a surface of the wafer W having the temperature controlled to a predetermined level. Next, as shown in FIG. 11C, the residual gaseous source material S1 is removed by performing a purge process with a purge gas P. After an inner pressure of the chamber 1 is increased if necessary, a thermal energy E required for a reaction is supplied by injecting a reactant gas S2 and an energy transfer gas (not shown) heated to a high temperature toward the wafer W having the source material S1 adsorbed thereon, as illustrated in FIG. 11D. In this example, a chemical reaction takes place between the source material S1 and the reactant gas S2, thereby forming a first layer of thin film D1, as shown in FIG. 11E. Herein, the reactant gas S2 may not be used if not required.
  • After the inner pressure of the chamber 1 is decreased to a level before the pressure increasing process if necessary, the energy transfer gas having the thermal energy or the reaction by-products are removed by carrying out the purge process with the purge gas P, as illustrated in FIG. 11F. Therefore, in order to deposit a second layer of thin film, the source material S1 is adsorbed again on the wafer W (on the thin film D1) (FIG. 11G) and, then, the purge process is performed (FIG. 11H). After increasing the inner pressure of the chamber 1 if necessary, the reactant gas S2 and the energy transfer gas are injected (FIG. 11I). As a result, a chemical reaction takes place, forming a second layer of thin film D2 (FIG. 11J). Since the subsequent processes are the same as those for the first layer film forming process, the description thereof will be omitted. By repetitively performing the aforementioned processes, further layers of thin films are sequentially formed on top of the surface of the wafer W until a desired film thickness is achieved. Although FIGS. 11A to 11J depict an example in which the film is formed by supplying the energy to a monomolecular adsorption layer adsorbed on the wafer W, a thin film can be deposited by supplying the energy to a multimolecular adsorption layer.
  • FIG. 12 is a cross sectional view illustrating a schematic configuration of a film forming apparatus 101 in accordance with a second embodiment of the present invention. The film forming apparatus 101 is different from the film forming apparatus 100 of the first embodiment in that a gas exhaust port 5 is formed on a bottom wall 1 a of a chamber 1 and connected with a gas exhaust unit 7 via a gas exhaust line 6 connected therewith, the gas exhaust unit 7 having a high speed vacuum pump. It is preferable that the gas exhaust port 5 and the gas inlet port 17 are located at diametrically opposite locations with respect to the mounting table 3. A conductance variable valve 6 a serving as a pressure control unit is arranged on the gas exhaust line 6 between the gas exhaust port 5 and the gas exhaust unit 7. By exhausting a gas inside the chamber 1 with an operation of the gas exhaust unit 7, an inner pressure of the chamber 1 can be decreased to a predetermined vacuum level at a high speed via the gas exhaust line 6 while controlling the pressure. Although the gas exhaust port 5 is disposed as shown in FIG. 12, a flow of the source gas can be formed from the gas inlet port 17 toward the gas exhaust port 5 in a direction parallel to the surface of the wafer W mounted on the mounting table 3, as indicated by white arrows of FIG. 12. Consequently, the film forming source material can be effectively adsorbed on the surface of the wafer W. Since other configurations of the film forming apparatus 101 in accordance with the second embodiment are the same as those of the film forming apparatus of the first embodiment, like reference numbers are given to like parts and the description thereof will be omitted.
  • FIG. 13 provides a cross sectional view showing a schematic configuration of a film forming apparatus 102 in accordance with a third embodiment of the present invention. Unlike the film forming apparatus 100 of the first embodiment or the film forming apparatus 101 of the second embodiment, the film forming apparatus 102 employs a structure in which a source gas, a purge gas, a reactant gas and an energy transfer gas are all supplied via a shower head.
  • To be specific, a shower head 60 is provided on a ceiling wall 1 c of the chamber 1 and includes an upper block body 61, an intermediate block body 62 and a lower block body 63. Alternately formed in the lower block body 63 are gas injection openings 64 and 65 for discharging gases. A first and a second gas inlet port 66 and 67 are formed on a top surface of the upper block body 61. The first gas inlet port 66 is connected with an energy transfer gas supply source 23 a and a reactant gas supply source 23 b via a bifurcated gas line 72. The second gas inlet port 67 is connected with a film forming source gas supply source 26 and a purge gas supply source 27 via a bifurcated gas line 73. Moreover, the heated reactant gas can be used as the energy transfer gas. In such a case, the energy transfer gas supply source 23 a does not need to be provided in addition to the reactant gas supply source 23 b.
  • A plurality of gas channels 68 are branched from the first gas inlet port 66 inside the upper block body 61. Further, gas channels 69 are formed in the intermediate block body 62 and the gas channels 68 communicate with the gas channels 69. Furthermore, the gas channels 69 communicate with the gas injection openings 64 of the lower block body 63.
  • Moreover, a plurality of gas channels 70 are branched from the second gas inlet port 67 inside the upper block body 61. In addition, gas channels 71 are formed in the intermediate block body 62 and the gas channels 70 communicate with the gas channels 71. The gas channels 71 communicate with the gas injection openings 65 of the lower block body 63.
  • Provided around the gas injection openings 64 are heaters 74 serving as heating units for heating the energy transfer gas and the reactant gas inside the shower head 60. Further, insulating units 75 are provided around the heaters 74 to insulate the heaters 74, the insulating units 75 being made of a material having a low thermal conductivity, e.g., heat resistant synthetic resin, quartz, ceramic or the like.
  • Gas exhaust ports 76 a and 76 b are formed on a bottom wall 1 a of the chamber 1, e.g., at diametrically opposite locations with respect to the mounting table 3 and connected with a gas exhaust unit 7 having a high speed vacuum pump via gas exhaust lines 77 a and 77 b connected therewith. By exhausting a gas inside the chamber 1 with an operation of the gas exhaust unit 7, an inner pressure of the chamber 1 can be decreased to a predetermined vacuum level at a high speed via the gas exhaust lines 77 a and 77 b. Moreover, the pressure can be controlled to be increased or decreased by adjusting an exhaust conductance with the conductance variable valves 77 c and 77 d arranged on the gas exhaust lines 77 a and 77 b between the gas exhaust ports 76 a and 76 b and the gas exhaust unit 7 under the control of the process controller 50. At this time, the gas exhaust unit 7 and the conductance variable valves 77 c and 77 d cooperatively serve as a pressure control unit.
  • By connecting the gas line 73 with the shower head 60 as shown in FIG. 13, the source gas from the film forming source gas supply source 26 is discharged through the gas injection openings 65 of the lower block body 63 facing the wafer W via the second gas inlet port 67 and the gas channels 70 and 71. Accordingly, the source gas can collide against the surface of the wafer W in a substantially vertical direction. Further, by exhausting the gas in the chamber 1 through the gas exhaust ports 76 a and 76 b formed on the bottom wall 1 a of the chamber 1, the source gas that has collided against the surface of the wafer W can flow toward the gas exhaust ports 76 a and 76 b in a direction substantially parallel to the surface of the wafer W mounted on the mounting table 3. Consequently, the film forming material can be effectively adsorbed on the surface of the wafer W.
  • By connecting the gas line 72 with the shower head 60, the energy transfer gas from the energy transfer gas supply source 23 a and the reactant gas from the reactant gas supply source 23 b are discharged, if necessary, through the gas injection openings 64 of the lower block body 63 facing the wafer W via the first gas inlet port 66 and the gas channels 68 and 69. Accordingly, the energy transfer gas and the reactant gas collide against the surface of the wafer W in a substantially vertical direction. As a result, the thermal energy can be effectively supplied to the surface of the wafer W where the reaction takes place.
  • Since other configurations of the film forming apparatus 102 of the third embodiment are the same as those of the film forming apparatus 100 of the first embodiment, like reference numbers are given to like parts and, further, the description thereof will be omitted.
  • Hereinafter, the present invention will be described in detail based on examples. However, the present invention is not limited to following examples.
  • Example 1
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • A liquid source material of Ru(EtCp)2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. As for an oxidizing gas (reactant gas), O2 was used. Introduced into the chamber 1 were Ru(EtCp)2, Ar serving as a carrier and dilution gas; and O2 serving as a reactant gas and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 14 shows a timing chart of the film forming process in this example:
  • Step 1;
  • Ru(EtCp)2 of 0.1 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr),
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Step 3;
  • Ar and O2, each being heated to 500° C., were set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). Each of the flow rates of Ar and O2 was 500 mL/min (sccm)
  • Step 4;
  • A purge process was performed by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 ten times, a Ru film having a film thickness of 30 nm was formed.
  • Example 2
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • A liquid source material of Ru(EtCp)2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ru(EtCp)2, Ar serving as a carrier and dilution gas; and H2 serving as a reactant gas and Ar as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 15 shows a timing chart of the film forming process in this example:
  • Step 1;
  • Ru(EtCp)2 of 0.2 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Step 3;
  • Ar and H2, each being heated to 500° C., were set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). Each of the flow rates of Ar and H2 was 500 mL/min (sccm).
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 eight times, a Ru film having a film thickness of 27 nm was formed.
  • Example 3
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • A liquid source material of Ru(EtCp)2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ru(EtCp)2, Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 16 shows a timing chart of the film forming process in this example:
  • Step 1;
  • Ru(EtCp)2 of 0.2 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Step 3;
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). The flow rate of Ar was 1000 mL/min (sccm).
  • Step 4;
  • A purge process was performed by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 eight times a Ru film having a film thickness of 29 nm was formed.
  • Example 4
  • A wafer W having a diameter of 300 mm is loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • A liquid source material of Ru(EtCp)2 was introduced into a vaporizer heated to 150° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ru(EtCp)2, Ar serving as a carrier gas and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 5:
  • Step 1;
  • Ru(EtCp)2 of 0.5 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr),
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Step 3;
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). The flow rate of Ar was 1000 mL/min (sccm).
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr),
  • Step 5;
  • Ru(EtCp)2 of 0.5 g/min and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting the inner pressure of the chamber at 666.6 Pa (5 Torr).
  • By performing four times the steps 1 to 5 as a nucleation process and six times the steps 5, 2, 3 and 4 as a main deposition process, a Ru film having a film thickness of 32 nm was formed.
  • Example 5
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 10° C.
  • A solid source material of Ru3(CO)12 in a vessel having a temperature controlled to 50° C. was introduced into the vacuum film forming apparatus by using a bubbling method employing Ar as a carrier gas.
  • Introduced into the chamber were Ru3(CO)12, Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 17 shows a timing chart of the film forming process in this example:
  • Step 1;
  • Ru3(CO)12 of 1 mL/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Step 3;
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the pressure inside the chamber at 1333 Pa (10 Torr). The flow rate of Ar was 1000 mL/min (sccm).
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 fifty times, a Ru film having a film thickness of 5 nm was formed.
  • Example 6
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • A source material of Ta(Nt-Am) (NMe2)3 (=TAIMATA) was introduced into a vaporizer heated to 120° C. via a line heated to 50° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ta(Nt-Am) (NMe2)3, Ar serving as a carrier and dilution gas; and NH3 serving as a reactant gas and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 18 shows a timing chart of the film forming process in this example:
  • Step 1;
  • Ta(Nt-Am) (NMe2)3 of 0.2 g/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr)
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Step 3;
  • NH3 and Ar, each being heated to 500° C., were set to flow for 10 seconds at while setting the inner pressure of the chamber at 1333 Pa (10 Torr). The flow rates of NH3 and Ar were 700 and 300 mL/min (sccm).
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 eight times, a TaN film having a film thickness of 54 nm was formed.
  • Example 7
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C. A source of Ta(Nt-Am) (NMe2)3 was introduced into a vaporizer heated to 120° C. via a line heated to 50° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ta(Nt-Am) (NMe2)3, Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 19 shows a timing chart of the film forming process in this example:
  • Step 1;
  • Ta(Nt-Am) (NMe2)3 of 0.2 g/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Step 3;
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). The flow rate of Ar was 1000 mL/min (sccm).
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 ten times, a TaN film having a film thickness of 25 nm was formed.
  • Example 8
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 100° C.
  • A source material of Ta(Nt-Am) (NMe2)3 was introduced into a vaporizer heated to 120° C. via a line heated to 50° C. and, then, the vaporized gas was introduced into the vacuum film forming apparatus by a carrier gas of Ar. Introduced into the chamber 1 were Ta(Nt-Am)(NMe2)3, Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4:
  • Step 1;
  • Ta(Nt-Am) (NMe2)3 of 0.2 g/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Step 3;
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). The flow rate of Ar was 1000 mL/min (sccm).
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 ten times, a TaN film having a film thickness of 25 nm was formed.
  • Example 9
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 10° C.
  • A solid source material of W(CO)6 in a vessel having a temperature controlled to 50° C. was introduced into the vacuum film forming apparatus by using a bubbling method employing Ar as a carrier gas. Introduced into the chamber were W(CO)6, Ar serving as a carrier and dilution gas; and Ar serving as an energy transfer gas heated to a high temperature for a film forming reaction.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 20 provides a timing chart of the film forming process in this example:
  • Step 1;
  • W(CO)6 of 5 mL/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr).
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing.
  • Step 3;
  • Ar heated to 500° C. was set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). The flow rate of Ar was 1000 mL/min (sccm).
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 twenty times, a W film having a film thickness of 10 nm was formed.
  • Example 10
  • A wafer W having a diameter of 300 mm was loaded via a transfer robot (not shown) into an aluminum vacuum film forming apparatus having a mounting table whose temperature is controllable as in FIG. 1 and then mounted on the mounting table having a temperature controlled to a preset level of 10° C.
  • A solid source material of W(CO)6 in a vessel having a temperature controlled to 50° C. was introduced into the vacuum film forming apparatus by using a bubbling method employing Ar as a carrier gas. Introduced into the chamber were W(CO)6, Ar serving as a carrier and dilution gas; and H2 serving as a reactant gas heated to a high temperature for a film forming reaction and Ar as an energy transfer gas.
  • Next, a film forming process was carried out by performing following steps 1 to 4. FIG. 21 provides a timing chart of the film forming process in this example:
  • Step 1;
  • W (CO)6 of 5 mL/min (sccm) and the carrier gas of Ar of 100 mL/min (sccm) were set to flow for 20 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr),
  • Step 2;
  • A purge process was performed by flowing a dilution gas of Ar of 500 mL/min (sccm) as a purge gas for 10 seconds while setting an inner pressure of the chamber at 400 Pa (3 Torr) and, then, the inner pressure of the chamber was increased to 1333 Pa (10 Torr) while the purge gas was flowing,
  • Step 3;
  • H2 and Ar, each being heated to 500° C., were set to flow for 10 seconds while setting the inner pressure of the chamber at 1333 Pa (10 Torr). The flow rates of H2 and Ar were 800 and 200 mL/min (sccm), respectively.
  • Step 4;
  • A purge process was carried out by flowing a dilution gas of Ar of 1000 mL/min (sccm) as a purge gas for 10 seconds while setting the inner pressure of the chamber at 400 Pa (3 Torr).
  • By repeating the steps 1 to 4 twenty times, a W film having a film thickness of 10 nm was formed.
  • The present invention may be variously modified without being limited to the aforementioned embodiments.
  • For example, although the heaters 15 for heating the energy transfer gas are provided around the gas injection openings 11 of the shower head 10 in the film forming apparatus 100 of FIG. 1, the heaters may be installed in the diffusion space 14 of the shower head 10. In such a case, there can be used a cylindrical heater 210 having a bar-shaped resistance 212 installed in an elongated cylindrical container 211 made of an insulating material such as heat resistant synthetic resin, quartz, ceramic or the like, as shown in FIGS. 22 and 23. The bar-shaped resistance 212 is connected with a heater power supply (not shown) via lead lines 215, so that an inside of the container 211 can be rapidly heated by supplying power to the heater 210. Further, a gas inlet 213 is provided at one place of an upper portion of the container 211. A plurality of gas outlets 214 communicating with the gas injection openings 11 of the shower head 10 are formed at a lower portion of the container 211. The energy transfer gas can be rapidly heated while passing through the inside of the container 211. Moreover, a number of the cylindrical heaters 210 may be disposed side by side inside the diffusion space 14 of the shower head 10.
  • Although the first to the third embodiments employ the fixed mounting table 3, there may be used a mounting table 3 horizontally rotatable by a rotating unit. In such a case, a more uniform thickness and quality of a thin film formed on the surface of the wafer W can be achieved during the adsorption process for adsorbing a film forming material on the surface of the wafer W and the reaction process for carrying out a film forming reaction on the surface of the wafer W.
  • The present invention can be appropriately used for forming a desired film on a substrate such as a semiconductor wafer or the like during a manufacturing process of various semiconductor devices, for example.

Claims (19)

1. A film forming apparatus comprising:
a processing chamber, accommodating therein a substrate, for performing a film forming process;
a mounting table for mounting thereon the substrate in the processing chamber;
a source gas inlet for introducing a source gas into the processing chamber;
an energy transfer gas inlet, which includes a plurality of gas injection openings, for injecting an energy transfer gas through the gas injection openings toward a surface of the substrate mounted on the mounting table in the processing chamber;
a gas exhaust unit for vacuum exhausting an inside of the processing chamber and;
a heater unit for heating the energy transfer gas,
wherein the heater unit is disposed in the energy transfer gas inlet such that the energy transfer gas is heated by the heater unit before being injected from the gas injections openings into the inside of the processing chamber.
2. The film forming apparatus of claim 1, wherein the heater unit includes cylindrical ceramic members and resistances embedded in a coil shape in the ceramic member, each of the gas injection openings being surrounded by one of the cylindrical ceramic members.
3. The film forming apparatus of claim 2, wherein insulation members are provided around the cylindrical ceramic members to thermally insulate the heater unit.
4. The film forming apparatus of claim 3, wherein the insulation members are made of a material selected from the group consisting of heat resistant synthetic resin, quartz and ceramic.
5. The film forming apparatus of claim 1, wherein the energy transfer gas inlet includes a shower head disposed in an upper portion of the processing chamber and having the gas injection openings and a diffusion space disposed thereabove and the heater unit installed in the diffusion space.
6. The film forming apparatus of claim 1, wherein the heater unit includes cylindrical heaters, each of which has an elongated cylindrical container made of an insulation material and a bar-shaped resistance installed in the elongated cylindrical container.
7. The film forming apparatus of claim 6, wherein the cylindrical container is provided with a gas inlet for introducing the energy transfer gas there into a plurality of gas outlets communicating with the gas injection openings, and the energy transfer gas is heated while passing through an inside of the cylindrical container.
8. The film forming apparatus of claim 7, wherein the cylindrical heaters are disposed side by side.
9. The film forming apparatus of claim 1, wherein power is supplied from a heater power supply to the heater unit via a lead line.
10. The film forming apparatus of claim 6, wherein the bar-shape resistance is connected with a heater power supply via lead lines, thereby rapidly heating the energy transfer gas at an inside of the cylindrical container by supplying electrical power to the heater unit.
11. A film forming apparatus comprising:
a processing chamber, accommodating therein a substrate, for performing a film forming process;
a mounting table for mounting thereon the substrate in the processing chamber;
a source gas inlet for introducing a source gas into the processing chamber;
an energy transfer gas inlet, which includes a plurality of gas injection openings, for injecting an energy transfer gas through the gas injection openings toward a surface of the substrate mounted on the mounting table in the processing chamber;
a gas exhaust unit for vacuum exhausting an inside of the processing chamber;
a heater unit for heating the energy transfer gas; and
a power supply connected to the heater unit to supply an electric power thereto,
wherein the heater unit is disposed inside the energy transfer gas inlet so that the energy transfer gas is heated by being introduced into the energy transfer gas inlet.
12. The film forming apparatus of claim 11, wherein the heater unit includes cylindrical ceramic members and resistances embedded in a coil shape in the ceramic member, each of the gas injection openings being surrounded by one of the cylindrical ceramics members.
13. The films forming apparatus of claim 12, wherein insulation members are provided around the cylindrical ceramic members to thermally insulate the heater unit.
14. The film forming apparatus of claim 13, wherein the insulation members are made of a material selected from the group consisting of heat resistant synthetic resin, quartz and ceramic.
15. The film forming apparatus of claim 11, wherein the energy transfer gas inlet includes a shower head disposed in an upper portion of the processing chamber and having the gas injection openings and a diffusion space disposed thereabove and the heater unit installed in the diffusion space.
16. The film forming apparatus of claim 11, wherein the heater unit includes cylindrical heaters, each of which has an elongated cylindrical container made of an insulation material and a bas-shaped resistance installed in the elongated cylindrical container.
17. The film forming apparatus of claim 16, wherein the cylindrical container is provided with a gas inlet for introducing the energy transfer gas thereinto a plurality of gas outlets communicating with the gas injection openings, and the energy transfer gas is heated while passing through an inside of the cylindrical container.
18. The film forming apparatus of claim 17, wherein the cylindrical heaters are disposed side by side.
19. The film forming apparatus of claim 16, wherein the bar-shape resistance is connected with the power supply via lead lines, thereby rapidly heating the energy transfer gas at an inside of the cylindrical container by supplying electrical power to the heater unit.
US12/509,872 2005-12-08 2009-07-27 Film forming method and apparatus Abandoned US20090283038A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/509,872 US20090283038A1 (en) 2005-12-08 2009-07-27 Film forming method and apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005-355152 2005-12-08
JP2005355152A JP4803578B2 (en) 2005-12-08 2005-12-08 Deposition method
US11/608,504 US7582544B2 (en) 2005-12-08 2006-12-08 ALD film forming method
US12/509,872 US20090283038A1 (en) 2005-12-08 2009-07-27 Film forming method and apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/608,504 Continuation US7582544B2 (en) 2005-12-08 2006-12-08 ALD film forming method

Publications (1)

Publication Number Publication Date
US20090283038A1 true US20090283038A1 (en) 2009-11-19

Family

ID=38139960

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/608,504 Expired - Fee Related US7582544B2 (en) 2005-12-08 2006-12-08 ALD film forming method
US12/509,872 Abandoned US20090283038A1 (en) 2005-12-08 2009-07-27 Film forming method and apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/608,504 Expired - Fee Related US7582544B2 (en) 2005-12-08 2006-12-08 ALD film forming method

Country Status (2)

Country Link
US (2) US7582544B2 (en)
JP (1) JP4803578B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20110185970A1 (en) * 2007-08-10 2011-08-04 Micron Technology, Inc. Semiconductor processing
WO2013016191A2 (en) * 2011-07-22 2013-01-31 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US20180102244A1 (en) * 2016-10-07 2018-04-12 Tokyo Electron Limited Film forming method
CN111058012A (en) * 2018-10-17 2020-04-24 北京北方华创微电子装备有限公司 Air inlet device and semiconductor processing equipment

Families Citing this family (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4659856B2 (en) * 2007-06-08 2011-03-30 東京エレクトロン株式会社 Method for forming fine pattern
KR101217419B1 (en) * 2007-09-04 2013-01-02 엘피다 메모리 가부시키가이샤 METHOD FOR Sr-Ti-O-BASE FILM FORMATION AND RECORDING MEDIUM
US7851380B2 (en) * 2007-09-26 2010-12-14 Eastman Kodak Company Process for atomic layer deposition
JP5303984B2 (en) * 2008-03-26 2013-10-02 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP5281856B2 (en) * 2008-09-16 2013-09-04 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8491720B2 (en) 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8138069B2 (en) 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP5938164B2 (en) * 2011-02-21 2016-06-22 東京エレクトロン株式会社 Film forming method, film forming apparatus, semiconductor device and manufacturing method thereof
JP6041464B2 (en) * 2011-03-03 2016-12-07 大陽日酸株式会社 Metal thin film forming method and metal thin film forming apparatus
JP5606970B2 (en) * 2011-03-14 2014-10-15 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
TWI534291B (en) 2011-03-18 2016-05-21 應用材料股份有限公司 Showerhead assembly
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5794893B2 (en) * 2011-10-31 2015-10-14 株式会社ニューフレアテクノロジー Film forming method and film forming apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (en) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 Thin film forming method and thin film forming apparatus
WO2014103728A1 (en) * 2012-12-27 2014-07-03 昭和電工株式会社 Film-forming device
WO2014103727A1 (en) * 2012-12-27 2014-07-03 昭和電工株式会社 SiC-FILM FORMATION DEVICE AND METHOD FOR PRODUCING SiC FILM
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160026302A (en) * 2014-08-29 2016-03-09 삼성전자주식회사 Substrate processing apparatus, apparatus for manufacturing integrated circuit device, substrate processing method and method of manufacturing integrated circuit device
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
JP6851173B2 (en) * 2016-10-21 2021-03-31 東京エレクトロン株式会社 Film formation equipment and film formation method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (en) * 2016-11-02 2021-01-01 Commissariat Energie Atomique DEPOSIT PROCESS FOR THIN CHALCOGENURE FILMS
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI733196B (en) * 2018-09-29 2021-07-11 大陸商北京北方華創微電子裝備有限公司 Air intake device and atomic layer deposition equipment for atomic layer deposition process
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
CN114207755A (en) 2019-04-17 2022-03-18 加州理工学院 Atomic layer deposition on high aspect ratio electrode structures
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
FI129040B (en) * 2019-06-06 2021-05-31 Picosun Oy Coating of fluid-permeable materials
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114401A1 (en) * 2021-12-17 2023-06-22 Lam Research Corporation Atomic layer deposition pulse sequence engineering for improved conformality for low temperature precursors

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5580822A (en) * 1991-12-26 1996-12-03 Canon Kabushiki Kaisha Chemical vapor deposition method
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US20060046518A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01290221A (en) * 1988-05-18 1989-11-22 Fujitsu Ltd Semiconductor vapor growth method
JP3891848B2 (en) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 Processing apparatus and processing method
KR100527048B1 (en) * 2003-08-29 2005-11-09 주식회사 아이피에스 Method for depositing thin film on wafer
JP2005086185A (en) * 2003-09-11 2005-03-31 Tokyo Electron Ltd Deposition method
JP4551072B2 (en) * 2003-09-22 2010-09-22 励起 渡辺 Single wafer processing equipment that can deposit one atomic layer at a time
JP4404674B2 (en) * 2004-04-07 2010-01-27 株式会社アルバック Thin film manufacturing equipment
JP3960987B2 (en) * 2004-04-23 2007-08-15 株式会社日立国際電気 Reaction vessel

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5580822A (en) * 1991-12-26 1996-12-03 Canon Kabushiki Kaisha Chemical vapor deposition method
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US6902763B1 (en) * 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US20060046518A1 (en) * 2004-08-31 2006-03-02 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110185970A1 (en) * 2007-08-10 2011-08-04 Micron Technology, Inc. Semiconductor processing
US8667928B2 (en) * 2007-08-10 2014-03-11 Micron Technology, Inc. Semiconductor processing
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
WO2013016191A2 (en) * 2011-07-22 2013-01-31 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
WO2013016191A3 (en) * 2011-07-22 2013-03-21 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US9499905B2 (en) 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US20180102244A1 (en) * 2016-10-07 2018-04-12 Tokyo Electron Limited Film forming method
US10319585B2 (en) * 2016-10-07 2019-06-11 Tokyo Electron Limited Film forming method
CN111058012A (en) * 2018-10-17 2020-04-24 北京北方华创微电子装备有限公司 Air inlet device and semiconductor processing equipment

Also Published As

Publication number Publication date
US20070134919A1 (en) 2007-06-14
US7582544B2 (en) 2009-09-01
JP4803578B2 (en) 2011-10-26
JP2007154297A (en) 2007-06-21

Similar Documents

Publication Publication Date Title
US7582544B2 (en) ALD film forming method
KR102493002B1 (en) Suppressing interfacial reactions by varying wafer temperature throughout deposition
US6756318B2 (en) Nanolayer thick film processing system and method
JP5097554B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
TW201936970A (en) Treatment methods for silicon nitride thin films
US20120202353A1 (en) Nanolayer deposition using plasma treatment
WO2010147140A1 (en) Barrier layer, film deposition method, and treating system
JP2008521261A (en) Substrate processing apparatus using batch processing chamber
WO2007142329A1 (en) Film forming apparatus, film forming method, computer program and storage medium
KR20100132779A (en) Method for manufacturing thin film and apparatus for the same
WO2007102333A1 (en) Methods of depositing ruthenium film and memory medium readable by computer
US8263181B2 (en) Ti-based film forming method and storage medium
JP2019062142A (en) Selective film formation method and semiconductor device manufacturing method
US20090029047A1 (en) Film-forming apparatus and film-forming method
JP4559223B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP6391355B2 (en) Method for forming tungsten film
JPH11323560A (en) Method and apparatus for film deposition
JP2004047634A (en) Method and apparatus for depositing film
US9331139B2 (en) Ruthenium film formation method and storage medium
KR100666042B1 (en) Thin film forming method and thin film forming apparatus
US9887099B2 (en) Pattern forming method capable of minimizing deviation of an inversion pattern
WO2020184342A1 (en) Substrate processing method and substrate processing device
JP2008205325A (en) Manufacturing method of semiconductor device and substrate treatment apparatus
US20210272840A1 (en) Method of manufacturing semiconductor device
JP2009299101A (en) Method of manufacturing semiconductor device and substrate processing apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION