US20090299716A1 - Hot-Carrier Device Degradation Modeling and Extraction Methodologies - Google Patents

Hot-Carrier Device Degradation Modeling and Extraction Methodologies Download PDF

Info

Publication number
US20090299716A1
US20090299716A1 US12/486,191 US48619109A US2009299716A1 US 20090299716 A1 US20090299716 A1 US 20090299716A1 US 48619109 A US48619109 A US 48619109A US 2009299716 A1 US2009299716 A1 US 2009299716A1
Authority
US
United States
Prior art keywords
degradation
model
parameter
degraded
age
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/486,191
Inventor
Zhihong Liu
Lifeng Wu
Jeong Y. Choi
Ping Chen
Alvin I. Chen
Gang Zhang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/832,933 external-priority patent/US7292968B2/en
Application filed by Individual filed Critical Individual
Priority to US12/486,191 priority Critical patent/US20090299716A1/en
Publication of US20090299716A1 publication Critical patent/US20090299716A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2832Specific tests of electronic circuits not provided for elsewhere
    • G01R31/2836Fault-finding or characterising
    • G01R31/2846Fault-finding or characterising using hard- or software simulation or using knowledge-based systems, e.g. expert systems, artificial intelligence or interactive algorithms
    • G01R31/2848Fault-finding or characterising using hard- or software simulation or using knowledge-based systems, e.g. expert systems, artificial intelligence or interactive algorithms using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation

Definitions

  • This invention relates generally to a design aiding apparatus and methods for the design of integrated circuits, and, more specifically, to methods for simulating the time degradation of a circuit and its components.
  • Complementary metal oxide semiconductor (CMOS) circuits may contain thousands or millions of transistors and other circuit elements.
  • the design of such circuits is extremely complicated and usually employs simulators, such as SPICE, to predict circuit operation.
  • SPICE simulators
  • SPICE SPICE
  • FIG. 1 is a schematic of a field effect transistor, the example here being a P-channel MOSFET formed on a silicon substrate, although much of the following discussion applies NMOS transistors and other insulated gate devices.
  • This device may also have a lightly doped drain (LDD) region that is not shown in FIG. 1 .
  • LDD lightly doped drain
  • the transistor 10 has a bulk N-type region 5 , source region 11 and drain region 12 defined at and below the substrate surface, and a lightly doped N-type channel region 15 having a manufactured length L M in the region between the source 11 and the drain 12 .
  • an oxide or other insulating layer 14 that supports the gate 13 .
  • the gate is shown doped as N+, although in a PMOS this may alternately be P+.
  • the PMOS transistor 10 With operation over time, the PMOS transistor 10 will suffer degradation due to device aging.
  • An important mechanism in the aging of insulated gate devices is the “hot carrier” effect.
  • a current I ds of energized charge carriers flows through the channel 15 from the source 11 to the drain 12 . Impact of these charge carriers near the channel/drain juncture generates electron-hole pairs. Some of the resultant electrons have sufficient energy to pass through the insulating layer 14 by tunneling or other leakage mechanisms and are then collected at the gate 135 resulting in a gate current I G . The rest of the electrons result in a substrate current I sub contribution, with generated holes adding to the drain current I ds .
  • Some of the impact induced electrons become trapped inside of the insulating layer 14 or become trapped at the interface of the channel 15 and the insulating layer 14 . This attracts holes to the channel side of the channel/insulator interface, which cause the effective boundary of the P+ drain region 12 to shift closer to the source region. This is shown in FIG. 2 , where drain is extended by the region 12 ′, shortening the effective channel length to L′ L M . Additionally, the oxide/silicon interface above channel region is damaged by the more energetic holes and electrons.
  • the damage to the interface results in a lower mobility, ⁇ , as the carriers flow form source 11 to drain 12 .
  • the lower mobility results in more resistance and lowers I ds , where as the electrons trapped in the dielectric tend to raise the PMOS's I ds curve.
  • the strength of these effects depend differently on bias conditions, but traditionally the change due to lower mobility has been smaller; however, as device sizes have decreased, this interface damage becomes more significant and, depending upon bias conditions, often becomes the larger effect below a quarter micron or so.
  • FIG. 3 shows three inverters, 20 a , 20 b , and 20 c , connected in parallel with a capacitive load C 25 a , 25 b , and 25 c connected to the output of each.
  • Each of these inverters consists of a circuit like that of FIG. 4 , with a PMOS transistor P a 21 and an NMOS transistor N a 22 connected as shown.
  • a circuit simulation such as of the SPICE or timing simulation type, can be performed using the same model card for each of PMOS transistors 21 and the same model card for each of the NMOS transistors.
  • a model card representing the aged behavior of each of the elements needs to be used.
  • the device is stressed to obtain the aging model information from the electrical test data. From this, the aged model card for the device can be extracted. However, the same aged model card can no longer be used for all of the similar devices. To see why, consider FIG. 3 again.
  • the amount of degradation in the PMOS 21 and the NMOS 22 of inverter 20 a depends on the input signal supplied at node X, while the degradation in inverters 20 b and 20 c will instead depend on the input at nodes Y and Z, respectively.
  • the waveforms at these nodes will differ from each other as the input waveform will change in both shape and magnitude as it propagates through the circuit.
  • the relative amount of degradation in each of the inverters will differ and, consequently, a different aged model card will be needed for each of the transistors. For even the simple circuit of FIGS.
  • the present invention is directed to a number of improvements in methods for hot-carrier device degradation modeling and extraction.
  • several improvements are presented for the improvement of building device degradation models.
  • the user can select the device parameter used to build the device degradation model and the selected device parameter is used to extract device degradation model parameters that will be used for general device parameter degradation.
  • the user can also select the functional relation between stress time and degradation level. In some cases it may be unnecessary to extract voltage acceleration parameters. To further improve accuracy, improved values for one or more acceleration parameters can be extracted again.
  • the use of multiple acceleration parameters can be used to account for different regions of the degradation process.
  • Another aspect of the present invention provides analytical functions to represent degraded device model parameters. In a first embodiment this is done building degraded device models and using these to obtain the degraded device model parameters. In a second embodiment, the analytic representation of the model parameters is found by fitting measured device parameters versus device age values. In either case, this allows devices with different degradation values to share the same device model.
  • the concept of binning is extended to include device degradation.
  • degradation is added.
  • devices with minimum channel length have degraded models constructed.
  • An additional aspect of the present invention allows the degradation of one device parameter to be determined based on a degradation level value derived from another parameter. In this way, the degradation for many device parameters can be determined based on a single extraction of a time acceleration parameter.
  • a degraded device is modeled as a fresh device with a voltage source connected to a terminal. This can be combined with a current source connected between terminals of the fresh device to more accurately represent the degraded device.
  • FIG. 1 is a schematic drawing of a MOSFET transistor.
  • FIG. 2 shows the effects of aging due to the “hot-carrier” effect in the device of FIG. 1 .
  • FIG. 3 is a schematic of a simple circuit used to discuss the effects of aging on a circuit.
  • FIG. 4 is a detail of the circuit of FIG. 3 .
  • FIG. 5 is a flow chart of hot-carrier circuit simulation.
  • FIG. 6 shows multiple current sources to represent different degradation mechanisms for a MOSFET.
  • FIG. 7 is a block diagram of an interface for use in hot-carrier or other aging simulation.
  • FIG. 8 shows the entry of MOSFET in a netlist converted to a parameterized aged device model subcircuit call.
  • FIG. 9 is a flow chart for building a device degradation model.
  • FIG. 10 shows a typical relation between device degradation and device age.
  • FIG. 11 is a flow chart of a first embodiment for building degraded device model.
  • FIG. 12 shows exemplary fresh and degraded current vs. voltage curves.
  • FIG. 13 is a schematic representation of degraded model files.
  • FIG. 14 is a flow chart of a second embodiment for building degraded device model.
  • FIG. 15 is a flow chart of a first embodiment for building a degraded binning model.
  • FIG. 16 illustrates the concept of binning.
  • FIG. 17 is a flow chart of a second embodiment for building a degraded binning model.
  • FIG. 18 is a flow chart for the binning using the first embodiment for building a degraded binning model.
  • FIG. 19 is a flow chart for the binning methodology of the second embodiment for building a degraded binning model.
  • FIG. 20 is a flow chart for generating degradation on any device parameter.
  • FIG. 21 shows an embodiment for representing a degraded device as a fresh device combined with a voltage source connected to a terminal.
  • Step 101 provides the netlist describing the circuit that will have the simulation controlling commands and reliability parameters of the present invention. This will include the various connections of the circuit elements and model cards for these elements as well as the age models.
  • the age models may be those that are described below in the “Modeling and Extraction Methodologies” section.
  • multiple hot-carrier circuit simulations can be accomplished in one run with one circuit simulation by setting proper hot-carrier simulation commands. This will improve simulation efficiency.
  • each run of a hot-carrier circuit simulation would require a complete run of all of the steps in the flow. For example, to simulate the operation of the circuit and determine its degradation after, say, 5 years and 10 years, a complete simulation would be performed for each of these values.
  • step 107 typically consumes by far the most time. By combining the simulation for multiple values, all of these stress times can be simulated with just one run of step 101 - 107 .
  • steps 109 , 111 , and 113 will each be performed for each parameter, by only requiring one simulation for the early steps—and particularly step 107 —efficiency is greatly improved.
  • step 101 Another improvement of the present invention found in step 101 is that different device lifetime criteria can be applied for different circuit blocks, circuit block types, devices, device models, and device types in one or multiple hot-carrier circuit simulations.
  • different lifetime criteria include using parameters such as I ds at different bias conditions, device transconductance, threshold voltage, model parameters, and so on for determining the amount of degradation. These criteria are parameters measurable before and after a circuit element is stressed and that are computable to use as a gauge of device degradation.
  • the different criteria can be important in different areas of the circuit. For example, a circuit may contain both an analog block and a digital block of elements.
  • the important degradation characteristics of these blocks may differ, with, say, transconductance G m , V th , or some other voltage behavior being used in the analog elements while for a digital part it would be driving capability of I ds or the circuits delay or speed.
  • the different criteria can be important in determining individual device lifetime and setting a threshold value below which the device becomes unacceptable for circuit performance requirements, for example, when I ds or G m at some bias is degraded below a certain value.
  • circuit blocks are of the same type, such as both being adders or inverters, different criteria can be applied if the degradation of the blocks can best be quantified by different parameter values.
  • These criteria may be different device parameters, such as the gain G m or threshold values V th , or the same parameter but with different values, say a V th shift of 100 mV in one block and 200 mV in another.
  • differing criteria can be used for different devices, with say one criterion for PMOS and one for NMOS as these may have differing degradation mechanisms; for different models of the same device, such as an NMOS with different model cards due to, say, different sizes; or for different device types, as the important criteria for a MOSFET differ from those of a diode, which both differ from those of a bipolar junction transistor, and so on.
  • driving capability may be important for both NMOS and PMOS devices within a given circuit block
  • leakage current may only be important for a PMOS in another block
  • the device degradation screening means allows a user to assign different amounts of device age, degradation and/or lifetime for different circuit blocks, circuit block types, devices, device models, and device types in absolute or relative scales. For those devices which have pre-specified degradations, the computation of their degradations can be skipped. Relevant device characterizations (shown in step 105 ) can also be skipped. This will improve simulation efficiency and reduce memory usage. This step is optional.
  • the analog block does not limit circuit life.
  • the user could set this block as already having a specific absolute degradation value for better speed.
  • the user could assign a smaller relative scale: If an NMOS ages amount x, the corresponding PMOS would age ⁇ x, where ⁇ 1 is a proportionality parameter.
  • setting a relative PMOS value would cut the transistor degradation calculations in half as the value used for PMOS 21 would be obtained from that of NMOS 22 instead of requiring a independent calculation.
  • the device degradation characterization means of step 105 is an optional step that characterizes the device degradation building tables which can be used during hot-carrier circuit simulation for device age, degradation and lifetime computations.
  • the tables can be built during the hot-carrier circuit simulation or as part of a stand-alone process. In a stand-alone, a new table can be produced as part of a partial simulation ran for this purpose or be provided from another source. The tables can also be re-used for further simulations.
  • the ART (Age Rate Table) approach is one of the forms which builds a device age rate table under various bias conditions and which makes the device age calculation more efficient.
  • Some other forms, such as an I sub table, an I gate table, and I sub /I ds table for MOSFET transistors eliminate the need of I sub and/or I gate calculation which reduces the computation cost of MOSFET device degradation.
  • the change in device age over a time ⁇ t due to hot-electrons may be expressed in one model for an NMOS as
  • H and m are the model's device degradation parameters and W is the devices channel width, and for a PMOS as
  • H g and mg are degradation parameters to include the gate current of the PMOS and W g is a weighting parameter.
  • Tables can be in even or non-even steps.
  • the table can be provided before the simulation from an outside source, such as test data, since it is only for single device and does not need the netlist. Alternately, a simulation can be run just for producing such a table.
  • the table size can be chosen by the user as this is a trade off between memory size and speed/accuracy. However, once the table is produced it can be saved for future use and not be rebuilt every time it is required. Additionally, the table can be built incrementally, with entries added for better resolution. The use of tables can also improve the simulation accuracy. If the table size is large enough, it will be more accurate than calculating on the fly.
  • the device degradation characterization means can be embedded into the circuit simulation of step 107 . If a user has their own simulator for step 107 and source code or interface functions, step 105 can be combined into step 107 .
  • the advantage of keeping step 105 separate from step 107 is that the steps outside of 107 can be independent of simulator type.
  • Step 107 can be a standard prior art simulation and uses the fresh model.
  • the possible circuit simulations could, for example, be a SPICE simulator (such as HSPICE or Spectre) or a timing simulator (such as Starsim or Timemill).
  • SPICE simulator such as HSPICE or Spectre
  • timing simulator such as Starsim or Timemill.
  • Step 107 stands alone, the process is more flexible as it can be used with the various prior simulators and the other steps can be connected through the interface as described below.
  • step 107 Using a separate simulator for step 107 is less efficient as it requires moving large amounts of data back and forth across the interface. If the simulation can be merged with other steps, it saves on both the time and storage required for this transferal. Embedding into the circuit simulator some, or all, of the functions from the device degradation characterization means (step 105 ), the device degradation estimation means (step 109 ), the device degradation quantization means (step 111 ), and the circuit netlist generation for aging simulation (step 113 ) will make the hot-carrier circuit simulation much more efficient and capable. This would let the whole flow to be performed as a single run, processing the data along with simulator and not requiring storage of all the other data. This can increase the total speed and capabilities of the flow by orders of magnitude. This embedded process can also combine the parameterized aged device model as described below.
  • Step 109 is the device degradation estimation means to establish all of the ages for each transistor, or other aged device, specified in the netlist.
  • the device degradation analysis will be more efficient because the relevant storage and computations for the devices pre-specified in step 103 can be skipped.
  • Many devices suffer from multiple aging mechanisms that may have different relative importance depending upon bias conditions or other factors. For devices with multiple hot-carrier degradation taking effect, these devices will have multiple independent device age values each corresponding to one mechanism. These various age values will contribute to the total device degradation.
  • the device degradation estimation means can be embedded into the circuit simulator. This may be implemented in the model evaluation module, but in this case the process depends upon the particular models employed. In one aspect of the present invention, the device degradation estimation means is embedded into the circuit simulator outside of the model part and is consequently independent of the particular models used. This allows newer device models to be incorporated without requiring the device age calculation having to embedded individually for each model.
  • Some examples of different aging mechanisms are the electron trapping and generation of interface state at the oxide/silicon interface.
  • device degradation can be represented in the new circuit netlist by using the aged device models, by adding current sources, or both.
  • the elements and connections in the SPICE (or other simulation) netlist are not actually changed, but the aged model cards are used.
  • connections of the netlist are changed by introducing current sources between device nodes, with independent current sources accounting for different degradation mechanisms. Examples of models which can be used for these current sources for MOSFETs are the DeltaMOS, DeltaLogId, and DeltaId models described below in the “Modeling and Extraction Methodologies” section.
  • FIG. 6 Adding multiple current sources to represent different degradation mechanisms is shown in FIG. 6 for a MOSFET with multiple sources used simultaneously.
  • each mechanism will have a corresponding device age (as shown in step 109 ), and consequently, a current source I i 64 - i , 1 ⁇ i ⁇ n, will be added in parallel between the source S 62 and drain D 63 .
  • the aged device is represented in the new netlist by the fresh device between the nodes S′ 62 and D′ 63 ′ and the aging effects separated out in the currents running from between the original source connection S 62 and the node S′ 62 ′ to between the original drain connection D 63 and the node D′ 63 ′.
  • I i f i ( V ds , V gs , V bs ; P ),
  • a single mechanism may be represented by more than one current source.
  • the current sources added for the aged device may include separate sources for forward and reverse biased conditions.
  • the continuous value device degradations can be quantized to build the aged device models, to build current sources, or both, so that rather than doing the simulation for the continuous aging, it can be done in a series of quantized steps. For example, say a circuit has a million transistors that have the same model card when new. As each of these will generally have a different age ranging from zero to a maximum value, the aged device simulation would have a million aged cards in the continuous case. In the quantized case, the age range from zero to the maximum value is broken up into, say, ten sub-ranges with a single age value used for each. Then only the discrete subset of ten model cards is used.
  • the full interval need not be broken up into sub-ranges of uniform size if better resolution is more important in a particular range.
  • the criteria for model quantization can be device age or any device parameters (such as I ds at different bias conditions, device trans-conductance, threshold voltage, etc.) degradations.
  • the device degradation quantization means can be embedded into the circuit simulator. This step is optional.
  • step 113 the aging circuit simulation is run with the different elements at their respective different aged models.
  • Each of the simulations of step 113 will generally take significantly less time than the simulation already performed for the fresh device in step 107 . This is because in running step 107 , the simulation will record the waveforms at the required nodes. These nodes can be specified for particular devices in the screening stage. In step 113 , only waveforms at the nodes that are needed for comparison with the fresh waveforms need to be stored. Thus, when doing a simulation for multiple ages in a single run, the ability to share steps 101 - 107 results in large increase in efficiency even though steps 109 - 113 must be performed individually for each age.
  • the above flow is the so-called “one step aging” circuit reliability simulation, where device ages and degradation are estimated using the fresh waveforms generated in step 107 .
  • the waveforms at the devices comprising the circuit will change. Therefore, the accuracy of the circuit reliability simulation should be improved if the circuit waveforms are updated during the degradation circuit simulation. This process will be called “gradual aging”.
  • “Gradual aging” can be realized by adding a loop for steps 107 , 109 and 111 , as shown by the dotted line in FIG. 5 , to update the models of the circuit simulator.
  • T n Assuming the total circuit stress time is T n , e.g., 10 years, T n is divided into N time intervals, [T 0 , T 1 ], [T 1 , T 2 ], . . . , [T n-1 , T n ], where T 0 is zero.
  • the transient simulation time is T, which is usually much smaller than any above time intervals, for example 10 ⁇ s.
  • the circuit waveform from [0, T] is projected to the end of T n ,
  • Age ⁇ ( 10 ⁇ ⁇ yr ) 10 ⁇ ⁇ yr 1 ⁇ ⁇ ⁇ ⁇ ⁇ s ⁇ Age ⁇ ( 1 ⁇ ⁇ ⁇ ⁇ ⁇ s ) .
  • device models will be updated according to device age values.
  • Circuit simulation 107 will be launched using updated device models in each loop, with only the first time interval using the fresh device model.
  • Device age and degradation will then be estimated for each loop in step 109 .
  • Device degradation can optionally be quantized and a new circuit netlist for the next time interval will be prepared in step 111 .
  • degraded models for I sub , I gate , and intermediate values can be used for device degradation calculation.
  • age is now a function of I sub (t) and I ds (t):
  • Age ⁇ ( t ) ⁇ i ⁇ I ds ⁇ ( T i ) WH ⁇ ( I sub ⁇ ( T i ) I ds ⁇ ( T i ) ) m ⁇ ⁇ ⁇ ⁇ t i .
  • step 107 the loop back from step 113 uses a differing model at step 107 as I ds , I sub , and the other parameters will change with time.
  • FIG. 7 is a block diagram of an open data interface (ODI) for use in hot-carrier or other aging simulations.
  • the interface 203 is connected between the degradation simulator 201 and the user inputs 205 .
  • some of information the user may supply is shown, although not all of these will necessarily be provided in all cases, and in some instance will be integrated into the simulator as described above with respect to step 107 of FIG. 5 .
  • This interface 203 places the hot-carrier circuit simulator 201 into the designer's environment, allowing the user to control the calculation of age and other functions by supplying their own, say, age equations and other models into the simulator through 205 to calculate age and degradation equations. This allows the user to customize the simulation to their needs.
  • user defined data and models (such as device age 209 , device degradation 211 and auxiliary functions 207 ) that will be used for device age and degradation calculation and user defined circuit simulator can communicate with the hot-carrier circuit simulator through ODI ( 203 ).
  • the Circuit Simulator 213 can be of the SPICE or timing simulator type, or the user's adaptation or equivalent.
  • Device instance and model parameters are passed from the hot-carrier circuit simulator 201 through the ODI 203 to user defined data 205 . For example, if the channel width W of a MOSFET is needed for the age calculation or the bias conditions are needed for the auxiliary functions, the hot-carrier circuit simulator 201 can provide these values.
  • user defined data and the simulation results from the user defined circuit simulator are passed back to hot-carrier circuit simulator 201 through ODI 203 .
  • the user can also supply auxiliary functions to replace or supplement the equations found in the hot-carrier circuit simulator 201 .
  • I gate and I sub are sample auxiliary functions.
  • the simulator will provide default equations, but the user may provide auxiliary function that are lacking or for which the user has a proprietary model that they prefer over the default model for their application.
  • I sub many different model for the substrate current exist and the user may prefer a particular one in their application, such as described in co-pending U.S. patent application Ser. No. 09/661,328, filed on 14 Sep., 2000, entitled “MOSFET Modeling for IC Design Accurate for High Frequencies”, which is hereby incorporated herein by this reference.
  • the user can select which function they supply, just specifying a module for, say, I sub , and using default modules for others.
  • Input parameters, intermediate and final results can be shared among all the user defined data, such as passing I sub to I g , as I g ⁇ I sub .
  • This can also include bias conditions, so that a function, say, age can now be a function of parameters beyond I ds , age ⁇ age(I ds , V ds , V gs , V bs ), and so on.
  • Multiple user defined data of the same functionality (such as the device age) can be integrated with the other user defined data.
  • the user may have multiple equations of how, say, age model is calculated and may call any of these. Users have the flexibility to choose any one of them.
  • User defined data can also be combined with the internal data in the hot-carrier circuit simulator ( 201 ) to fulfill the device age/degradation calculation. Again, these can be data that come with device or add in some proprietary ones the user has added in. The user defined data can be incomplete. For any of the user definable data not defined, internal data will be used. User defined functions can access intermediate results of circuit simulation, such as the saturation voltage, V dsat , or threshold voltage, V th , and not just I ds .
  • a parameterized device model Another approach that can be used to study circuit degradation is the use of a parameterized device model. This method can be used to replace the above approach through use of a parameterized model card for each of the specified circuit elements, or can be used in a complementary fashion, with some of devices using the parameterized model as described below and the rest of the devices treated as above.
  • Each model parameter can be formulated as a function of several intermediate quantities that measure device degradation, such as device age or other device parameter (I ds , V th , G m , and so on). We will use device age as an example hereinafter.
  • device model card can be parameterized with the device age as a parameter. This implies that one model card can be used by devices of different device age values. Age value can be quantized to limit the number of aged device models if a circuit simulator needs to predetermine the models at the start of simulation.
  • One sample model card could be expressed as
  • vth0 is the model parameter related to the threshold value and the other model parameters are suppressed for simplicity.
  • the functional form of the parameters such as the shown linear relation for the threshold voltage, can either be experimentally determined, or have a functional form based on physical model with the coefficients experimentally determined. The building of age models is described more fully below in the “Modeling and Extraction Methodologies” section.
  • the circuit simulator can then accept device age as an instance parameter.
  • One example is listed as follows:
  • This age value will be used for calculating aged device model parameters.
  • the steps 101 - 109 in the flow of FIG. 5 can still be performed, but the preparation of the netlist in step 111 is different.
  • the age value can also be specified.
  • a macro or subcircuit can also be used to prepare aged device model parameters from a device age value.
  • An auxiliary program can convert each degradable device to a subcircuit call.
  • the advantage of this approach is that currently available circuit simulators widely support this netlist style even if they do not accept device age directly as an instance parameter.
  • FIG. 8 shows the entry of MOSFET ml in a netlist converted to a subcircuit call Xm1 with the device age value of age1.
  • the left and right show two differing results, on the left converting to a subcircuit to introduce the age and on the right to compute a parameter (here vth0 as above) from this value.
  • age1 will be used to prepare the aged device model for ml.
  • the use of subcircuits is described more fully in co-pending U.S. patent application Ser. No. 09/661,328, filed on 14 Sep., 2000, entitled “MOSFET Modeling for IC Design Accurate for High Frequencies”, that was incorporated by reference above.
  • device age calculation and aged device model parameters can be accomplished inside the model evaluation module for each device.
  • a model flag can be used to control whether the computation of the device age and the aged device model parameter should be carried out. For example, this can be implemented
  • the flag is set to 1
  • the aged device model parameter will be calculated based on the parameterized equation. This would occur in the circuit simulation 107 and present a more integrated approach to compute I ds and other output values. If the flag is set to 0, the calculation would not occur, as would be the case in simulating the fresh model or when the user prefers to use the full flow of FIG. 5 ,
  • This section concerns the individual devices that compose a circuit. This begins with a modeling procedure for device age or degradation of a MOSFET or other device in a SPICE or other simulator. This is then followed by methods for how the device operates when degraded. These procedures are then used to extend the concept of binning to include degradation. These methods allow a device degradation model developed from any one parameter to be applied to other parameters. Specific relations for a particular embodiment to categorize and incorporate hot carrier degradation are presented.
  • FIG. 9 is a flow chart for building a device degradation model, for example the device age model of a MOSFET, by calculating the “age” or “model age” of the device. More generally, this process can be based on any intermediate quantity indicative of the level of device degradation and not just “age”; but to simplify the terminology, the discussion below is mostly discussed in terms of the common case of age.
  • the devices will be stressed, device parameters will be measured at several time intervals. To be concrete, the discussion will use an exemplary embodiment of a MOSFET using the example of an age relation given above,
  • H, m and n depends on what is used for the parameter P, so that in general (H P1 , m P1 ) ⁇ (H P2 , m P2 ) and n P1 ⁇ n P2 , and the conditions under which they are measured. For example, digital designs may be concerned about driving capabilities under higher bias and look at ⁇ Ids at saturation, while analog engineers may worry more about the linear region and so use a lower Vds value to measure the device parameter degradation.
  • the user can choose any device parameter (such as Ids under any bias condition), to extract the time acceleration parameter n.
  • Various forms for the functional relation between time and degradation can also be selected, such as fitting the log(log(degradation)) vs. log(time) relation instead of log(degradation)) vs. log(time) can be used for the parameter extraction. This is described more below as the delta log Id model.
  • I ds in the saturation or linear region are often used as the parameter.
  • the value of n depends on parameter used.
  • the user can select the parameter, such as
  • n Vth the parameter used, for example n Vth .
  • this acceleration parameter will be associated with the parameter used, for example n Vth .
  • this process could be performed for multiple parameters, it has been found that the behavior of a device can be obtained using only the single selected parameter. This can either be chosen for convenience or due to its particular relevance. This allows the extraction to be performed only once and still use the value of n for many different focuses.
  • n ⁇ Vth ⁇ n ⁇ Ids if these were extracted from the parameters indicated by the subscript, the user can use an arbitrary n without loss of accuracy as long as n is from the correct device.
  • the voltage acceleration parameters H and m for the MOSFET device degradation or age model will be extracted by considering multiple device degradation mechanisms at different bias conditions which will improve the accuracy of device degradation calculation.
  • parameter H can be removed as its function will be merged with some other terms in the models.
  • the parameter m can keep a constant value such as 3.0.
  • is a lifetime for the device
  • the values of H and m can be extracted from the relations above between ⁇ Age and ⁇ t as m will be the slope and H the intercept for a plot of log( ⁇ I ds ) versus log(I sub /I ds ).
  • the value of n will be from the previous step and the process is done for several different bias conditions. As the n values depend on parameter chosen and bias conditions, so then will m and H.
  • the parameter H need not be extracted, but taken as a constant and absorbed into other parameters such as the parameters d1 and d2 in equation (3) below.
  • the parameter m is related to the energy required for a hot carrier to jump into the oxide of the MOSFET. Even if H is not extracted, m can still be extracted and used. Alternately, a value for m can be derived from physical principles.
  • FIG. 10 A typical relation between log( ⁇ D) and Age is shown in FIG. 10 .
  • This figure shows that the curve 1000 starts off with a slope that gradual rolls off into a “degradation saturation” region where it flats out to a more or less constant slope.
  • the single acceleration parameter as in the prior art, call it no, to present device degradation would use the slope of line 1001 to extract its value.
  • the line 1000 is better approximated by using different values of n for linear approximations of different portions. As shown in FIG.
  • a good approximation can generally be obtained by a first acceleration parameter n 1 in the initial region that corresponds to the slope of the line 1011 and a second acceleration parameter n 2 for the saturation region that corresponds to the slope of the line 1012 .
  • the two regions can be combined in a smooth function that combines the two regions.
  • a smooth function that combines the two regions.
  • One example is shown below in equations (3) and (11), which use the form [( ⁇ 1 Age n1 ) ⁇ S +( ⁇ 2 Age n2 ) ⁇ S ] ⁇ 1/S , where the parameters ⁇ 1 , ⁇ 2 are d 1 , d 2 in those equations and the smoothing factor S is a positive constant.
  • the value of n is that extracted in step 903 and is used to obtain an Age value in a first approximation, which can then be used to obtain the further values of n such as n 1 and n 2 .
  • step 907 the parameters n 1 and n 2 will be extracted again to consider the degradation saturation effect and which will improve the accuracy.
  • This step is optional since if line 1000 of FIG. 1 is considered linear enough, the initial n value could be used. Alternately, this process could of course be extended to beyond the two segment linear approximation of curve 1000 by further iterations of step 907 .
  • the model for the degraded device (such as a SPICE type model) can be obtained. Two embodiments are presented in FIGS. 11 and 14 .
  • FIG. 11 is a flow chart of the first embodiment for building degraded device model.
  • the devices will be stressed, and device characteristics and parameters will be measured at several time intervals. This can be performed at the same time as step 901 above, but makes additional measurements.
  • step 901 points were obtained for parameters at the time values; here, curves such as the I-V characteristics at the time values are also measured as these are used to extract the SPICE or other model.
  • Step 1103 builds the device degradation model from the measured device parameters. This is the flow of FIG. 9 above.
  • step 1105 fresh and degraded device models are built from the measured device characteristics and the device degradation level is calculated for each device model.
  • the fresh and degraded models can be built separately.
  • the model cards are extracted from the respective fresh and degraded curves, such as those shown in FIG. 12 .
  • FIG. 12 shows I ds -V ds curves for different values of the gate voltage V gs , where the solid curves represent the fresh device and the broken curves the degraded device.
  • the models for the fresh and degraded devices can be constructed separately.
  • the device age or degradation is calculated for each model, and is a function both of degradation level and bias conditions, such as shown in equations (1) and (11).
  • analytical functions can be used to build the degraded device model parameters as a function of device age by fitting the aged device model parameter vs. device age curves. Model parameter at any age value can then be calculated from the function.
  • the device model card can be parameterized, with device age as a parameter in circuit netlist. This allows devices with different age values can share a same device model.
  • model parameters p i with values V i that change with age.
  • a table of p i at the Age values can be built. This situation is shown in FIG. 13 , where a set of model cards are shown for Age values 0, 1, . . . , n.
  • Each of the parameters p i has corresponding values V i 0, V i 1, . . . , V i n.
  • the process is generally done only for a relatively small number of sensitive parameters. These can either be pre-selected in the software or user selected.
  • FIG. 14 is a flow chart of a second embodiment for building degraded device model.
  • devices will be stressed, device characteristics and parameters will be measured at several time intervals.
  • step 1403 build device age model from measured device parameters. These are the same as in steps 1101 and 1103
  • the second embodiment differs in the next pair of steps.
  • step 1405 fresh device models are again built from measured device characteristics and device ages are again calculated for the stressed devices. Unlike step 1105 , it is only the fresh models, and not the degraded models, that are constructed.
  • analytical functions can be used to build the aged device model parameters as a function of device age by fitting the measured device parameters vs. device age values.
  • Device model parameter at any age value can be calculated from the function.
  • device model card can be parameterized, with device age as a parameter in circuit netlist. This allows devices with different age values can share a same device model.
  • Step 1407 is similar to step 1107 , but differs in several ways.
  • FIG. 15 is a flow chart of a first embodiment for building a degraded binning model, with a second embodiment given in FIG. 17 .
  • the concept of binning is explained with FIG. 16 .
  • a particular device may occur in a circuit a number of different times with different dimensions. For example, there may be many occurrences of an NMOS transistor having different dimensions. Rather than provide model cards for every device, they can be grouped together in “bins”. This is shown in FIG. 16 where the range of width and length values for MOSFETs in a circuit are divided up into smaller regions. All of the devices within a region are then given the same model card. For example, all of the devices in region 1601 , with lengths between A and B and widths between A and C, are binned together.
  • the grid defining the regions need not have the same number of divisions in the different directions and the size of the divisions need not be uniform, but can be chosen for convenience or to provide higher accuracy in a particular range of values. For example, if the device was relatively immune to length variations, the grid could have fewer divisions in this direction; if the device is more sensitive to changes in length at shorter length, the grid could have finer resolution at short lengths.
  • the procedure is to go to the four corners, in this case A, B, C, and D, and construct the model cards for these dimensions.
  • the card for the sector bound by these corners is then formed from a composite of these.
  • the card for sector 1603 is constructed from devices at the vertices A, B, X, and Y.
  • binning techniques are extended to include degradation. This essentially adds a third dimension of device age or degradation to the usual binning based on width and length found in the prior art for the fresh model. Thus for all of the corners of a sector, the devices with those dimensions could be stressed and the corresponding degraded models build, from which the degraded model for the sector constructed.
  • degradation and in particular aging due to hot carrier effects, is most pronounced for short channel devices, it is found in most cases that incorporating aging effects only for devices with minimum channel length is sufficiently accurate.
  • the exemplary embodiment will follow this approach, although it readily extends to incorporating degradation effects larger channel lengths if the resultant accuracy is worth the increased computation.
  • Step 1501 only devices with minimum channel length, but not necessary all of them, will be stressed, Devices with other channel lengths are assumed to have insignificant hot-carrier degradations. This is the procedure from steps 901 and 1101 or 1401 .
  • Step 1503 builds the device degradation models from the stressed devices with minimum L, as is done in the flow of FIG. 9 .
  • step 1507 for any stressed devices, it has an analytic function for each aged device model parameters as a function of age value.
  • Unstressed devices L min will be skipped in building the binning model, as described below in the second embodiment discussed with respect to FIG. 17 .
  • their aged device models are all the same as their corresponding fresh ones.
  • the binned model parameters will be an analytic function of device age.
  • FIG. 17 is a flow chart of a second embodiment for building a degraded binning model.
  • step 1701 only devices with minimum channel length, but not necessary all of them, will be stressed. Devices with other channel lengths are assumed to have insignificant hot-carrier degradations. Their aged device models are all the same as their corresponding fresh ones.
  • step 1703 device age model is built from the stressed devices with minimum L. These are the same as steps 1501 and 1503 above.
  • Step 1705 builds degraded device models and calculates degradation level values for aged device models, as in step 1405 .
  • Step 1707 obtains a set of device age values from all the aged device models of this device. For example, picking one of the L min corners, the process builds, say, 10 device models for A to get Age 0 , Age 1 , . . . , Age 10 for times t 0 , t 1 , . . . , t 10 , where Age 0 at t 0 corresponds to the fresh device.
  • a degraded device model of a specific degradation value will be generated by interpolation or extrapolation from the measured values.
  • a degraded device model of a specific degradation value will be generated by interpolation or extrapolation from the measured values.
  • the 10 (in this example) new degraded model cards at these times for C will be build from the three stressed model at t C1 , t C2 , t C3 .
  • This allows a set of ten degraded model cards for the bin region, here 1601 , to be constructed.
  • degraded device models can be generated by adjusting parameters in the fresh models to get the same device parameter degradations as the stressed devices of the same age value. This allows for a missing L min , corner to be built. Alternately, these devices can be skipped in building the binning model, so that if, say, the device at A is skipped, the regions 1601 and 1603 could be combined into a single bin using X, Y, C, and D.
  • the age value used in the binning will be the age value for the binned model.
  • FIGS. 18 and 19 are flow charts for the binning methodology of degraded device models based on FIGS. 15 and 17 , respectively. These embodiments treat time in discreet values and describe the use of binning in these two cases.
  • step 1801 starts with the degraded binning model of the flow in FIG. 15 .
  • the device age value is supplied at 1803 , and for this value the effective model parameters are calculated using the model from step 1801 .
  • the binning model of FIG. 17 and a device age value are supplied at steps 1901 and 1903 , respectively.
  • effective model parameters are calculated in 1905 using the model of FIG. 17 .
  • the model parameters for the supplied age are then interpolated or extrapolated at 1907 .
  • the present invention allows a degradation value derived from one parameter to be used in obtaining the degradation of another device parameter.
  • the Age value in equation (1) below need not be that obtained through measurements on the drain current and the Age value in equation (11) below need not be that obtained through measurements on the threshold voltage.
  • FIG. 20 is a flow chart for generating degradation on any device parameter.
  • step 2001 a degradation level value and degraded device model are obtained using any selected device parameter, such as I ds , V th , G m , and so on. From this, the degraded device characteristics are generated using the Age value and generating the device characteristics, such as the I-V curves, in step 2003 . In step 2005 , the degradation of any device parameter can be extracted.
  • the method allows use of, say, ⁇ V th or ⁇ G m to calculate an acceleration parameter n characterized accordingly.
  • n differs for different parameters, it will generally be the case that n V th ⁇ n I ds ⁇ n G m ⁇ . . . , and the practice in the prior art is to use n V th to generate ⁇ V th , n Ids to generate ⁇ I ds , nG m to generate ⁇ G m , and so on. Consequently, if a user wanted both, say, ⁇ V th and ⁇ G m , two separate calculations would be run.
  • n Vth can be used not just for ⁇ V th , but also ⁇ G m , ⁇ I ds , etc. This is done by extracting device parameters from the device behavior, such as the I-V curves, instead of just using the equations of each of the parameters.
  • a degraded device can be represented either in a SPICE or other simulator, or through representing the degraded device by using a fresh model with elements to incorporate the aging external to the fresh device, such as in FIG. 6 .
  • the fresh device is not aged, although the two approaches can be combined.
  • This is a unified compact scalable DeltaMos model for accurate hot-carrier reliability circuit simulation based on the equations below. This section also expands and enhances this procedure, for example adding external voltage sources as well as current sources.
  • Equation (1) Strong dependencies on oxide electric field E ox and body bias Vbs should be incorporated into the model for accuracy as shown in Equation (1):
  • ⁇ ⁇ ⁇ I ds I ds ⁇ ⁇ 0 ⁇ ⁇ ⁇ I ds , l I ds , l ⁇ ⁇ 0 ⁇ ( V gs , V bs , Age ) * F ⁇ ( V gs , V ds ) , ( 1 )
  • I ds,1 is the drain-source current in the linear region. As described above, it also improves the smooth transition from subthreshold region to linear region and to saturation region.
  • One form of the implementation can refer to Equation. (2-6).
  • the E ox effect is reflected in the V gsteff /T ox term.
  • This form shows the use of multiple acceleration parameters described with respect to step 907 of FIG. 9 .
  • the asymptote functions can be introduced to better model the coefficients d 1eff and d 2eff , with one form of the implementation in Equations (4), (5), (7), and (8).
  • n 1 n 10 ⁇ 1 - n 11 * exp ⁇ ( - n 1 ⁇ d * V ds ) 1 + n 1 ⁇ g * V gsteff ( 9 )
  • n 2 n 20 ⁇ 1 - n 21 * exp ⁇ ( - n 2 ⁇ d * V ds ) 1 + n 2 ⁇ g * V gsteff ( 10 )
  • Threshold voltage degradation can be included.
  • One form of the implementation is shown in Equations (11-18), which are similar to the I d degradation equations as shown in Equations (3-10).
  • ⁇ V th V th ⁇ V th0 where V th is the degraded threshold voltage and V th0 is the fresh threshold voltage.
  • V tm is the thermal voltage (Kt/q) and the following are all model parameters:
  • the I ds degradation can be incorporated into aging circuit simulation by adding a current source in parallel to the MOSFET channel for each Ids degradation component of the device as described with respect to FIG. 6 above.
  • the V th equations can be similarly incorporated.
  • a fresh model card was used with a current source with magnitude ⁇ I ds connected between the source and drain terminals.
  • the model card can also be changed to include V th degradation by incorporating, either instead or additionally, a voltage source with magnitude ⁇ V connected to a terminal of the fresh device.
  • FIG. 21 shows an exemplary embodiment. Also, it should be noted that if V th changes, so will other parameters, such as intrinsic capacitor parameters and so on.
  • FIG. 21 shows a MOSFET 2000 which has a voltage source ⁇ V th 2004 added between the gate and the gate terminal G 2001 .
  • the aged device is represented in the netlist by the fresh device (between the nodes G′ 2001 ′, S′ 2002 ′ and D′ 2003 ′) and the aging effects separated out in the voltage source 2004 between the original gate connection G 2001 and the node G′ 2001 ′.
  • the original source and drain are unchanged in this example, so that S, D and the same as S′, D′.
  • the V th degradation can also be considered into aging circuit simulation by adding a voltage source at one device terminal or by modifying the threshold voltage parameters in the model cards. This will help to improve the model accuracy as it also considers other device degradations such as the intrinsic capacitance degradation, in addition to the Id degradation.
  • One or more such voltage sources can be combined with the current sources of FIG. 6 .
  • This V th can be separated out by placing a voltage source as in FIG. 21 in combination with the current source as in FIG. 6 which is no longer dependent on the threshold voltage, I i ⁇ f i (V th ).
  • ⁇ I ds I ds ⁇ I ds0 , where I ds0 and I ds are fresh and degraded drain current, respectively. All the model equations are the same as that of DeltaMos model, except all the I ds /I ds0 terms are changed to Log(I ds /I ds0 ). Of course, all the model parameters are different. This approach is called the DeltaLogId model.
  • the present invention is presented largely in terms of procedures, steps, logic blocks, processing, and other symbolic representations that resemble data processing devices. These process descriptions and representations are the means used by those experienced or skilled in the art to most effectively convey the substance of their work to others skilled in the art.
  • the method along with the system to be described in detail below is a self-consistent sequence of processes or steps leading to a desired result. These steps or processes are those requiring physical manipulations of physical quantities. Usually, though not necessarily, these quantities may take the form of electrical signals capable of being stored, transferred, combined, compared, displayed and otherwise manipulated in a computer system or electronic computing devices.
  • the invention or components thereof may be embodied in a fixed media program component containing logic instructions and/or data that when loaded into an appropriately configured computing device cause that device to perform according to the invention.
  • a fixed media program may be delivered to a user on a fixed media for loading in a users computer or a fixed media program can reside on a remote server that a user accesses through a communication medium in order to download a program component. Examples of such fixed media include a disk-type optical or magnetic media, magnetic tape, solid state memory, etc.
  • the invention may be embodied in whole or in part as software recorded on this fixed media.
  • the invention also may be embodied in whole or in part within the circuitry of an application specific integrated circuit (ASIC) or a programmable logic device (PLD).
  • ASIC application specific integrated circuit
  • PLD programmable logic device
  • the invention may be embodied in a computer understandable descriptor language which may be used to create an ASIC or PLD that operates as herein described.

Abstract

The present invention is directed to a number of improvements in methods for hot-carrier device degradation modeling and extraction. Several improvements are presented for the improvement of building device degradation models, including allowing the user to select a device parameter used to build the device degradation model independent of the device parameter selected. The user can also select the functional relation between stress time and degradation level. To further improve accuracy, multiple acceleration parameters can be used to account for different regions of the degradation process. Analytical functions may be used to represent aged device model parameters, either directly or by fitting measured device parameters versus device age values, allowing devices with different age values to share the same device model. The concept of binning is extended to include device degradation. In addition to a binning based on device width and length, age is added. In an exemplary embodiment, only devices with minimum channel length have degraded models constructed. The present invention also allows the degradation of one device parameter to be determined based on an age value derived from another parameter. In yet another aspect, a degraded device is modeled as a fresh device with a voltage source connected to a terminal.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a Continuation in Part of U.S. patent application Ser. No. 09/832,933, filed on Apr. 11, 2001, is related to a U.S. application entitled “Hot-Carrier Reliability Design Rule Checker”, filed concurrently with the present application, and claims priority from provisional U.S. patent applications: Ser. No. 60/236,865, entitled “Hot-Carrier Circuit Reliability Simulation”, filed 29 Sep., 2000; 60/236,587, entitled “Hot-Carrier Device Degradation Modeling and Extraction Methodologies”, filed 29 Sep., 2000; and 60/236,586, entitled “Hot-Carrier Reliability Design Rule Checker”, filed 29 Sep., 2000.
  • The above referenced applications are incorporated herein by reference for all purposes. The prior applications, in some parts, may indicate earlier efforts at describing the invention or describing specific embodiments and examples. The present invention is, therefore, best understood as described herein.
  • FIELD OF THE INVENTION
  • This invention relates generally to a design aiding apparatus and methods for the design of integrated circuits, and, more specifically, to methods for simulating the time degradation of a circuit and its components.
  • A portion of the disclosure of this patent document may contain materials that are subject to copyright protection. The copyright owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure, as it appears in the Patent and Trademark Office patent files or records, but otherwise reserves all copyright rights whatsoever.
  • BACKGROUND OF THE INVENTION
  • Complementary metal oxide semiconductor (CMOS) circuits may contain thousands or millions of transistors and other circuit elements. The design of such circuits is extremely complicated and usually employs simulators, such as SPICE, to predict circuit operation. In addition to predicting the operation of the circuit when it is new, it is frequently important to simulate the operation of the circuit as it ages from processes such as hot carrier effects.
  • FIG. 1 is a schematic of a field effect transistor, the example here being a P-channel MOSFET formed on a silicon substrate, although much of the following discussion applies NMOS transistors and other insulated gate devices. This device may also have a lightly doped drain (LDD) region that is not shown in FIG. 1. Some of the particulars for the NMOS case will be discussed later. The transistor 10 has a bulk N-type region 5, source region 11 and drain region 12 defined at and below the substrate surface, and a lightly doped N-type channel region 15 having a manufactured length LM in the region between the source 11 and the drain 12. Over the channel region is an oxide or other insulating layer 14 that supports the gate 13. (Here the gate is shown doped as N+, although in a PMOS this may alternately be P+.) When the transistor is turned on, current flows through the channel region below the oxide/silicon interface.
  • With operation over time, the PMOS transistor 10 will suffer degradation due to device aging. An important mechanism in the aging of insulated gate devices is the “hot carrier” effect. When the transistor is turned on, a current Ids of energized charge carriers flows through the channel 15 from the source 11 to the drain 12. Impact of these charge carriers near the channel/drain juncture generates electron-hole pairs. Some of the resultant electrons have sufficient energy to pass through the insulating layer 14 by tunneling or other leakage mechanisms and are then collected at the gate 135 resulting in a gate current IG. The rest of the electrons result in a substrate current Isub contribution, with generated holes adding to the drain current Ids. Some of the impact induced electrons become trapped inside of the insulating layer 14 or become trapped at the interface of the channel 15 and the insulating layer 14. This attracts holes to the channel side of the channel/insulator interface, which cause the effective boundary of the P+ drain region 12 to shift closer to the source region. This is shown in FIG. 2, where drain is extended by the region 12′, shortening the effective channel length to L′
    Figure US20090299716A1-20091203-P00001
    LM. Additionally, the oxide/silicon interface above channel region is damaged by the more energetic holes and electrons.
  • These effects degrade the transistor's operation in several ways. As these charges accumulate, the voltage needed at the gate to turn on the transistor, the device's threshold voltage Vth, changes, resulting in positive shift, ΔVth>0. This makes the PMOS threshold voltage less negative and reduces the Vth value at which the onset of leakage current is seen. When a sufficient number of trapped electrons are distributed over the manufactured length LM of the channel 15, a relatively large leakage current will flow through the transistor even when the gate voltage VG is set to an “off” level.
  • The damage to the interface results in a lower mobility, μ, as the carriers flow form source 11 to drain 12. The lower mobility results in more resistance and lowers Ids, where as the electrons trapped in the dielectric tend to raise the PMOS's Ids curve. The strength of these effects depend differently on bias conditions, but traditionally the change due to lower mobility has been smaller; however, as device sizes have decreased, this interface damage becomes more significant and, depending upon bias conditions, often becomes the larger effect below a quarter micron or so.
  • These problems are aggravated as device sizes decrease into the submicron region. One reason is that an amount of incursion of the region 12′ that produces a relatively small relative change for a channel length of, say, LM≈1.5 μm becomes a much larger relative change at LM≈0.25 μm. For example, if 12′ extends an absolute distance of 0.05 μm, this produces a change of

  • (L′−L M)/L M=−20%
  • for the shorter channel length, but of only −3% at the longer length. Another reason is that as the electric field in the channel is given by

  • E=V ds /L,
  • the resultant field strength, and consequently the number of electron/hole pairs produced, increases greatly in a submicron device even at low power operation. For example, in a LM≈0.25 μm MOSFET operating at 2.5 volts results in fields of ≈107 V/m. As L′ departs further and further from LM, the field strengths increase resulting in an even greater electron/hole pair production rate.
  • In the case of an NMOS transistor, the results of hot-carriers differ in several respects. Electrons will again be trapped in the dielectric and as the number of electrons in the oxide increases, a positive shift in voltage will again be needed at the gate to turn on the transistor results, ΔVth>0. As Vth is positive now, the threshold voltage becomes larger in magnitude and the Ids curve is lowered as the gate voltage must additionally overcome the negative charge embedded in the dielectric to cause the channel inversion.
  • The damage to the interface again lowers the mobility, μ, as the electrons flow form source 11 to drain 12, resulting in more resistance and lowering Ids. Thus in this case, both effects move the Ids curve in the same direction. Also as with the PMOS, the strength of these effects depends differently on bias conditions, with the change due to lower mobility traditionally smaller but becoming increasingly important as device size shrink. Unlike the PMOS, though, the effective channel length does not decrease.
  • These hot electron effects build up over time causing the device to degrade as it ages. To determine the aging of a circuit as a whole, the degradation of the various devices within the circuit must be considered. As an example, consider the simple circuit shown in the schematic of FIG. 3, consisting of three inverters manufactured to be identical.
  • FIG. 3 shows three inverters, 20 a, 20 b, and 20 c, connected in parallel with a capacitive load C 25 a, 25 b, and 25 c connected to the output of each. Each of these inverters consists of a circuit like that of FIG. 4, with a PMOS transistor P a 21 and an NMOS transistor N a 22 connected as shown. To simulate the fresh circuit, a circuit simulation, such as of the SPICE or timing simulation type, can be performed using the same model card for each of PMOS transistors 21 and the same model card for each of the NMOS transistors.
  • To simulate the aged circuit, a model card representing the aged behavior of each of the elements needs to be used. To determine how the aged device will operate, the device is stressed to obtain the aging model information from the electrical test data. From this, the aged model card for the device can be extracted. However, the same aged model card can no longer be used for all of the similar devices. To see why, consider FIG. 3 again.
  • The amount of degradation in the PMOS 21 and the NMOS 22 of inverter 20 a depends on the input signal supplied at node X, while the degradation in inverters 20 b and 20 c will instead depend on the input at nodes Y and Z, respectively. The waveforms at these nodes will differ from each other as the input waveform will change in both shape and magnitude as it propagates through the circuit. Thus, if the operation of a circuit after, say, 5 years, of use is simulated, the relative amount of degradation in each of the inverters will differ and, consequently, a different aged model card will be needed for each of the transistors. For even the simple circuit of FIGS. 3 and 4, this results in a three-fold increase in the required number of model cards for the aged circuit simulation compared with the fresh circuit. As a real circuit will often contain thousands or even millions of elements, the simulation of the aging of such circuits is a extremely complicated process for which a large number of improvements are desirable.
  • SUMMARY OF THE INVENTION
  • The present invention is directed to a number of improvements in methods for hot-carrier device degradation modeling and extraction. According to a first aspect, several improvements are presented for the improvement of building device degradation models. The user can select the device parameter used to build the device degradation model and the selected device parameter is used to extract device degradation model parameters that will be used for general device parameter degradation. The user can also select the functional relation between stress time and degradation level. In some cases it may be unnecessary to extract voltage acceleration parameters. To further improve accuracy, improved values for one or more acceleration parameters can be extracted again. The use of multiple acceleration parameters can be used to account for different regions of the degradation process.
  • Another aspect of the present invention provides analytical functions to represent degraded device model parameters. In a first embodiment this is done building degraded device models and using these to obtain the degraded device model parameters. In a second embodiment, the analytic representation of the model parameters is found by fitting measured device parameters versus device age values. In either case, this allows devices with different degradation values to share the same device model.
  • In a further aspect of the present invention, the concept of binning is extended to include device degradation. In addition to a binning based on device width and length, degradation is added. In an exemplary embodiment only devices with minimum channel length have degraded models constructed.
  • An additional aspect of the present invention allows the degradation of one device parameter to be determined based on a degradation level value derived from another parameter. In this way, the degradation for many device parameters can be determined based on a single extraction of a time acceleration parameter.
  • In yet another aspect, a degraded device is modeled as a fresh device with a voltage source connected to a terminal. This can be combined with a current source connected between terminals of the fresh device to more accurately represent the degraded device.
  • Additional aspects, features and advantages of the present invention are included in the following description of specific representative embodiments, which description should be taken in conjunction with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic drawing of a MOSFET transistor.
  • FIG. 2 shows the effects of aging due to the “hot-carrier” effect in the device of FIG. 1.
  • FIG. 3 is a schematic of a simple circuit used to discuss the effects of aging on a circuit.
  • FIG. 4 is a detail of the circuit of FIG. 3.
  • FIG. 5 is a flow chart of hot-carrier circuit simulation.
  • FIG. 6 shows multiple current sources to represent different degradation mechanisms for a MOSFET.
  • FIG. 7 is a block diagram of an interface for use in hot-carrier or other aging simulation.
  • FIG. 8 shows the entry of MOSFET in a netlist converted to a parameterized aged device model subcircuit call.
  • FIG. 9 is a flow chart for building a device degradation model.
  • FIG. 10 shows a typical relation between device degradation and device age.
  • FIG. 11 is a flow chart of a first embodiment for building degraded device model.
  • FIG. 12 shows exemplary fresh and degraded current vs. voltage curves.
  • FIG. 13 is a schematic representation of degraded model files.
  • FIG. 14 is a flow chart of a second embodiment for building degraded device model.
  • FIG. 15 is a flow chart of a first embodiment for building a degraded binning model.
  • FIG. 16 illustrates the concept of binning.
  • FIG. 17 is a flow chart of a second embodiment for building a degraded binning model.
  • FIG. 18 is a flow chart for the binning using the first embodiment for building a degraded binning model.
  • FIG. 19 is a flow chart for the binning methodology of the second embodiment for building a degraded binning model.
  • FIG. 20 is a flow chart for generating degradation on any device parameter.
  • FIG. 21 shows an embodiment for representing a degraded device as a fresh device combined with a voltage source connected to a terminal.
  • DESCRIPTION OF REPRESENTATIVE EMBODIMENTS
  • The different aspects of the present invention will be presented in the various sections below. Although the discussion is mainly in terms of device age due to hot carriers, this process can be based on any intermediate quantity indicative of the level of device degradation and not just “age”; but to simplify the terminology, the discussion below is mostly presented in terms of the common case of age. In addition, the techniques generally apply to circuit degradation due to the degradation of its constituent devices from mechanisms other than hot carrier effects.
  • Hot-Carrier Circuit Simulation
  • The various aspects of the hot-carrier circuit simulation portion of the present invention will be described with respect to the flow chart of FIG. 5. As described in the following, this flow differs from the prior art methods, such as presented in U.S. Pat. No. 6,278,964, entitled “Hot Carrier Effect Simulation for Integrated Circuits”, which is hereby incorporated by reference, in some of the steps included as well as in the details of some of the steps that are found in the prior art.
  • Step 101 provides the netlist describing the circuit that will have the simulation controlling commands and reliability parameters of the present invention. This will include the various connections of the circuit elements and model cards for these elements as well as the age models. The age models may be those that are described below in the “Modeling and Extraction Methodologies” section. In one improvement over the prior art, multiple hot-carrier circuit simulations can be accomplished in one run with one circuit simulation by setting proper hot-carrier simulation commands. This will improve simulation efficiency.
  • In the prior art flow, each run of a hot-carrier circuit simulation would require a complete run of all of the steps in the flow. For example, to simulate the operation of the circuit and determine its degradation after, say, 5 years and 10 years, a complete simulation would be performed for each of these values. Referring to FIG. 5, step 107 typically consumes by far the most time. By combining the simulation for multiple values, all of these stress times can be simulated with just one run of step 101-107. Although steps 109, 111, and 113 will each be performed for each parameter, by only requiring one simulation for the early steps—and particularly step 107—efficiency is greatly improved.
  • Another improvement of the present invention found in step 101 is that different device lifetime criteria can be applied for different circuit blocks, circuit block types, devices, device models, and device types in one or multiple hot-carrier circuit simulations. Examples of different lifetime criteria include using parameters such as Ids at different bias conditions, device transconductance, threshold voltage, model parameters, and so on for determining the amount of degradation. These criteria are parameters measurable before and after a circuit element is stressed and that are computable to use as a gauge of device degradation. The different criteria can be important in different areas of the circuit. For example, a circuit may contain both an analog block and a digital block of elements. The important degradation characteristics of these blocks may differ, with, say, transconductance Gm, Vth, or some other voltage behavior being used in the analog elements while for a digital part it would be driving capability of Ids or the circuits delay or speed. The different criteria can be important in determining individual device lifetime and setting a threshold value below which the device becomes unacceptable for circuit performance requirements, for example, when Ids or Gm at some bias is degraded below a certain value.
  • Even when circuit blocks are of the same type, such as both being adders or inverters, different criteria can be applied if the degradation of the blocks can best be quantified by different parameter values. These criteria may be different device parameters, such as the gain Gm or threshold values Vth, or the same parameter but with different values, say a Vth shift of 100 mV in one block and 200 mV in another.
  • Similarly, differing criteria can be used for different devices, with say one criterion for PMOS and one for NMOS as these may have differing degradation mechanisms; for different models of the same device, such as an NMOS with different model cards due to, say, different sizes; or for different device types, as the important criteria for a MOSFET differ from those of a diode, which both differ from those of a bipolar junction transistor, and so on. For example, while driving capability may be important for both NMOS and PMOS devices within a given circuit block, leakage current may only be important for a PMOS in another block; or while driving capability may be the important quantity in MOSFETs, in a bipolar junction transistor the important performance criterion may be, say, emitter-base leakage current or current gain, β=Ic/Id.
  • At step 103, the device degradation screening means allows a user to assign different amounts of device age, degradation and/or lifetime for different circuit blocks, circuit block types, devices, device models, and device types in absolute or relative scales. For those devices which have pre-specified degradations, the computation of their degradations can be skipped. Relevant device characterizations (shown in step 105) can also be skipped. This will improve simulation efficiency and reduce memory usage. This step is optional.
  • For example, in a circuit with an analog block and a digital block, it may be found that the analog block does not limit circuit life. The user could set this block as already having a specific absolute degradation value for better speed. Alternately, as a PMOS device usually suffers less degradation than an NMOS, the user could assign a smaller relative scale: If an NMOS ages amount x, the corresponding PMOS would age α·x, where α<1 is a proportionality parameter. In the circuit of FIGS. 3 and 4, setting a relative PMOS value would cut the transistor degradation calculations in half as the value used for PMOS 21 would be obtained from that of NMOS 22 instead of requiring a independent calculation.
  • The device degradation characterization means of step 105 is an optional step that characterizes the device degradation building tables which can be used during hot-carrier circuit simulation for device age, degradation and lifetime computations. The tables can be built during the hot-carrier circuit simulation or as part of a stand-alone process. In a stand-alone, a new table can be produced as part of a partial simulation ran for this purpose or be provided from another source. The tables can also be re-used for further simulations.
  • Different forms of table can be built. The ART (Age Rate Table) approach is one of the forms which builds a device age rate table under various bias conditions and which makes the device age calculation more efficient. Some other forms, such as an Isub table, an Igate table, and Isub/Ids table for MOSFET transistors eliminate the need of Isub and/or Igate calculation which reduces the computation cost of MOSFET device degradation.
  • For example, the change in device age over a time δt due to hot-electrons may be expressed in one model for an NMOS as
  • δ Age ( t ) = I ds WH ( I sub I ds ) m δ t ,
  • where H and m are the model's device degradation parameters and W is the devices channel width, and for a PMOS as
  • δ Age ( t ) = [ W g × 1 H g ( I gate W ) mg + ( 1 - W g ) × I ds WH ( I sub I ds ) m ] δ t ,
  • where Hg and mg are degradation parameters to include the gate current of the PMOS and Wg is a weighting parameter. The age rate in the NMOS aging model above is then
  • rate = δ Age ( t ) / δ t = I ds WH ( I sub I ds ) m f ( t ) ,
  • that, for a sufficiently small δt, is a time invariant quantity that can be saved as a table. These formulae show how the current ratios are related to the device's age and that the larger the stress time t, the greater the age. For example, as these currents are functions of the bias voltages, Ids, Isub=f(Vds, Vgs, Vbs), these intermediate parameter values can be saved in a three dimensional table of Vds, Vgs and Vbs, or in a reduced dimensionality table if, say Vbs is less important and the user wants to save memory. This intermediate quantity table can then be used whenever the parameter comes up. This can be implemented as a command, say .table, to specify the values)

  • .table Vds_start, Vds_stop, Vds_step, Vgs_start, Vgs_stop, Vgs_step
  • or set up structure for the table. Tables can be in even or non-even steps.
  • The table can be provided before the simulation from an outside source, such as test data, since it is only for single device and does not need the netlist. Alternately, a simulation can be run just for producing such a table. The table size can be chosen by the user as this is a trade off between memory size and speed/accuracy. However, once the table is produced it can be saved for future use and not be rebuilt every time it is required. Additionally, the table can be built incrementally, with entries added for better resolution. The use of tables can also improve the simulation accuracy. If the table size is large enough, it will be more accurate than calculating on the fly.
  • The device degradation characterization means can be embedded into the circuit simulation of step 107. If a user has their own simulator for step 107 and source code or interface functions, step 105 can be combined into step 107. The advantage of keeping step 105 separate from step 107 is that the steps outside of 107 can be independent of simulator type.
  • Step 107 can be a standard prior art simulation and uses the fresh model. The possible circuit simulations could, for example, be a SPICE simulator (such as HSPICE or Spectre) or a timing simulator (such as Starsim or Timemill). As this produces the fresh waveform at all the nodes of the circuit it determines the relative stress on the circuit elements. Memory usage can be minimized by virtue of the screening procedure as shown in step 103 and the characterization procedure as shown in step 105 as these reduce the number of waveforms that need to be stored. If step 107 stands alone, the process is more flexible as it can be used with the various prior simulators and the other steps can be connected through the interface as described below.
  • Using a separate simulator for step 107 is less efficient as it requires moving large amounts of data back and forth across the interface. If the simulation can be merged with other steps, it saves on both the time and storage required for this transferal. Embedding into the circuit simulator some, or all, of the functions from the device degradation characterization means (step 105), the device degradation estimation means (step 109), the device degradation quantization means (step 111), and the circuit netlist generation for aging simulation (step 113) will make the hot-carrier circuit simulation much more efficient and capable. This would let the whole flow to be performed as a single run, processing the data along with simulator and not requiring storage of all the other data. This can increase the total speed and capabilities of the flow by orders of magnitude. This embedded process can also combine the parameterized aged device model as described below.
  • Step 109 is the device degradation estimation means to establish all of the ages for each transistor, or other aged device, specified in the netlist. The device degradation analysis will be more efficient because the relevant storage and computations for the devices pre-specified in step 103 can be skipped. Many devices suffer from multiple aging mechanisms that may have different relative importance depending upon bias conditions or other factors. For devices with multiple hot-carrier degradation taking effect, these devices will have multiple independent device age values each corresponding to one mechanism. These various age values will contribute to the total device degradation.
  • As already noted, the device degradation estimation means can be embedded into the circuit simulator. This may be implemented in the model evaluation module, but in this case the process depends upon the particular models employed. In one aspect of the present invention, the device degradation estimation means is embedded into the circuit simulator outside of the model part and is consequently independent of the particular models used. This allows newer device models to be incorporated without requiring the device age calculation having to embedded individually for each model.
  • Some examples of different aging mechanisms are the electron trapping and generation of interface state at the oxide/silicon interface. In addition to trapping electrons, the oxide may also capture holes in traps. Due to their differing charge, trapped holes will react to the resultant electric field at a bias level differently from the electrons: If the drain to gate voltage is positive, Vdg=Vd−Vg>0, the holes will migrate towards the gate and the electrons towards the drain. Thus, only holes can jump the interface at this bias, while the situation is reversed if Vdg<0.
  • At step 111, device degradation can be represented in the new circuit netlist by using the aged device models, by adding current sources, or both. In the first case, the elements and connections in the SPICE (or other simulation) netlist are not actually changed, but the aged model cards are used. As the voltage waveforms supplied to different elements are not the same, elements that have the same model card in the fresh simulation will generally have different aged model cards as the parameters will now differ. In the second case, connections of the netlist are changed by introducing current sources between device nodes, with independent current sources accounting for different degradation mechanisms. Examples of models which can be used for these current sources for MOSFETs are the DeltaMOS, DeltaLogId, and DeltaId models described below in the “Modeling and Extraction Methodologies” section.
  • Adding multiple current sources to represent different degradation mechanisms is shown in FIG. 6 for a MOSFET with multiple sources used simultaneously. In this example, for MOSFET 60 which has multiple hot-carrier degradation mechanisms, each mechanism will have a corresponding device age (as shown in step 109), and consequently, a current source Ii 64-i, 1≦i≦n, will be added in parallel between the source S 62 and drain D 63. In this case, the aged device is represented in the new netlist by the fresh device between the nodes S′ 62 and D′ 63′ and the aging effects separated out in the currents running from between the original source connection S 62 and the node S′ 62′ to between the original drain connection D 63 and the node D′ 63′. Each of the multiple current sources,

  • I i =f i(V ds , V gs , V bs ; P),
  • is added to cover the different mechanisms, where the functional form depends on the mechanism and where P represents the parameter set for the model, such as that described below in the “Modeling and Extraction Methodologies” section. The functional forms of the various fi will be from physically based models with parameters set by electrical test data.
  • In some case, a single mechanism may be represented by more than one current source. For example, although the channel in a fresh transistor may be symmetric between the source and drain, due to damage at the silicon-oxide interface this symmetry is lost as the circuit ages. Consequently, the current sources added for the aged device may include separate sources for forward and reverse biased conditions.
  • The continuous value device degradations can be quantized to build the aged device models, to build current sources, or both, so that rather than doing the simulation for the continuous aging, it can be done in a series of quantized steps. For example, say a circuit has a million transistors that have the same model card when new. As each of these will generally have a different age ranging from zero to a maximum value, the aged device simulation would have a million aged cards in the continuous case. In the quantized case, the age range from zero to the maximum value is broken up into, say, ten sub-ranges with a single age value used for each. Then only the discrete subset of ten model cards is used. The full interval need not be broken up into sub-ranges of uniform size if better resolution is more important in a particular range. The criteria for model quantization can be device age or any device parameters (such as Ids at different bias conditions, device trans-conductance, threshold voltage, etc.) degradations. The device degradation quantization means can be embedded into the circuit simulator. This step is optional.
  • In step 113 the aging circuit simulation is run with the different elements at their respective different aged models. Each of the simulations of step 113 will generally take significantly less time than the simulation already performed for the fresh device in step 107. This is because in running step 107, the simulation will record the waveforms at the required nodes. These nodes can be specified for particular devices in the screening stage. In step 113, only waveforms at the nodes that are needed for comparison with the fresh waveforms need to be stored. Thus, when doing a simulation for multiple ages in a single run, the ability to share steps 101-107 results in large increase in efficiency even though steps 109-113 must be performed individually for each age.
  • The above flow is the so-called “one step aging” circuit reliability simulation, where device ages and degradation are estimated using the fresh waveforms generated in step 107. However, as the circuit ages, the waveforms at the devices comprising the circuit will change. Therefore, the accuracy of the circuit reliability simulation should be improved if the circuit waveforms are updated during the degradation circuit simulation. This process will be called “gradual aging”.
  • “Gradual aging” can be realized by adding a loop for steps 107, 109 and 111, as shown by the dotted line in FIG. 5, to update the models of the circuit simulator. Assuming the total circuit stress time is Tn, e.g., 10 years, Tn is divided into N time intervals, [T0, T1], [T1, T2], . . . , [Tn-1, Tn], where T0 is zero. The transient simulation time is T, which is usually much smaller than any above time intervals, for example 10 μs. In “one step aging”, the circuit waveform from [0, T] is projected to the end of Tn,
  • Age ( T n ) = T n T Age ( T ) ,
  • or, for the 10 year, 10 microsecond example,
  • Age ( 10 yr ) = 10 yr 1 µ s Age ( 1 µ s ) .
  • Instead, for gradual aging the projection will now be made for each time interval.
  • At the beginning of each time interval, device models will be updated according to device age values. Circuit simulation 107 will be launched using updated device models in each loop, with only the first time interval using the fresh device model. Device age and degradation will then be estimated for each loop in step 109. Device degradation can optionally be quantized and a new circuit netlist for the next time interval will be prepared in step 111. Thus, to make the “gradual aging” more accurate for MOSFET devices, in addition to making Ids=Ids(t), degraded models for Isub, Igate, and intermediate values can be used for device degradation calculation. For example, in the NMOS hot-carrier aging model example of step 105, age is now a function of Isub(t) and Ids(t):
  • Age ( t ) = i I ds ( T i ) WH ( I sub ( T i ) I ds ( T i ) ) m Δ t i .
  • This loop will continue until the final time interval is completed. While the flow calculated the device age parameters (H, m) using Ids, Isub, and so on at step 107 for the fresh simulation, the loop back from step 113 uses a differing model at step 107 as Ids, Isub, and the other parameters will change with time.
  • Open Data Interface
  • FIG. 7 is a block diagram of an open data interface (ODI) for use in hot-carrier or other aging simulations. The interface 203 is connected between the degradation simulator 201 and the user inputs 205. In block 205 some of information the user may supply is shown, although not all of these will necessarily be provided in all cases, and in some instance will be integrated into the simulator as described above with respect to step 107 of FIG. 5. This interface 203 places the hot-carrier circuit simulator 201 into the designer's environment, allowing the user to control the calculation of age and other functions by supplying their own, say, age equations and other models into the simulator through 205 to calculate age and degradation equations. This allows the user to customize the simulation to their needs.
  • Referring to block 205 in more detail, user defined data and models (such as device age 209, device degradation 211 and auxiliary functions 207) that will be used for device age and degradation calculation and user defined circuit simulator can communicate with the hot-carrier circuit simulator through ODI (203). The Circuit Simulator 213 can be of the SPICE or timing simulator type, or the user's adaptation or equivalent. Device instance and model parameters are passed from the hot-carrier circuit simulator 201 through the ODI 203 to user defined data 205. For example, if the channel width W of a MOSFET is needed for the age calculation or the bias conditions are needed for the auxiliary functions, the hot-carrier circuit simulator 201 can provide these values. Similarly, user defined data and the simulation results from the user defined circuit simulator are passed back to hot-carrier circuit simulator 201 through ODI 203.
  • The user can also supply auxiliary functions to replace or supplement the equations found in the hot-carrier circuit simulator 201. For example, Igate and Isub are sample auxiliary functions. The simulator will provide default equations, but the user may provide auxiliary function that are lacking or for which the user has a proprietary model that they prefer over the default model for their application. For the example of Isub, many different model for the substrate current exist and the user may prefer a particular one in their application, such as described in co-pending U.S. patent application Ser. No. 09/661,328, filed on 14 Sep., 2000, entitled “MOSFET Modeling for IC Design Accurate for High Frequencies”, which is hereby incorporated herein by this reference. The user can select which function they supply, just specifying a module for, say, Isub, and using default modules for others.
  • Input parameters, intermediate and final results can be shared among all the user defined data, such as passing Isub to Ig, as Ig∝Isub. This can also include bias conditions, so that a function, say, age can now be a function of parameters beyond Ids, age→age(Ids, Vds, Vgs, Vbs), and so on. Multiple user defined data of the same functionality (such as the device age) can be integrated with the other user defined data. The user may have multiple equations of how, say, age model is calculated and may call any of these. Users have the flexibility to choose any one of them. User defined data can also be combined with the internal data in the hot-carrier circuit simulator (201) to fulfill the device age/degradation calculation. Again, these can be data that come with device or add in some proprietary ones the user has added in. The user defined data can be incomplete. For any of the user definable data not defined, internal data will be used. User defined functions can access intermediate results of circuit simulation, such as the saturation voltage, Vdsat, or threshold voltage, Vth, and not just Ids.
  • Parameterized Aged Device Model
  • Another approach that can be used to study circuit degradation is the use of a parameterized device model. This method can be used to replace the above approach through use of a parameterized model card for each of the specified circuit elements, or can be used in a complementary fashion, with some of devices using the parameterized model as described below and the rest of the devices treated as above. Each model parameter can be formulated as a function of several intermediate quantities that measure device degradation, such as device age or other device parameter (Ids, Vth, Gm, and so on). We will use device age as an example hereinafter.
  • Because aged device parameter is a function of device age, device model card can be parameterized with the device age as a parameter. This implies that one model card can be used by devices of different device age values. Age value can be quantized to limit the number of aged device models if a circuit simulator needs to predetermine the models at the start of simulation. One sample model card could be expressed as

  • .model nmos nmos vth0'‘0.7+5*age’,
  • where vth0 is the model parameter related to the threshold value and the other model parameters are suppressed for simplicity. The functional form of the parameters, such as the shown linear relation for the threshold voltage, can either be experimentally determined, or have a functional form based on physical model with the coefficients experimentally determined. The building of age models is described more fully below in the “Modeling and Extraction Methodologies” section.
  • The circuit simulator can then accept device age as an instance parameter. One example is listed as follows:

  • ml 1 2 3 4 nmos W=10 uL=0.25u age=0.01
  • This age value will be used for calculating aged device model parameters. To obtain the age values for the devices in the netlist, the steps 101-109 in the flow of FIG. 5 can still be performed, but the preparation of the netlist in step 111 is different. The age value can also be specified.
  • In simulators that do not support age as an instance parameter, a macro or subcircuit can also be used to prepare aged device model parameters from a device age value. An auxiliary program can convert each degradable device to a subcircuit call. The advantage of this approach is that currently available circuit simulators widely support this netlist style even if they do not accept device age directly as an instance parameter. The examples of FIG. 8 shows the entry of MOSFET ml in a netlist converted to a subcircuit call Xm1 with the device age value of age1. The left and right show two differing results, on the left converting to a subcircuit to introduce the age and on the right to compute a parameter (here vth0 as above) from this value. The value of age1 will be used to prepare the aged device model for ml. The use of subcircuits is described more fully in co-pending U.S. patent application Ser. No. 09/661,328, filed on 14 Sep., 2000, entitled “MOSFET Modeling for IC Design Accurate for High Frequencies”, that was incorporated by reference above.
  • According to another aspect device age calculation and aged device model parameters can be accomplished inside the model evaluation module for each device. A circuit simulator contains both an engine to solve the circuit and the model evaluation module. Rather than compute an expression such as, say, vth0=‘0.7+5*age’ in the engine, the model evaluation module can alternately calculate vth0=f(age). A model flag can be used to control whether the computation of the device age and the aged device model parameter should be carried out. For example, this can be implemented

  • .model nmos nmos aging_flag=1
  • where the other parameters are again suppressed. If the flag is set to 1, the aged device model parameter will be calculated based on the parameterized equation. This would occur in the circuit simulation 107 and present a more integrated approach to compute Ids and other output values. If the flag is set to 0, the calculation would not occur, as would be the case in simulating the fresh model or when the user prefers to use the full flow of FIG. 5,
  • Modeling and Extraction Methodologies
  • This section concerns the individual devices that compose a circuit. This begins with a modeling procedure for device age or degradation of a MOSFET or other device in a SPICE or other simulator. This is then followed by methods for how the device operates when degraded. These procedures are then used to extend the concept of binning to include degradation. These methods allow a device degradation model developed from any one parameter to be applied to other parameters. Specific relations for a particular embodiment to categorize and incorporate hot carrier degradation are presented.
  • FIG. 9 is a flow chart for building a device degradation model, for example the device age model of a MOSFET, by calculating the “age” or “model age” of the device. More generally, this process can be based on any intermediate quantity indicative of the level of device degradation and not just “age”; but to simplify the terminology, the discussion below is mostly discussed in terms of the common case of age. At step 901, the devices will be stressed, device parameters will be measured at several time intervals. To be concrete, the discussion will use an exemplary embodiment of a MOSFET using the example of an age relation given above,
  • δ Age ( t ) = I ds WH ( I sub I ds ) m δ t ,
  • where t is the time to stress, H and m are known as the voltage acceleration parameters in the degradation model. The degradation of a parameter P, ΔDP, is expressed in the relation

  • ΔD P=(AgeP)n=(AR)P n t n,
  • where AR is the age rate and P is, for example, current Ids, transconductance Gm or other measurable parameters. The power dependence of the degradation, n, is known as the time acceleration parameter and gives the functional relation between time and degradation. The values for H, m and n depends on what is used for the parameter P, so that in general (HP1, mP1)≠(HP2, mP2) and nP1≠nP2, and the conditions under which they are measured. For example, digital designs may be worried about driving capabilities under higher bias and look at ΔIds at saturation, while analog engineers may worry more about the linear region and so use a lower Vds value to measure the device parameter degradation.
  • A definition of lifetime is also needed. For example, using drain current at saturation this could be, say, a 10% degradation:
  • Δ I ds , sat I ds , sat V gs = V dd , V ds = V dd = - 0.1 .
  • Thus, if stressed to a −10% degradation under bias condition 1, this would result in a lifetime τ1 under this bias, and a lifetime τ2 under bias 2, and so on. Generally, the higher the bias condition, the shorter the τ value.
  • At step 903, the user can choose any device parameter (such as Ids under any bias condition), to extract the time acceleration parameter n. Various forms for the functional relation between time and degradation can also be selected, such as fitting the log(log(degradation)) vs. log(time) relation instead of log(degradation)) vs. log(time) can be used for the parameter extraction. This is described more below as the delta log Id model.
  • In the prior, Ids in the saturation or linear region are often used as the parameter. As noted above, the value of n depends on parameter used. In one aspect of the present invention, the user can select the parameter, such as
  • Δ V th V th , Δ I ds , sat I ds , sat , Δ I ds , lin I ds , lin , Δ V th , Δ I ds , sat , Δ I ds , lin
  • and so on to extract n from the ΔD relation. If the functional relation of ΔD˜tn is selected, then a plot of log ΔD versus log t will have a slope n, but in the prior art this acceleration parameter will be associated with the parameter used, for example nVth. In one aspect of the present invention, during the simulation, only a single n corresponding to the selected parameter is extracted. Although this process could be performed for multiple parameters, it has been found that the behavior of a device can be obtained using only the single selected parameter. This can either be chosen for convenience or due to its particular relevance. This allows the extraction to be performed only once and still use the value of n for many different focuses. Thus, although for example nΔVth≠nΔIds if these were extracted from the parameters indicated by the subscript, the user can use an arbitrary n without loss of accuracy as long as n is from the correct device.
  • It has also been found that functional forms other than ΔD˜tn for the relation between device degradation and time could yield better results in some circumstances. This can be exploited by allowing the user to select a functional form, ΔD=f(Age,n). In particular, in some cases it is found that log(time) versus log(log(degradation)) is more linear than versus log(degradation)).
  • At step 905, the voltage acceleration parameters H and m for the MOSFET device degradation or age model will be extracted by considering multiple device degradation mechanisms at different bias conditions which will improve the accuracy of device degradation calculation. For the DeltaMOS and DeltaLogId models discussed below, parameter H can be removed as its function will be merged with some other terms in the models. Additionally, the parameter m can keep a constant value such as 3.0.
  • If τ is a lifetime for the device, the values of H and m can be extracted from the relations above between δAge and δt as m will be the slope and H the intercept for a plot of log(τIds) versus log(Isub/Ids). The value of n will be from the previous step and the process is done for several different bias conditions. As the n values depend on parameter chosen and bias conditions, so then will m and H.
  • As noted, in the models developed below, the parameter H need not be extracted, but taken as a constant and absorbed into other parameters such as the parameters d1 and d2 in equation (3) below. The parameter m is related to the energy required for a hot carrier to jump into the oxide of the MOSFET. Even if H is not extracted, m can still be extracted and used. Alternately, a value for m can be derived from physical principles.
  • It has been found that the relation between AD and Age is not best represented in many cases by a strict power, but contains different regions that can be represented in this way. A typical relation between log(ΔD) and Age is shown in FIG. 10. This figure shows that the curve 1000 starts off with a slope that gradual rolls off into a “degradation saturation” region where it flats out to a more or less constant slope. By using the single acceleration parameter as in the prior art, call it no, to present device degradation would use the slope of line 1001 to extract its value. The line 1000 is better approximated by using different values of n for linear approximations of different portions. As shown in FIG. 10, a good approximation can generally be obtained by a first acceleration parameter n1 in the initial region that corresponds to the slope of the line 1011 and a second acceleration parameter n2 for the saturation region that corresponds to the slope of the line 1012.
  • The two regions can be combined in a smooth function that combines the two regions. One example is shown below in equations (3) and (11), which use the form [(α1Agen1)−S+(α2Agen2)−S]−1/S, where the parameters α1, α2 are d1, d2 in those equations and the smoothing factor S is a positive constant. The value of n is that extracted in step 903 and is used to obtain an Age value in a first approximation, which can then be used to obtain the further values of n such as n1 and n2.
  • At step 907, the parameters n1 and n2 will be extracted again to consider the degradation saturation effect and which will improve the accuracy. This step is optional since if line 1000 of FIG. 1 is considered linear enough, the initial n value could be used. Alternately, this process could of course be extended to beyond the two segment linear approximation of curve 1000 by further iterations of step 907.
  • Once the degradation model is obtained from one of the variation of the process of FIG. 9, the model for the degraded device (such as a SPICE type model) can be obtained. Two embodiments are presented in FIGS. 11 and 14.
  • FIG. 11 is a flow chart of the first embodiment for building degraded device model. At step 1101, the devices will be stressed, and device characteristics and parameters will be measured at several time intervals. This can be performed at the same time as step 901 above, but makes additional measurements. In step 901, points were obtained for parameters at the time values; here, curves such as the I-V characteristics at the time values are also measured as these are used to extract the SPICE or other model. Step 1103 builds the device degradation model from the measured device parameters. This is the flow of FIG. 9 above.
  • In step 1105, fresh and degraded device models are built from the measured device characteristics and the device degradation level is calculated for each device model. The fresh and degraded models can be built separately. The model cards are extracted from the respective fresh and degraded curves, such as those shown in FIG. 12. FIG. 12 shows Ids-Vds curves for different values of the gate voltage Vgs, where the solid curves represent the fresh device and the broken curves the degraded device. The models for the fresh and degraded devices can be constructed separately. The device age or degradation is calculated for each model, and is a function both of degradation level and bias conditions, such as shown in equations (1) and (11).
  • At step 1107, analytical functions can be used to build the degraded device model parameters as a function of device age by fitting the aged device model parameter vs. device age curves. Model parameter at any age value can then be calculated from the function. With this analytical function, the device model card can be parameterized, with device age as a parameter in circuit netlist. This allows devices with different age values can share a same device model.
  • For example, in a model card there will be model parameters pi with values Vi that change with age. From the relation between Age and Vi, a table of pi at the Age values can be built. This situation is shown in FIG. 13, where a set of model cards are shown for Age values 0, 1, . . . , n. Each of the parameters pi has corresponding values V i0, V i1, . . . , Vin. Through curve fitting or using known equations, the functional relation pi=fi(Age) is then constructed. As degradation affects different parameters to different degrees, the process is generally done only for a relatively small number of sensitive parameters. These can either be pre-selected in the software or user selected.
  • FIG. 14 is a flow chart of a second embodiment for building degraded device model. Referring to FIG. 14, at step 1401, devices will be stressed, device characteristics and parameters will be measured at several time intervals. At step 1403, build device age model from measured device parameters. These are the same as in steps 1101 and 1103
  • The second embodiment differs in the next pair of steps. At step 1405, fresh device models are again built from measured device characteristics and device ages are again calculated for the stressed devices. Unlike step 1105, it is only the fresh models, and not the degraded models, that are constructed. The age is calculated from the Age=(AR)t relation.
  • At step 1407, analytical functions can be used to build the aged device model parameters as a function of device age by fitting the measured device parameters vs. device age values. Device model parameter at any age value can be calculated from the function. With this analytical function, device model card can be parameterized, with device age as a parameter in circuit netlist. This allows devices with different age values can share a same device model.
  • Step 1407 is similar to step 1107, but differs in several ways. In this case, the result is just the model card for the different ages: that is, rather than have the different values Vi for model parameter pi at the different ages as shown in FIG. 13, only the value for Age=0. The result of the process is still a functional relation pi=fi(Age) for model parameters, but without the table of pi versus Age. Instead, it is now derived fitting measured device parameters, such as Vth, Ids,sat, and so on. This uses tables of, say, Vth versus Age, Ids,sat versus Age, etc. to fit the curves for these device parameters to obtain the functional relation for the selected model parameters pi through the dependence of the device parameters on the model parameters. Generally, this method will be more computational than that of step 1107.
  • The techniques of FIGS. 9, 11, and 14 can be combined with the concept of binning to provide a binning model for degraded devices. FIG. 15 is a flow chart of a first embodiment for building a degraded binning model, with a second embodiment given in FIG. 17. The concept of binning is explained with FIG. 16.
  • A particular device may occur in a circuit a number of different times with different dimensions. For example, there may be many occurrences of an NMOS transistor having different dimensions. Rather than provide model cards for every device, they can be grouped together in “bins”. This is shown in FIG. 16 where the range of width and length values for MOSFETs in a circuit are divided up into smaller regions. All of the devices within a region are then given the same model card. For example, all of the devices in region 1601, with lengths between A and B and widths between A and C, are binned together. The grid defining the regions need not have the same number of divisions in the different directions and the size of the divisions need not be uniform, but can be chosen for convenience or to provide higher accuracy in a particular range of values. For example, if the device was relatively immune to length variations, the grid could have fewer divisions in this direction; if the device is more sensitive to changes in length at shorter length, the grid could have finer resolution at short lengths.
  • To build a model card for a sector, say 1601, the procedure is to go to the four corners, in this case A, B, C, and D, and construct the model cards for these dimensions. The card for the sector bound by these corners is then formed from a composite of these. Similarly, the card for sector 1603 is constructed from devices at the vertices A, B, X, and Y.
  • In one aspect of the present invention, binning techniques are extended to include degradation. This essentially adds a third dimension of device age or degradation to the usual binning based on width and length found in the prior art for the fresh model. Thus for all of the corners of a sector, the devices with those dimensions could be stressed and the corresponding degraded models build, from which the degraded model for the sector constructed. However, since degradation, and in particular aging due to hot carrier effects, is most pronounced for short channel devices, it is found in most cases that incorporating aging effects only for devices with minimum channel length is sufficiently accurate. The exemplary embodiment will follow this approach, although it readily extends to incorporating degradation effects larger channel lengths if the resultant accuracy is worth the increased computation.
  • Referring to FIG. 15, at step 1501, only devices with minimum channel length, but not necessary all of them, will be stressed, Devices with other channel lengths are assumed to have insignificant hot-carrier degradations. This is the procedure from steps 901 and 1101 or 1401. Step 1503 builds the device degradation models from the stressed devices with minimum L, as is done in the flow of FIG. 9. At step 1505, the aged device model using FIG. 11 or/and FIG. 14 flows is built. As described above, the result is then pi=fi(Age) for the selected parameters pi for each of the stressed devices. Note that the set of selected parameters need not be the same for all of the different width values at Lmin, although this will generally be the case.
  • For the example of region 1601 with devices at both of the Lmin corners stressed, the result will be pi A=fi A(Age) and pi C=fi C(Age) for the corners A and C. In the exemplary embodiment, the devices corresponding to corners B and D are not stressed and pi B, pi D≠f(Age). From theses, pi region=fi region(Age) is constructed in step 1507. If degradation is significant for the channel length corresponding to B and D, the degradation can also be incorporated at the cost of more computation.
  • Thus, at step 1507, for any stressed devices, it has an analytic function for each aged device model parameters as a function of age value. Unstressed devices Lmin will be skipped in building the binning model, as described below in the second embodiment discussed with respect to FIG. 17. For all the devices other than the minimum L devices, their aged device models are all the same as their corresponding fresh ones. The binned model parameters will be an analytic function of device age.
  • FIG. 17 is a flow chart of a second embodiment for building a degraded binning model. At step 1701, only devices with minimum channel length, but not necessary all of them, will be stressed. Devices with other channel lengths are assumed to have insignificant hot-carrier degradations. Their aged device models are all the same as their corresponding fresh ones. At step 1703, device age model is built from the stressed devices with minimum L. These are the same as steps 1501 and 1503 above.
  • Step 1705 builds degraded device models and calculates degradation level values for aged device models, as in step 1405. Step 1707 obtains a set of device age values from all the aged device models of this device. For example, picking one of the Lmin corners, the process builds, say, 10 device models for A to get Age0, Age1, . . . , Age10 for times t0, t1, . . . , t10, where Age0 at t0 corresponds to the fresh device.
  • At step 1709, for any stressed device, a degraded device model of a specific degradation value will be generated by interpolation or extrapolation from the measured values. Consider the case where for point C of FIG. 16 at, say, times t0, tC1, tC2, tC3, there are the ages of Age0, AgeC1, AgeC2, AgeC3. To construct the model card for bin 1601 for times t0, t1, . . . , t10, the process will generate the parameters for C at the 10 values corresponding to t1, . . . , t10.
  • The 10 (in this example) new degraded model cards at these times for C will be build from the three stressed model at tC1, tC2, tC3. For example, if at Age1 the device of point A has ΔVth=0.1V, to get the device at point C with a ΔVth of 0.1V the parameters in the model card are adjusted for C at AgeC1=Age1 to get this value. This allows a set of ten degraded model cards for the bin region, here 1601, to be constructed. Hence there will be a binned model for each of the 10 ages and an interpolation can be done for the real Age values.
  • For those unstressed devices, degraded device models can be generated by adjusting parameters in the fresh models to get the same device parameter degradations as the stressed devices of the same age value. This allows for a missing Lmin, corner to be built. Alternately, these devices can be skipped in building the binning model, so that if, say, the device at A is skipped, the regions 1601 and 1603 could be combined into a single bin using X, Y, C, and D. At step 1711, the age value used in the binning will be the age value for the binned model.
  • FIGS. 18 and 19 are flow charts for the binning methodology of degraded device models based on FIGS. 15 and 17, respectively. These embodiments treat time in discreet values and describe the use of binning in these two cases. Referring to FIG. 18, step 1801 starts with the degraded binning model of the flow in FIG. 15. The device age value is supplied at 1803, and for this value the effective model parameters are calculated using the model from step 1801.
  • In the embodiment of FIG. 19, the binning model of FIG. 17 and a device age value are supplied at steps 1901 and 1903, respectively. Using the pair of degraded device models with the age values nearest the supplied age value, effective model parameters are calculated in 1905 using the model of FIG. 17. The model parameters for the supplied age are then interpolated or extrapolated at 1907.
  • As described above, the present invention allows a degradation value derived from one parameter to be used in obtaining the degradation of another device parameter. For example, the Age value in equation (1) below need not be that obtained through measurements on the drain current and the Age value in equation (11) below need not be that obtained through measurements on the threshold voltage. FIG. 20 is a flow chart for generating degradation on any device parameter.
  • In step 2001, a degradation level value and degraded device model are obtained using any selected device parameter, such as Ids, Vth, Gm, and so on. From this, the degraded device characteristics are generated using the Age value and generating the device characteristics, such as the I-V curves, in step 2003. In step 2005, the degradation of any device parameter can be extracted.
  • Thus, the method allows use of, say, ΔVth or ΔGm to calculate an acceleration parameter n characterized accordingly. As the value of n differs for different parameters, it will generally be the case that nV th ≠nI ds ≠nG m ≠ . . . , and the practice in the prior art is to use nV th to generate ΔVth, nIds to generate ΔIds, nGm to generate ΔGm, and so on. Consequently, if a user wanted both, say, ΔVth and ΔGm, two separate calculations would be run. According to the present invention, using only a single parameter, say nVth can be used not just for ΔVth, but also ΔGm, ΔIds, etc. This is done by extracting device parameters from the device behavior, such as the I-V curves, instead of just using the equations of each of the parameters.
  • DeltaMOS Model and DeltaLogId Model
  • As described above, a degraded device can be represented either in a SPICE or other simulator, or through representing the degraded device by using a fresh model with elements to incorporate the aging external to the fresh device, such as in FIG. 6. In this latter approach, the fresh device is not aged, although the two approaches can be combined. This is a unified compact scalable DeltaMos model for accurate hot-carrier reliability circuit simulation based on the equations below. This section also expands and enhances this procedure, for example adding external voltage sources as well as current sources.
  • Strong dependencies on oxide electric field Eox and body bias Vbs should be incorporated into the model for accuracy as shown in Equation (1):
  • Δ I ds I ds 0 = Δ I ds , l I ds , l 0 ( V gs , V bs , Age ) * F ( V gs , V ds ) , ( 1 )
  • where Ids,1 is the drain-source current in the linear region. As described above, it also improves the smooth transition from subthreshold region to linear region and to saturation region. One form of the implementation can refer to Equation. (2-6). The Eox effect is reflected in the Vgsteff/Tox term.
  • F ( V gs , V ds ) = 1 1 + exp ( V ds - a 1 * V gs - a 2 b 1 * V gs + b 2 ) + ( c 1 * V gs + c 2 ) ( 2 ) Δ I ds , l I ds , l 0 ( V gs , V bs , Age ) = 1 l eff * [ ( d 1 eff ( V gs , V bs ) * Age n 1 ) s + ( d 2 eff ( V gs , V bs ) * Age n 2 ) - S ] - 1 / S ( 3 )
  • This form shows the use of multiple acceleration parameters described with respect to step 907 of FIG. 9.
  • d 1 eff ( V gs , V bs ) = d 10 * C f 1 + ( d 1 a + d 1 c * V bs ) * V gsteff ( V gs , V bs ) T ox + d 1 b * ( V gsteff ( V gs , V bs ) T ox ) 2 ( 4 ) d 2 eff ( V gs , V bs ) = d 20 * C f 1 + ( d 2 a + d 2 c * V bs ) * V gsteff ( V gs , V bs ) T ox + d 2 b * ( V gsteff ( V gs , V bs ) T ox ) 2 ( 5 )
  • The asymptote functions can be introduced to better model the coefficients d1eff and d2eff, with one form of the implementation in Equations (4), (5), (7), and (8).
  • V gsteff ( V gs , V bs ) = 2 * s f 1 * V tm * ln [ 1 + ( s f 0 + d b * V bs ) * exp ( V gs - V th 0 2 * s f 1 * V tm ) ] 1 + ( s f 0 + d b * V bs ) * exp ( V th 0 - V gs 2 * s f 1 * V tm ) ( 6 ) where , if ( C ft 2 + 4 * d a >= 0 ) , C f ( V gs ) = 0.5 * ( C ft ( V gs ) - C ft ( Vgs ) 2 + 4 * d a ) + 1 else C f = 0 and ( 7 ) C ft ( V gs ) = 2 * V tm * ln [ 1 + c f 0 * exp ( V gs - V th - v off c f 1 * V tm ) ] - 1 ( 8 )
  • Bias dependency of n1 and n2 improves the accuracy. Vgs and Vds dependencies can be separated. One form of the implementation is shown in Equations (9) and (10):
  • n 1 = n 10 1 - n 11 * exp ( - n 1 d * V ds ) 1 + n 1 g * V gsteff ( 9 ) n 2 = n 20 1 - n 21 * exp ( - n 2 d * V ds ) 1 + n 2 g * V gsteff ( 10 )
  • The use of (d1,n1) and (d2,n2) let the single Age be used regardless of the parameter from which it was obtained.
  • Threshold voltage degradation can be included. One form of the implementation is shown in Equations (11-18), which are similar to the Id degradation equations as shown in Equations (3-10). Below, ΔVth=Vth−Vth0 where Vth is the degraded threshold voltage and Vth0 is the fresh threshold voltage.
  • Δ V th V th 0 ( V gs , V bs , Age ) = 1 l eff * [ ( d 3 eff ( V gs , V bs ) * Age n 3 ) - s 1 + ( d 4 eff ( V gs , V bs ) * Age n 4 ) - s 1 ] - 1 / s 1 ( 11 ) d 3 eff ( V gs , V bs ) = d 30 * C f 1 1 + ( d 3 a + d 3 c * V bs ) * V gsteff 1 ( V gs , V bs ) T ox + d 3 b * ( V gsteff 1 ( V gs , V bs ) T ox ) 2 ( 12 ) d 4 eff ( V gs , V bs ) = d 40 * C f 1 1 + ( d 4 a + d 4 c * V bs ) * V gsteff 1 ( V gs , V bs ) T ox + d 4 b * ( V gsteff 1 ( V gs , V bs ) T ox ) 2 ( 13 ) V gsteff 1 ( V gs , V bs ) = 2 * s f 3 * V im * ln [ 1 + ( s f 2 + d b 1 * V bs ) * exp ( V gs - V th 0 2 * s f 3 * V tm ) ] 1 + ( s f 2 + d b 1 * V bs ) * exp ( V th 0 - V gs 2 * s f 3 * V tm ) ( 14 ) where , if ( C ft 1 2 + 4 * da 1 >= 0 ) C f 1 ( V gs ) = 0.5 * ( C ft 1 ( V gs ) - C ft 1 ( Vgs ) 2 + 4 * d a 1 ) + 1 else C f 1 = 0 ( 15 ) C ft 1 ( V gs ) = 2 * V tm * ln [ 1 + c f 2 * exp ( V gs - V th 0 - v off 1 c f 3 * V tm ) ] - 1 ( 16 ) n 3 = n 30 1 - n 31 * exp ( - n 3 d * V ds ) 1 + n 3 g * V gsteff 1 ( 17 ) n 4 = n 40 1 - n 41 * exp ( - n 4 d * V ds ) 1 + n 4 g * V gsteff 1 ( 18 )
  • In these equations, Vtm is the thermal voltage (Kt/q) and the following are all model parameters:
      • a1, a2, b1, b2, c1, c2, n10, n11, n1g, n1d, n20, n21, n2g, n2d, s, d10, d1a, d1b, d1c, d20, d2a, d2b, d2c, Sf0, sf1, cf0, cf1, voff, da, db; n30, n31, n13g, n3d, n40, n41, n4g, n4d, s1, d30, d30, d3a, d3b, d3c, d40, d4a, d4b, d4c, sf2, sf3, cf02, cf3, voff1, da1, db1
  • The Ids degradation can be incorporated into aging circuit simulation by adding a current source in parallel to the MOSFET channel for each Ids degradation component of the device as described with respect to FIG. 6 above. The value Id is as seen from external to the circuit, Ids0 is the fresh device value, and ΔIds=Ids−Ids0. The Vth equations can be similarly incorporated.
  • Before, a fresh model card was used with a current source with magnitude ΔIds connected between the source and drain terminals. The model card can also be changed to include Vth degradation by incorporating, either instead or additionally, a voltage source with magnitude ΔV connected to a terminal of the fresh device. FIG. 21 shows an exemplary embodiment. Also, it should be noted that if Vth changes, so will other parameters, such as intrinsic capacitor parameters and so on.
  • FIG. 21 shows a MOSFET 2000 which has a voltage source ΔV th 2004 added between the gate and the gate terminal G 2001. The aged device is represented in the netlist by the fresh device (between the nodes G′ 2001′, S′ 2002′ and D′ 2003′) and the aging effects separated out in the voltage source 2004 between the original gate connection G 2001 and the node G′ 2001′. The original source and drain are unchanged in this example, so that S, D and the same as S′, D′.
  • Thus, the Vth degradation can also be considered into aging circuit simulation by adding a voltage source at one device terminal or by modifying the threshold voltage parameters in the model cards. This will help to improve the model accuracy as it also considers other device degradations such as the intrinsic capacitance degradation, in addition to the Id degradation. One or more such voltage sources can be combined with the current sources of FIG. 6. In particular, it is often the case that the one or more of the current sources is a function of the threshold voltage, Ii=fi(Vth). This Vth can be separated out by placing a voltage source as in FIG. 21 in combination with the current source as in FIG. 6 which is no longer dependent on the threshold voltage, Ii≠fi(Vth).
  • As noted in the discussion of step 903 of FIG. 9, different functional forms may be selected. One example is the DeltaLogId Model using Log(Ids/Ids0), instead of ΔIds/Ids0 to formulate hot-carrier degradation in MOSFET transistors. As before, ΔIds=Ids−Ids0, where Ids0 and Ids are fresh and degraded drain current, respectively. All the model equations are the same as that of DeltaMos model, except all the Ids/Ids0 terms are changed to Log(Ids/Ids0). Of course, all the model parameters are different. This approach is called the DeltaLogId model.
  • ΔIds can be deduced from Log(Ids/Ids0) equation [ΔIds=(exp(log(Ids/Ids0))−1)*Ids0] and can be used to add current source for the aging circuit simulation which is similar to the DeltaMos model. Threshold voltage degradation can also be included for the DeltaLogId model which is similar to the DeltaMOS model. Using Log(Ids/Ids0) for building device age model has been shown in step 903 of FIG. 9.
  • Application Domains
  • It is well known in the art that logic or digital systems and/or methods can include a wide variety of different components and different functions in a modular fashion. The following will be apparent to those of skill in the art from the teachings provided herein. Different embodiments of the present invention can include different combinations of elements and/or functions. Different embodiments of the present invention can include actions or steps performed in a different order than described in any specific example herein. Different embodiments of the present invention can include groupings of parts or components into larger parts or components different than described in any specific example herein. For purposes of clarity, the invention is described in terms of systems that include many different innovative components and innovative combinations of innovative components and known components. No inference should be taken to limit the invention to combinations containing all of the innovative components listed in any illustrative embodiment in this specification. The functional aspects of the invention, as will be understood from the teachings herein, may be implemented or accomplished using any appropriate implementation environment or programming language, such as C++, COBOL, Pascal, Java, Java-script, etc. All publications, patents, and patent applications cited herein are hereby incorporated by reference in their entirety for all purposes.
  • The present invention is presented largely in terms of procedures, steps, logic blocks, processing, and other symbolic representations that resemble data processing devices. These process descriptions and representations are the means used by those experienced or skilled in the art to most effectively convey the substance of their work to others skilled in the art. The method along with the system to be described in detail below is a self-consistent sequence of processes or steps leading to a desired result. These steps or processes are those requiring physical manipulations of physical quantities. Usually, though not necessarily, these quantities may take the form of electrical signals capable of being stored, transferred, combined, compared, displayed and otherwise manipulated in a computer system or electronic computing devices. It proves convenient at times, principally for reasons of common usage, to refer to these signals as bits, values, elements, symbols, operations, messages, terms, numbers, or the like. It should be borne in mind that all of these similar terms are to be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities. Unless specifically stated otherwise as apparent from the following description, it is appreciated that throughout the present invention, discussions utilizing terms such as processing or computing or verifying or displaying or the like, refer to the actions and processes of a computing device that manipulates and transforms data represented as physical quantities within the device's registers and memories into analog output signals via resident transducers.
  • Many aspects of the methods of the present invention will most commonly be implemented in software as a computer program product, although many of these can be implemented in hardware or by a combination of software and hardware. As will be understood in the art, the invention or components thereof may be embodied in a fixed media program component containing logic instructions and/or data that when loaded into an appropriately configured computing device cause that device to perform according to the invention. As will be understood in the art, a fixed media program may be delivered to a user on a fixed media for loading in a users computer or a fixed media program can reside on a remote server that a user accesses through a communication medium in order to download a program component. Examples of such fixed media include a disk-type optical or magnetic media, magnetic tape, solid state memory, etc. The invention may be embodied in whole or in part as software recorded on this fixed media.
  • The invention also may be embodied in whole or in part within the circuitry of an application specific integrated circuit (ASIC) or a programmable logic device (PLD). In such a case, the invention may be embodied in a computer understandable descriptor language which may be used to create an ASIC or PLD that operates as herein described.
  • Although the various aspects of the present invention have been described with respect to specific exemplary embodiments, it will be understood that the invention is entitled to protection within the full scope of the appended claims.

Claims (49)

1. A method of obtaining a device degradation model, comprising:
stressing the device for a time period;
measuring values for a plurality of device parameters of the stressed device during the time period;
selecting one of the device parameters;
extracting a relation between stress time and the degradation of the selected parameter from the measured values for the selected parameter during the time period;
extracting a degradation rate for the device from the relation between stress time and the degradation of the selected parameter;
deriving from the degradation rate a device degradation model for a device parameter other than the selected device parameter.
2. The method of claim 1, wherein the selected device parameter is source drain current.
3. The method of claim 1, wherein the selected device parameter is threshold voltage.
4. The method of claim 1, wherein the selected device parameter is transconductance.
5. The method of claim 1, wherein the deriving from the degradation rate a device degradation model comprises:
determining the dependence of the device parameter other than the selected device parameter upon the degradation rate.
6. The method of claim 5, wherein the extracting a relation between stress time and the degradation of the selected parameter comprises:
determining a first time acceleration parameter; and
wherein the determining the dependence of the device parameter other than the selected device parameter upon the degradation rate comprises determining one or more second time acceleration parameters.
7. The method of claim 6, wherein the number of second time acceleration parameters is two.
8. The method of claim 1, further comprising:
prior to extracting a relation between stress time and the degradation of the selected parameter, selecting a functional form for the relation.
9. The method of claim 8, wherein said functional form is that the degradation is proportional to the stress time to a power.
10. The method of claim 8, wherein said functional form is that the logarithm of the degradation is proportional to the stress time to a power.
11. A computer readable storage device embodying a program of instructions executable by a computer to perform the method of claim 1.
12. (canceled)
13. A method of obtaining a device degradation model, comprising:
stressing the device for a time period;
measuring values for a plurality of device parameters of the stressed device during the time period;
selecting from a plurality of functional forms a functional form for the time dependence of degradation of one of the device parameters;
extracting a relation between stress time and the degradation of said one of the device parameters from the measured values for the selected parameter during the time period using the selected functional form; and
deriving a device degradation model from the relation between stress time and the degradation of said one of the device parameters.
14. The method of claim 13, wherein said functional form is that the degradation is proportional to the time to a power.
15. The method of claim 13, wherein said functional form is that the logarithm of the degradation is proportional to the time to a power.
16. The method of claim 13, wherein said extracting a relation between stress time and the degradation comprises determining a degradation rate, and wherein the device degradation model is a function of the degradation rate.
17. The method of claim 16, wherein said determining a degradation rate includes specifying the power of the ratio of the substrate current and the source to drain current upon which the degradation rate depends.
18. The method of claim 16, wherein the degradation rate is only specified up to a proportionality constant.
19. The method of claim 16, wherein the deriving a device degradation model comprises:
determining the dependence said one of the device parameters upon the degradation rate.
20. The method of claim 19, wherein the extracting a relation between stress time and the degradation of the selected comprises:
determining a first time acceleration parameter; and
wherein the determining the dependence said one of the device parameters upon the degradation rate comprises determining one or more second time acceleration parameters.
21. The method of claim 20, wherein the number of second time acceleration parameters is two.
22. A computer readable storage device embodying a program of instructions executable by a computer to perform the method of claim 13.
23. A method for transmitting a program of instructions executable by a computer to perform a process of simulating the degradation of a circuit, said method comprising:
causing the transmission of a program of instructions to a client device, thereby enabling the client device to perform, by means of such program, the process of the method of claim 13.
24-32. (canceled)
33. A method of obtaining a degraded device model, comprising:
stressing the device for a time period;
measuring values for device parameters and device characteristics of the stressed device during the time period;
building a device degradation model of the device using the measured device parameter values;
building a fresh model for the device from the measured device characteristic values;
building from the measured device characteristic values a degraded model for the device corresponding to a value in the time period device;
obtaining an intermediate quantity from the device degradation model and the measured device characteristic values; and
obtaining a relation for a model parameter as a function of the intermediate quantity from the fresh and degraded models.
34. The method of claim 33, wherein the device is a MOSFET and the device characteristics include the current-voltage behavior.
35. The method of claim 33, wherein the obtaining a relation for a model parameter as a function of the intermediate quantity comprises fitting the degraded model parameter versus device age curves.
36. The method of claim 33, further comprising:
obtaining a model for the device incorporating the relation for the model parameter as a function of the intermediate quantity.
37. A computer readable storage device embodying a program of instructions executable by a computer to perform the method of claim 33.
38. A method for transmitting a program of instructions executable by a computer to perform a process of simulating the degradation of a circuit, said method comprising:
causing the transmission of a program of instructions to a client device, thereby enabling the client device to perform, by means of such program, the process of the method of claim 33.
39. A method of obtaining a degraded device model, comprising:
stressing the device for a time period;
measuring values for device parameters and device characteristics of the stressed device during the time period;
building a device degradation model of the device using the measured device parameter values;
building a fresh model for the device from the measured device characteristic values;
obtaining an intermediate quantity from the device degradation model and the measured device characteristic values;
obtaining a relation for a device parameter as a function of the device age from the fresh model, the intermediate quantity, and measured parameter values; and
obtaining relation for a model parameter as a function of the intermediate quantity from the relation for a device parameter as a function of the intermediate quantity.
40. The method of claim 39, wherein the device is a MOSFET and the device characteristics include the current-voltage behavior.
41. The method of claim 39, wherein the device is a MOSFET and the device parameter is the source drain current.
42. The method of claim 39, wherein the device is a MOSFET and the device parameter is the transconductance.
43. The method of claim 39, wherein the device is a MOSFET and the device parameter is the threshold voltage.
44. The method of claim 39, wherein the obtaining a relation for a device model parameter as a function of the intermediate quantity comprises fitting the measured device parameters versus device age.
45. The method of claim 39, further comprising:
obtaining a model for the device incorporating the relation for the model parameter as a function of the intermediate quantity.
46. A computer readable storage device embodying a program of instructions executable by a computer to perform the method of claim 39.
47. A method for transmitting a program of instructions executable by a computer to perform a process of simulating the degradation of a circuit, said method comprising:
causing the transmission of a program of instructions to a client device, thereby enabling the client device to perform, by means of such program, the process of the method of claim 39.
48. A method of obtaining a degraded device model, comprising:
providing non-degraded models for the device at a plurality of widths for a plurality of lengths;
determining a degraded device model for the device at the plurality of widths for the minimum length of the plurality of the lengths; and
for the device having a length between the minimum length and a second of the lengths and having a width between a first and a second of the widths, generating a degraded device model from the non-degraded device models at the minimum length and second of the lengths for the first and second widths and from the degraded device model models at the minimum length for the first and second widths.
49. The method of claim 48, wherein the determining comprises:
stressing the device for the plurality of widths for the minimum length for a period of time;
measuring values for parameters and characteristics of the stressed device during the time period;
building device degradation models for the stressed device using the measured parameter values; and
building the degraded device models for the devices from device degradation models for the device at the plurality of widths for the minimum length.
50. The method of claim 49, wherein the building the degraded device models comprises:
building degraded device models for the first width at the minimum length at a first set of degradation levels; and
building degraded device models for the second width at the minimum length at a second set of degradation levels, wherein the first set of degradation levels is different than the second set of degradation levels; and
determining degraded device models for the second width at the minimum length at the first set of degradation levels from the degraded device models for the second width at the minimum length at a second set of degradation levels.
51. A computer readable storage device embodying a program of instructions executable by a computer to perform the method of claim 48.
52. (canceled)
53. A method of obtaining the degradation level of a first device parameter of a device, comprising:
obtaining an age value for the device from a second device parameter, wherein the second device parameter is different than said first device parameter;
generating the degraded characteristics of the device using the device degradation value; and
extracting the degradation level of the first device parameter from the degraded characteristics of the device.
54. The method of claim 53, wherein generating the degraded characteristics of the device comprises:
producing a degraded device model card using the device degradation value; and
using the degraded device model card to simulate the degraded characteristics of the device.
55. The method of claim 53, wherein extracting the degradation level of the first device parameter comprises:
expressing the degradation level of the first device parameter as a function of the age value.
56. The method of claim 55, wherein the function is of the form of the age value raised to a power.
57-69. (canceled)
US12/486,191 2000-09-29 2009-06-17 Hot-Carrier Device Degradation Modeling and Extraction Methodologies Abandoned US20090299716A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/486,191 US20090299716A1 (en) 2000-09-29 2009-06-17 Hot-Carrier Device Degradation Modeling and Extraction Methodologies

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US23658700P 2000-09-29 2000-09-29
US23686500P 2000-09-29 2000-09-29
US23658600P 2000-09-29 2000-09-29
US09/832,933 US7292968B2 (en) 2000-09-29 2001-04-11 Hot carrier circuit reliability simulation
US09/969,185 US7567891B1 (en) 2000-09-29 2001-09-27 Hot-carrier device degradation modeling and extraction methodologies
US12/486,191 US20090299716A1 (en) 2000-09-29 2009-06-17 Hot-Carrier Device Degradation Modeling and Extraction Methodologies

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/969,185 Continuation US7567891B1 (en) 2000-09-29 2001-09-27 Hot-carrier device degradation modeling and extraction methodologies

Publications (1)

Publication Number Publication Date
US20090299716A1 true US20090299716A1 (en) 2009-12-03

Family

ID=40887337

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/969,185 Active 2025-05-18 US7567891B1 (en) 2000-09-29 2001-09-27 Hot-carrier device degradation modeling and extraction methodologies
US12/486,191 Abandoned US20090299716A1 (en) 2000-09-29 2009-06-17 Hot-Carrier Device Degradation Modeling and Extraction Methodologies

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/969,185 Active 2025-05-18 US7567891B1 (en) 2000-09-29 2001-09-27 Hot-carrier device degradation modeling and extraction methodologies

Country Status (1)

Country Link
US (2) US7567891B1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100114543A1 (en) * 2008-10-31 2010-05-06 Elpida Memory, Inc. Simulation method for transistor unsuitable for existing model
US20100250223A1 (en) * 2009-03-25 2010-09-30 Kabushiki Kaisha Toshiba Semiconductor circuit deterioration simulation method and computer program medium
US20110161910A1 (en) * 2009-12-24 2011-06-30 Fujitsu Semiconductor Limited Design support apparatus, method, and recording medium
US20110313735A1 (en) * 2010-06-18 2011-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") Circuit device reliability simulation system
US20120123745A1 (en) * 2010-11-16 2012-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive Content-aware Aging Simulations
US20140096094A1 (en) * 2012-10-03 2014-04-03 Lsi Corporation Breaking up long-channel field effect transistor into smaller segments for reliability modeling
US8713490B1 (en) * 2013-02-25 2014-04-29 International Business Machines Corporation Managing aging of silicon in an integrated circuit device
US9310424B2 (en) 2013-02-25 2016-04-12 International Business Machines Corporation Monitoring aging of silicon in an integrated circuit device
CN106533406A (en) * 2016-11-10 2017-03-22 中国电子产品可靠性与环境试验研究所 MOS tube parameter degradation circuit, test circuit and early warning circuit
TWI756678B (en) * 2020-03-16 2022-03-01 南亞科技股份有限公司 Method for aging simulation model establishment

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7292968B2 (en) * 2000-09-29 2007-11-06 Cadence Design Systems, Inc. Hot carrier circuit reliability simulation
US7567891B1 (en) * 2000-09-29 2009-07-28 Cadence Design Systems, Inc. Hot-carrier device degradation modeling and extraction methodologies
US8407634B1 (en) * 2005-12-01 2013-03-26 Synopsys Inc. Analysis of stress impact on transistor performance
US8099269B2 (en) * 2007-10-09 2012-01-17 Globalfoundries Inc. Two-step simulation methodology for aging simulations
JP5227141B2 (en) * 2008-11-20 2013-07-03 ルネサスエレクトロニクス株式会社 Model parameter extracting apparatus and model parameter extracting program for semiconductor device model
US8362794B2 (en) * 2009-07-23 2013-01-29 International Business Machines Corporation Method and system for assessing reliability of integrated circuit
US20130080135A1 (en) * 2011-09-28 2013-03-28 Usa As Represented By The Administrator Of The National Aeronautics And Space Administration System, tool and method for integrated circuit and component modeling
CN103310028B (en) * 2012-03-07 2017-08-15 飞思卡尔半导体公司 Consider the method for the integrated design circuit of device aging
US20130253868A1 (en) * 2012-03-23 2013-09-26 International Business Machines Corporation Estimating delay deterioration due to device degradation in integrated circuits
US8756559B2 (en) 2012-10-31 2014-06-17 Freescale Semiconductor, Inc. Systems and methods for determining aging damage for semiconductor devices
US9520292B2 (en) 2013-01-06 2016-12-13 Empire Technology Development Llc Aging-based leakage energy reduction method and system
US9058250B2 (en) 2013-07-23 2015-06-16 International Business Machines Corporation In-situ computing system failure avoidance
CN104849647A (en) * 2014-02-17 2015-08-19 飞思卡尔半导体公司 Method and device for simulating reliability aging of circuit
US9880892B2 (en) 2014-02-24 2018-01-30 International Business Machines Corporation System and method for managing semiconductor manufacturing defects
US9712054B2 (en) 2014-06-13 2017-07-18 Nxp Usa, Inc. Voltage and current limits for electronic device based on temperature range
US10394999B2 (en) * 2015-11-18 2019-08-27 International Business Machines Corporation Analysis of coupled noise for integrated circuit design
CN106227910B (en) * 2016-06-21 2019-09-03 广东科鉴检测工程技术有限公司 A kind of accelerated degradation test reliability estimation method based on gray system theory
US20220114317A1 (en) * 2020-10-13 2022-04-14 Samsung Electronics Co., Ltd. Systems, methods, and computer program products for transistor compact modeling using artificial neural networks

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5600844A (en) * 1991-09-20 1997-02-04 Shaw; Venson M. Single chip integrated circuit system architecture for document installation set computing
US5600578A (en) * 1993-08-02 1997-02-04 Advanced Micro Devices, Inc. Test method for predicting hot-carrier induced leakage over time in short-channel IGFETs and products designed in accordance with test results
US5761481A (en) * 1995-05-04 1998-06-02 Advanced Micro Devices, Inc. Semiconductor simulator tool for experimental N-channel transistor modeling
US5880967A (en) * 1995-05-01 1999-03-09 Synopsys, Inc. Minimization of circuit delay and power through transistor sizing
US6058496A (en) * 1997-10-21 2000-05-02 International Business Machines Corporation Self-timed AC CIO wrap method and apparatus
US6216099B1 (en) * 1997-09-05 2001-04-10 Advanced Micro Devices, Inc. Test system and methodology to improve stacked NAND gate based critical path performance and reliability
US6363515B1 (en) * 1997-12-30 2002-03-26 Intel Corporation Early power estimation tool for high performance electronic system design
US6414498B2 (en) * 1999-02-09 2002-07-02 Celestry Design Technologies, Inc. System, IC chip, on-chip test structure, and corresponding method for modeling one or more target interconnect capacitances
US6498515B2 (en) * 1999-05-19 2002-12-24 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit and method for designing the same
US6524872B1 (en) * 1999-05-24 2003-02-25 Agere Systems Inc. Using fast hot-carrier aging method for measuring plasma charging damage
US6532582B1 (en) * 2000-10-02 2003-03-11 Lsi Logic Corporation Method and apparatus for optimal critical netlist area selection
US7003755B2 (en) * 1997-09-17 2006-02-21 Synopsys Inc. User interface for a networked-based mask defect printability analysis system
US7292968B2 (en) * 2000-09-29 2007-11-06 Cadence Design Systems, Inc. Hot carrier circuit reliability simulation
US7567891B1 (en) * 2000-09-29 2009-07-28 Cadence Design Systems, Inc. Hot-carrier device degradation modeling and extraction methodologies

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3380054B2 (en) * 1994-08-19 2003-02-24 三菱電機株式会社 Method for simulating hot carrier deterioration of P-MOS transistor
US5533197A (en) 1994-10-21 1996-07-02 International Business Machines Corporation Method to assess electromigration and hot electron reliability for microprocessors
US5634001A (en) 1995-06-07 1997-05-27 International Business Machines Corporation Method to calculate hot-electron test voltage differential for assessing microprocessor reliability
JPH09330344A (en) 1996-06-10 1997-12-22 Mitsubishi Electric Corp Device and method for supporting designing of semiconductor device
TW346657B (en) 1996-08-29 1998-12-01 Matsushita Electron Co Ltd Simulation device for time degradation of LSI and simulation method thereof
TW440782B (en) 1996-12-11 2001-06-16 Matsushita Electric Ind Co Ltd Method for estimating hot carrier deterioration
US6278964B1 (en) 1998-05-29 2001-08-21 Matsushita Electric Industrial Co., Ltd. Hot carrier effect simulation for integrated circuits
JP3125870B2 (en) * 1998-07-06 2001-01-22 日本電気株式会社 Recording medium recording delay calculation method and delay value calculation program
US6530064B1 (en) * 1999-12-29 2003-03-04 Texas Instruments Incorporated Method and apparatus for predicting an operational lifetime of a transistor
US6795802B2 (en) * 2000-03-17 2004-09-21 Matsushita Electric Industrial Co., Ltd. Apparatus and method for calculating temporal deterioration margin amount of LSI, and LSI inspection method

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5600844A (en) * 1991-09-20 1997-02-04 Shaw; Venson M. Single chip integrated circuit system architecture for document installation set computing
US5600578A (en) * 1993-08-02 1997-02-04 Advanced Micro Devices, Inc. Test method for predicting hot-carrier induced leakage over time in short-channel IGFETs and products designed in accordance with test results
US6209122B1 (en) * 1995-05-01 2001-03-27 Synopsys, Inc. Minimization of circuit delay and power through transistor sizing
US5880967A (en) * 1995-05-01 1999-03-09 Synopsys, Inc. Minimization of circuit delay and power through transistor sizing
US5761481A (en) * 1995-05-04 1998-06-02 Advanced Micro Devices, Inc. Semiconductor simulator tool for experimental N-channel transistor modeling
US6216099B1 (en) * 1997-09-05 2001-04-10 Advanced Micro Devices, Inc. Test system and methodology to improve stacked NAND gate based critical path performance and reliability
US7003755B2 (en) * 1997-09-17 2006-02-21 Synopsys Inc. User interface for a networked-based mask defect printability analysis system
US6058496A (en) * 1997-10-21 2000-05-02 International Business Machines Corporation Self-timed AC CIO wrap method and apparatus
US6363515B1 (en) * 1997-12-30 2002-03-26 Intel Corporation Early power estimation tool for high performance electronic system design
US6414498B2 (en) * 1999-02-09 2002-07-02 Celestry Design Technologies, Inc. System, IC chip, on-chip test structure, and corresponding method for modeling one or more target interconnect capacitances
US6498515B2 (en) * 1999-05-19 2002-12-24 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit and method for designing the same
US6524872B1 (en) * 1999-05-24 2003-02-25 Agere Systems Inc. Using fast hot-carrier aging method for measuring plasma charging damage
US7292968B2 (en) * 2000-09-29 2007-11-06 Cadence Design Systems, Inc. Hot carrier circuit reliability simulation
US7567891B1 (en) * 2000-09-29 2009-07-28 Cadence Design Systems, Inc. Hot-carrier device degradation modeling and extraction methodologies
US6532582B1 (en) * 2000-10-02 2003-03-11 Lsi Logic Corporation Method and apparatus for optimal critical netlist area selection

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8285524B2 (en) * 2008-10-31 2012-10-09 Elpida Memory, Inc. Simulation method for transistor unsuitable for existing model
US20100114543A1 (en) * 2008-10-31 2010-05-06 Elpida Memory, Inc. Simulation method for transistor unsuitable for existing model
US20100250223A1 (en) * 2009-03-25 2010-09-30 Kabushiki Kaisha Toshiba Semiconductor circuit deterioration simulation method and computer program medium
US20110161910A1 (en) * 2009-12-24 2011-06-30 Fujitsu Semiconductor Limited Design support apparatus, method, and recording medium
US8386991B2 (en) * 2009-12-24 2013-02-26 Fujitsu Semiconductor Limited Support apparatus, method, and recording medium
US9141735B2 (en) * 2010-06-18 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit device reliability simulation system
US20110313735A1 (en) * 2010-06-18 2011-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") Circuit device reliability simulation system
US20120123745A1 (en) * 2010-11-16 2012-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive Content-aware Aging Simulations
US20140096094A1 (en) * 2012-10-03 2014-04-03 Lsi Corporation Breaking up long-channel field effect transistor into smaller segments for reliability modeling
US8875070B2 (en) * 2012-10-03 2014-10-28 Lsi Corporation Breaking up long-channel field effect transistor into smaller segments for reliability modeling
US8713490B1 (en) * 2013-02-25 2014-04-29 International Business Machines Corporation Managing aging of silicon in an integrated circuit device
US9310424B2 (en) 2013-02-25 2016-04-12 International Business Machines Corporation Monitoring aging of silicon in an integrated circuit device
CN106533406A (en) * 2016-11-10 2017-03-22 中国电子产品可靠性与环境试验研究所 MOS tube parameter degradation circuit, test circuit and early warning circuit
TWI756678B (en) * 2020-03-16 2022-03-01 南亞科技股份有限公司 Method for aging simulation model establishment
TWI763617B (en) * 2020-03-16 2022-05-01 南亞科技股份有限公司 Method for aging simulation model establishment
US11537768B2 (en) 2020-03-16 2022-12-27 Nanya Technology Corporation Method for aging simulation model establishment

Also Published As

Publication number Publication date
US7567891B1 (en) 2009-07-28

Similar Documents

Publication Publication Date Title
US20090299716A1 (en) Hot-Carrier Device Degradation Modeling and Extraction Methodologies
US7292968B2 (en) Hot carrier circuit reliability simulation
Sakurai et al. Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas
US7263477B2 (en) Method and apparatus for modeling devices having different geometries
US6604066B1 (en) Method and apparatus for calculating delay for logic circuit and method of calculating delay data for delay library
US7685543B2 (en) Simulation apparatus and simulation method used to design characteristics and circuits of semiconductor device, and semiconductor device fabrication method
JP3786657B2 (en) Simulation method and simulation apparatus
JP2004200461A5 (en)
JP2004200461A (en) Method for simulating semiconductor device characteristics, and semiconductor device characteristics simulator
US20220114317A1 (en) Systems, methods, and computer program products for transistor compact modeling using artificial neural networks
Huang A physical, scalable and efficient deep-submicrometer MOSFET model for VLSI digital/analog circuit simulation
US8849643B2 (en) Table-lookup-based models for yield analysis acceleration
US7983889B2 (en) Simulation method and simulation apparatus for LDMOSFET
US20100250223A1 (en) Semiconductor circuit deterioration simulation method and computer program medium
US7463988B2 (en) Parameter setting method and circuit operation testing method and electronic processing device
US8271254B2 (en) Simulation model of BT instability of transistor
Imam et al. Threshold voltage model for deep-submicron fully depleted SOI MOSFETs with back gate substrate induced surface potential effects
US20070233447A1 (en) Circuit simulation method and circuit simulation apparatus
Olivera et al. A computer-aided approach for voltage reference circuit design
US20150073738A1 (en) Determining process variation using device threshold sensitivites
JP2003188184A (en) Method for simulating semiconductor device, method for calculating deterioration degree, method for calculating deterioration parameter and method for designing semiconductor device
JP2005340340A (en) Semiconductor simulation apparatus and method therefor
Hu et al. BSIM-Bulk MOSFET Model for IC Design-Digital, Analog, RF and High-Voltage
Lahbib et al. Simulation and analysis of DC and RF performances degradation of NMOS transistors under hot carrier injection mechanism
Winton et al. A simple, continuous, analytical charge/capacitance model for the short-channel MOSFET

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION