US20100109049A1 - Patterned strained semiconductor substrate and device - Google Patents

Patterned strained semiconductor substrate and device Download PDF

Info

Publication number
US20100109049A1
US20100109049A1 US12/686,040 US68604010A US2010109049A1 US 20100109049 A1 US20100109049 A1 US 20100109049A1 US 68604010 A US68604010 A US 68604010A US 2010109049 A1 US2010109049 A1 US 2010109049A1
Authority
US
United States
Prior art keywords
strained
layer
relaxed
substrate
electrical device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/686,040
Other versions
US9053970B2 (en
Inventor
Kangguo Cheng
Ramachandra Divakaruni
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/686,040 priority Critical patent/US9053970B2/en
Publication of US20100109049A1 publication Critical patent/US20100109049A1/en
Application granted granted Critical
Publication of US9053970B2 publication Critical patent/US9053970B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to AURIGA INNOVATIONS, INC. reassignment AURIGA INNOVATIONS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AURIGA INNOVATIONS, INC.
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Definitions

  • the invention relates to methods and structures for manufacturing semiconductor devices having improved device performances, and more particularly, to methods and structures for forming patterns of strained and non-strained areas on a substrate.
  • eDRAM embedded Dynamic Random Access Memory
  • ASIC Application Specific Integrated Circuits
  • SoC system-on-chip
  • logic devices exhibit better performance when formed on a tensily strained silicon layer that is epitaxially grown on another epitaxially grown silicon germanium (SiGe) layer that has been relaxed.
  • a fully relaxed SiGe layer has a lattice constant which is larger than that of silicon.
  • the silicon layer conforms to the larger lattice constant of the relaxed SiGe layer and this applies physical biaxial stress to the silicon layer being formed thereon. This physical biaxial stress applied to the silicon layer increases the performance of logic devices formed in the strained silicon.
  • SiGe on silicon substrates relaxation in SiGe on silicon substrates occurs through the formation of misfit dislocations, which when equally spaced to relieve stress cause the substrate to be perfectly relaxed. Additionally, the misfit dislocations provide extra half-planes of silicon in the substrate. This allows the lattice constant in the SiGe layer to seek its intrinsic value. In this manner, the SiGe lattice constant grows larger as the mismatch strain across the SiGe/silicon interface is accommodated.
  • the problem with this approach is that it requires a very thick, multilayered SiGe layer. Additionally, the misfit dis-locations formed between the SiGe layer and the epitaxial silicon layer are random, highly non-uniform in density, and fairly uncontrollable due to heterogeneous nucleation that cannot be easily controlled. Consequently, the physical stress applied to the silicon layer is apt to be defective. At locations where misfit density is high, defects form in the strained silicon layer. These defects short device terminals and cause other leakage problems. For this reason, although the performance of logic devices is strengthened when the logic devices are formed in areas of strained silicon, the performance of defect-sensitive devices such as DRAM devices degrades when formed therein. The production yield is also compromised when the defect-sensitive devices are formed in the strained regions.
  • a method for forming an electrical device includes forming a pattern of strained material and non-strained (relaxed) material on a substrate.
  • the method further includes forming a strained device in the strained material.
  • the method yet further includes forming a non-strained device in the non-strained material.
  • another method for forming an electrical device includes forming a buffer layer in contact with a portion of a substrate.
  • the buffer layer has a lattice constant/structure mismatch with the substrate.
  • the method also includes forming a relaxed layer on the buffer layer.
  • the method further includes forming a strained material on a top surface of the relaxed layer.
  • the relaxed layer places the strained material in one of a tensile or a compressive state.
  • the method yet further includes patterning a non-strained (relaxed) material proximate the strained material.
  • an electrical device in still another aspect of the invention, includes a substrate.
  • the device further includes a pattern of strained material and relaxed material formed on the substrate.
  • the device yet further includes a strained device formed in the strained material.
  • the device still further includes a non-strained device formed in the relaxed material.
  • the electrical device includes a buffer layer formed in contact with a portion of a substrate.
  • the buffer layer has a lattice constant/structure mismatch with the substrate.
  • the device further includes a relaxed layer formed on the buffer layer.
  • the device also includes a strained material formed on a top surface of the relaxed layer. The relaxed layer places the strained material in one of a tensile or a compressive state.
  • the device still further includes a non-strained material patterned proximate the strained material.
  • FIGS. 1-4 show fabricating steps of manufacturing an electrical device according to a first embodiment of the invention
  • FIG. 5 shows a final structure of an electrical device according to a first embodiment of the invention
  • FIGS. 6-10 show fabricating steps of manufacturing an electrical device according to a second embodiment of the invention.
  • FIG. 11 shows a final structure of an electrical device according to a second embodiment of the invention.
  • FIGS. 12-15 show fabricating steps of manufacturing an electrical device according to a third embodiment of the invention.
  • FIG. 16 shows a final structure of an electrical device according to a third embodiment of the invention.
  • FIGS. 17-21 show fabricating steps of manufacturing an electrical device according to a fourth embodiment of the invention.
  • FIG. 22 shows a final structure of an electrical device according to a fourth embodiment of the invention.
  • FIGS. 23-26 show fabricating steps of manufacturing an electrical device according to a fifth embodiment of the invention.
  • FIG. 27 shows a final structure of an electrical device according to a fifth embodiment of the invention.
  • FIGS. 28-31 show fabricating steps of manufacturing an electrical device according to a sixth embodiment of the invention.
  • FIG. 32 shows a final structure of an electrical device according to a sixth embodiment of the invention.
  • FIG. 33 is a cross-sectional view of an electrical device according to a seventh embodiment of the invention that is formed using a combination of the methods and materials shown in FIGS. 1-32 ;
  • FIG. 34 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 1-5 ;
  • FIG. 35 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 6-11 ;
  • FIG. 36 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 12-16 ;
  • FIG. 37 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 17-22 ;
  • FIG. 38 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 23-27 ;
  • FIG. 39 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 28-32 .
  • the invention is directed to an electrical, digital, semiconductor, or other device having a substrate on which a pattern of strained and non-strained (i.e., relaxed) materials are formed.
  • the strained material may be placed in tension or compression due to a lattice constant/structure difference with an underlying layer of relaxed material.
  • the relaxed material is formed on a buffer layer, which contacts a portion of the substrate.
  • a material forming the buffer layer varies in concentration throughout the layer, and has a lattice constant/structure mismatch with the material that forms the substrate. Because the material forming the buffer layer increases in concentration the further the buffer layer extends from the substrate, defects normally caused by the lattice mis-match are virtually eliminated.
  • the formation of the relaxed layer on the buffer layer further reduces and/or eliminates defects to such an extent that the strained material is virtually free of defects.
  • the drastic reduction or elimination of defects in the strained material allows electronic or digital devices formed therein to operate very fast and very efficiently. It also allows devices such as Dynamic Random Access Memory (DRAM) to be formed in an adjacent relaxed material because such devices are normally very sensitive to defects.
  • DRAM Dynamic Random Access Memory
  • Electrode refers to an electrical, electro-mechanical, semiconductor, digital, or similar device.
  • Illustrative types of electrical devices include, but are not limited to, transistors, capacitors, resistors, logic devices, memory devices, computer processors, traces, vias, semi-conductor wafer, computer chip, application specific integrated circuit (ASIC), system-on-chip (SoC), and the like.
  • the electrical device 100 includes a substrate 101 covered with a pad layer 103 .
  • the substrate 101 is formed of any suitable material, for example, silicon (Si).
  • the substrate has a semiconductor-on-insulator type structure, e.g., a silicon-on-insulator (SOI) substrate.
  • SOI silicon-on-insulator
  • the thickness of the substrate approximates that of a standard semiconductor wafer known in the art.
  • the material forming the pad layer 103 will vary depending on the type of manufacturing process used.
  • Exemplary pad layer materials include, but are not limited to, silicon nitride and/or silicon oxide. Persons skilled in the art, however, will readily understand additional types of materials that can be used to form the pad layer.
  • the pad layer has an overall thickness of about 0.2 microns when it is desired to form a recess that is approximately 2.0 micron deep. This exemplary thickness may be applied to all embodiments herein described.
  • the substrate 101 is shown having a recess 105 formed therein formed using reactive ion etching or dry etching processes.
  • the exact width of recess 105 is not critical, but the depth is formed in the range of about 1.0 micron to about 3.0 microns deep. An exemplary width is about 100 microns.
  • an insulating layer 107 formed of an oxide or nitride material is conformally deposited on the sidewalls and bottom 109 of the recess 105 using any suitable deposition or growth process known in the art.
  • the insulating layer is formed to be in the range of approximately 10 Angstroms to about 100 Angstroms thick.
  • This exemplary measurement may be applied to all embodiments described herein.
  • lateral, but not vertical, portions thereof are removed from the recess using anisotropic etching such as reactive ion etching (RIE). That is, the portion of the insulating layer 107 formed on the recess bottom 109 is removed; however the insulating layer formed on the recess sidewalls remains thereon.
  • RIE reactive ion etching
  • the insulating layer 107 is also formed on the interior exposed edges of the pad layer, as shown.
  • a buffer layer 113 forms a lattice constant/structure mismatch 121 with the substrate 101 and functions to constrain most of the dislocations caused by the mismatch.
  • the buffer layer may have an overall thickness from less than about 0.5 microns to more than about 2.0 microns.
  • a relaxed layer 111 is formed on the buffer layer and remains relatively defect free.
  • the overall thickness of the relaxed layer 111 may be about 0.2 microns.
  • the buffer layer 113 and the relaxed layer 111 are epitaxially grown in the recess 105 , within the confines of the insulating layer 107 .
  • Buffer layer 113 is formed first, then the relaxed layer 111 .
  • the buffer layer 113 growth process starts from the recess bottom 109 and works upwards, layer after layer, until an overall thickness of approximately 0.5 micron to approximately 2.0 micron is reached.
  • silicon germanium (SiGe) is used to form the buffer layer 113 and the relaxed layer 111 in order to subsequently form a semiconductor layer such as silicon atop of the relaxed layer 111 with a tensile stress.
  • silicon carbon (SiC) may be used to provide a compressive strain in the subsequently formed silicon layer.
  • the buffer layer 113 and the relaxed layer 111 may be deposited or grown using conventional techniques such as chemical vapor deposition methods.
  • UHVCVD ultrahigh vacuum chemical vapor deposition
  • Other conventional techniques include rapid thermal chemical vapor deposition (RTCVD), low pressure chemical vapor deposition (LPCVD), limited reaction processing CVD (LRPCVD) and molecular beam epitaxy (MBE).
  • RTCVD rapid thermal chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • LPCVD limited reaction processing CVD
  • MBE molecular beam epitaxy
  • a thin silicon buffer layer (not shown) may be formed on the interior walls of the recess 105 before SiGe or SiC formation.
  • the multi-layered buffer layer 113 is constructed in such a fashion that a concentration of a material (Ge, for example) incrementally increases from a base concentration 119 proximate the bottom of the recess to a benchmark concentration 117 proximate a top surface of the buffer layer.
  • This incremental increase in concentration may be in any stepped fashion, such as for example, by 10% for each new deposition or grown layer. However, any percentage increase may be used depending on the desired applications and requested costs.
  • the concentration of Ge can range from a base concentration of less than about 1% to a benchmark concentration of 100%. However, for cost and other reasons, a benchmark concentration of about 40% may be used.
  • the second base concentration 115 of a material used to form the relaxed layer 111 i.e., Ge if SiGe is used
  • the pad layer is removed, and a layer of material (such as, but not limited to, Si) is epitaxially grown within and without the confines of the insulating layer 107 to formed relaxed material 123 and strained material 125 .
  • Material 123 is described as relaxed (or non-strained) because its lattice constant approximately equals the lattice constant of the substrate 101 .
  • Material 125 is described as strained because its lattice constant differs from the lattice constant of a material used to form the relaxed layer 111 . Consequently, a lattice mismatch 127 occurs at the interface between the strained material 125 and the buffer layer 113 .
  • strained material 125 may be placed in one of a tensile or a compressive state.
  • strained material 125 is tensily strained when it is formed of Si and the relaxed layer is formed of SiGe.
  • the strained material 125 is compressively strained when it is formed of Si and the relaxed layer 111 is formed of SiC.
  • any two different semiconductor materials may be used, because the different lattice structure/constants of each material will exert either a compressive or tensile strain.
  • the strained material 125 and the relaxed material 123 each have an overall thickness from less than about 20 nanometers to more than about 100 nanometers. These exemplary thicknesses may be used in various embodiments herein described.
  • a strained device 129 and a non-strained device 131 are formed in the strained material 125 and in relaxed material 123 , respectively.
  • strained material 129 is a logic device or a first transistor; and non-strained device 131 is DRAM or a second transistor.
  • FIGS. 6-11 Alternate embodiments and methods of manufacture will now be described with reference to FIGS. 6-11 . Because the materials, etching methods, epitaxial growth methods, and deposition methods used to form the embodiments of FIGS. 6-11 are the same as those described above, these figures will be described in less detail in order not to unnecessarily obscure aspects of the invention.
  • FIG. 6 a cross-section of an electrical device 100 is shown.
  • the device 100 includes a substrate 101 covered by a pad layer 103 .
  • a recess 105 is etched through the pad layer 103 and into the substrate 101 to a pre-determined depth, as described above.
  • an oxide or nitride insulating layer 107 is conformally coated on the interior of the recess 105 .
  • the bottom portion of the insulating layer 107 is then removed, leaving the portions adhered to the recess sidewalls virtually intact.
  • FIG. 8 depicts the formation of the buffer layer 113 and the relaxed layer 111 in the recess 105 , within the confines of the insulating layer 107 .
  • a material forming the buffer layer varies in concentration from a base concentration 119 to a benchmark concentration 117 .
  • a second base concentration 115 of a material forming the relaxed layer 111 is chosen to approximately match the benchmark concentration 117 of the buffer layer 113 .
  • the buffer layer 113 functions to contain dislocations caused by the lattice mismatch 121 .
  • FIG. 9 illustrates the discrete and selective formation of a strained material 125 in the recess 105 , within the confines of the insulating layer 107 , and on top of the relaxed layer 111 .
  • the type of material used to form the relaxed layer 111 determines whether a tensile or compressive force is applied to the strained material 125 .
  • FIG. 10 depicts removal of the pad layer 103 and subsequent planarization of the substrate 101 .
  • This Figure also illustrates the lattice mismatch 127 between the strained material 125 and the relaxed layer 111 .
  • the type of process used to remove the pad layer depends on the type of material used to form such layers. For example, if silicon nitride is used as the pad layer, then a wet etch using hot phosphoric (H 3 PO 4 ) may be used.
  • the type of planarization method used may be any suitable planarization technique. For example, in one embodiment, chemical mechanical polishing (CMP) may be used. In another embodiment, a high temperature reflow process with the presence of hydrogen may be used.
  • CMP chemical mechanical polishing
  • a high temperature reflow process with the presence of hydrogen may be used.
  • FIG. 11 shows the formation of electrical devices 129 and 131 in the strained material 125 and in the non-strained regions of the substrate 101 .
  • portions of the substrate 101 that are outside the confines of the insulating layer 107 form the relaxed material 123 shown in FIG. 4 .
  • FIG. 12 illustrates a cross-sectional view of an electrical device 100 (i.e., a silicon wafer), which includes a substrate 101 on which are formed, in ascending order, buffer layer 113 , relaxed layer 111 , and strained material 125 .
  • This Figure also illustrates the lattice mismatch 121 formed between the substrate 101 and a lower surface of the buffer layer 113 , and the lattice mismatch 127 formed between the relaxed layer 111 and the strained material 125 .
  • These layers can be grown or deposited in any known manner, with the buffer layer 113 having, in one embodiment, a higher concentration of material closest to the strained layer and gradually decreasing in concentration. This will eliminate or reduce formation of defects in the end product.
  • FIG. 13 depicts the formation of a recess 105 that extends through the pad layer 103 , the strained material 125 , the relaxed layer 111 , and the buffer layer 113 , but which has as its bottom a portion of the top surface of the substrate 101 .
  • FIG. 14 depicts the formation of insulating layer 107 on the sidewalls of the recess 105 .
  • the insulating layer 107 is formed by deposition or growth process followed by an etching process, as previously described.
  • FIG. 15 shows a relaxed material (for example, Si) which is selectively and epitaxially grown in the recess within the confines of the insulating material to completely fill the recess. Thereafter, the pad layer is removed, and the substrate is planarized such that the exposed surfaces of the strained material 125 , insulating material, and relaxed material 123 are approximately level. In this embodiment, the strained material 125 is outside, while the relaxed material 123 is within the confines of the insulating material 107 . That is, the relaxed material is formed within the recess.
  • a relaxed material for example, Si
  • strained device 129 is located outside the confines of the insulating material, and the non-strained device is located within those confines.
  • a fourth embodiment is shown with respect to FIGS. 17 22 .
  • a cross-sectional view of an electrical device 100 in accordance with the fourth embodiment is shown in FIG. 17 .
  • the device 100 includes a substrate 101 on which a buffer layer 113 of SiGe is formed. In an alternate embodiment, SiC can also be formed.
  • a relaxed layer 111 also formed of SiGe (or alternatively SiC), covers the top surface of the buffer layer.
  • the lattice mismatch 121 between the buffer layer and the silicon substrate 101 is in the illustrative range of 2% or less. This means that the lattice constant of the lowest SiGe buffer layer differs from the lattice constant of the silicon substrate by about 2% or less. This same percentage may also be applicable for any of the embodiments disclosed herein.
  • FIG. 18 illustrates the formation of a recess 105 that extends through the pad layer 103 , through the relaxed layer 111 , and through the buffer layer 113 to expose a top surface of the silicon substrate 101 .
  • FIG. 19 depicts the formation of an insulating layer 107 on the sidewalls of the recess 105 and the formation of the relaxed material 123 in the recess, as previously described.
  • the pad layer has been removed, and the top surface of relaxed layer 111 , insulating layer 107 , and relaxed material 123 have been planarized. Thereafter, as shown in FIG. 21 , a layer of silicon is epitaxially grown to cover the entire planarized surface.
  • the lattice mismatch between the relaxed layer and the silicon layer places a tensile or compressive strain on the silicon, thereby creating strained material 125 .
  • a relaxed (non-strained material) 124 is created within the confines of the recess 105 .
  • the insulating layer 107 does not separate the strained material 125 from the second relaxed material 124 , the lateral strain between the strained material 125 and non-strained materials 124 is minimal compared to the strain imposed by the strain imposed by the relaxed layer 111 .
  • FIG. 22 illustrates the formation of a strained device 129 in the strained material 125 , and the formation of a non-strained device 131 in the relaxed material 124 .
  • the strained device 129 may be a logic device, and the non-strained device may be a DRAM.
  • other electrical devices such as transistors and capacitors, may also be used.
  • FIGS. 23-27 are cross-sectional views of an electrical device 100 that illustrate the formation of a strained material 125 using doped silicon on a substrate 101 .
  • a pad layer 103 is formed on a silicon substrate 101 .
  • a recess 105 is etched through the pad layer and into the substrate 101 to an exemplary depth of approximately 0.05 or 1 microns, as measured from a top surface of the substrate 101 .
  • an optional insulating layer 107 formed of an oxide or a nitride material, is formed on the sidewalls of and bottom of the recess 105 using chemical vapor deposition or other known processes.
  • a strained material 125 is epitaxially grown in the recess within the confines of the insulating material 107 until a top surface of the strained material approximately matches a top surface of the substrate 101 .
  • the strained layer 125 has a thickness less than the so-called “critical thickness”.
  • the critical thickness is defined as the maximum thickness of the strained layer below which virtually no defects are generated.
  • the strained material 125 is carbon-doped silicon.
  • a compressive-strained layer may be formed by forming a germanium-doped silicon layer on silicon substrate.
  • FIG. 26 shows that the pad layer 103 has been etched away using either a dry or wet etch, as previously described, and that the top surface of the substrate 101 is planarized to be approximately level with the top surfaces of strained material 125 , insulating layer 107 and the substrate 101 .
  • strained material 125 is selectively formed in the recess 105 and separated from the non-strained areas 126 of the substrate 101 by the insulating layer 107 .
  • a strained device 129 such as a logic device, is formed in the strained material 125 ; and a non-strained device 131 is formed in the non strained area 126 of the substrate 101 .
  • FIGS. 28-32 are cross-sectional views of an electrical device 100 that illustrate another formation of a strained material 125 using doped silicon on a silicon substrate 101 .
  • a silicon substrate 101 is prepared for processing.
  • a carbon-doped strained material 125 is epitaxially grown on a top surface of the substrate 101 .
  • the strained layer 125 has a thickness less than the so-called “critical thickness”. The critical thickness is defined as the maximum thick of the strained layer below which there is virtually no defects is generated.
  • the strained material 125 is carbon-doped silicon.
  • a compressive-strained layer may be formed by forming a germanium-doped silicon layer on silicon substrate.
  • a patterned pad layer 103 is formed on the strained material 125 . Areas of the non-strained silicon substrate are exposed by using an etching process to remove areas of strained doped material 125 that are not covered by the pad layer 103 .
  • a non-strained (relaxed) material 123 is epitaxially grown on the exposed areas of the substrate 101 to approximately the same height of the strained layer 125 to form a substantially planar top surface.
  • Epitaxially growing the non-strained material 123 is optional, since the strained material 125 , in this embodiment, is very thin (e.g., less than about 100 nanometers).
  • the pad layer 103 is etched away and a strained device 129 is formed in the strained material 125 .
  • a non-strained device 131 is formed in the relaxed material 123 .
  • the non-strained device 131 is formed in a non-strained area of the substrate 101 .
  • permitting the strained material 125 to contact the adjacent relaxed material 123 usually does not pose problems because the lateral strain experienced by both materials is significantly less than the strain created by the doped semiconductor material that forms the strained material 125 .
  • the strained material 125 is carbon-doped silicon.
  • other doped semiconductor materials may be used.
  • a compressive-strained layer may be formed by forming a germanium-doped silicon layer on silicon substrate.
  • FIG. 33 is a cross-sectional view illustrating an electrical device 100 having tensile-strained, compressive-strained, and non-strained materials 123 , 124 , and 123 , respectively. As shown, each of these materials is formed on a surface of a substrate 101 using any combination of the techniques discussed above. The lateral strain experienced at junctions 133 is minimal compared to the vertical strain exerted by the lattice mismatches 127 A and 127 B, respectively. Alternatively, these layers may also be separated by insulating materials.
  • the tensile strained material 125 A is a carbon-doped silicon layer formed on silicon and the compressive strained material 125 B is a germanium-doped silicon layer formed on silicon.
  • the tensile strained material 125 A is a silicon layer formed on the SiGe buffer layer(s) (not shown) and the compressive strained material 125 B is a silicon layer formed on SiC buffer layer(s) (not shown).
  • the relaxed material 123 may also be a relaxed top surface of the substrate 101 , as previously illustrated and described with reference to FIGS. 31 and 32 . Although illustratively shown that these layers have the same thickness, their thicknesses may not necessary be the same.
  • FIGS. 1-33 can equally represent methods of manufacture.
  • FIGS. 34-39 show various methods for manufacturing the apparatus according to various aspects of the invention. Although herein described with reference to sequential reference numerals, the steps of each method may be performed in any order. The removing of layers to form a recess, forming layers and other processes may be provided by any known method of fabrication. For example, illustrative manufacturing processes include, but are not limited to, chemical vapor deposition, ultra-high vacuum chemical vapor deposition, and reactive ion etching (RIE), electrolytic etching, plasma etching, dry etching, and the like.
  • RIE reactive ion etching
  • Ion etching is a process of removing unwanted material by selectively bombarding an area or areas of a solid or liquid substance with energetic ionized particles. Often used in the manufacture of microelectronics, plasma etching creates reactive species in a plasma and then uses the reactive species to selectively remove unwanted material.
  • FIG. 34 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100 , according to one embodiment of the invention.
  • a recess is patterned and formed in a substrate covered by a pad layer.
  • an insulating layer is optionally formed on the sidewalls and bottom of the recess.
  • a portion of the insulating layer is removed from the bottom of the recess to expose a portion of the substrate.
  • a buffer layer is formed in the recess within the confines of the insulating layer, the buffer layer having a lattice constant/structure mismatch with the substrate.
  • the concentration of a material forming the buffer layer is increased as the buffer layer is formed from a base concentration to a benchmark concentration.
  • a relaxed layer is formed on the buffer layer.
  • the pad layer is stripped.
  • a strained material is formed on the relaxed layer within the confines of the insulating layer, and a non-strained material is formed on a portion of the substrate outside the confines of the insulating layer.
  • a strained device is formed in the strained material.
  • a non-strained device is formed in the relaxed material.
  • a material forming the relaxed layer has a second base concentration proximate a bottom surface thereof that approximately equals the benchmark concentration proximate a top surface of the buffer layer.
  • FIG. 35 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100 , according to one embodiment of the invention.
  • a recess is patterned and formed in a substrate covered by a pad layer.
  • an insulating layer is formed on the sidewalls and bottom of the recess.
  • a portion of the insulating layer is removed from the bottom of the recess to expose a portion of the substrate.
  • a buffer layer is formed in the recess within the confines of the insulating layer, the buffer layer having a lattice constant/structure mismatch with the substrate.
  • the concentration of a material forming the buffer layer is increased as the buffer layer is formed, from a base concentration to a benchmark concentration.
  • a relaxed layer is formed on the buffer layer.
  • a strained material is formed on the relaxed layer in the recess within the confines of the insulating layer.
  • the pad layer is stripped.
  • the substrate is planarized.
  • a strained device is formed in the strained material.
  • a non-strained device is formed in the relaxed material.
  • a material forming the relaxed layer has a second base concentration proximate a bottom surface thereof that approximately equals the benchmark concentration proximate a top surface of the buffer layer.
  • FIG. 36 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100 , according to one embodiment of the invention.
  • a pad layer is formed on a strained material.
  • a recess is patterned and formed through the strained material, through a relaxed layer previously formed proximate thereto, and through a buffer layer previously formed proximate to the relaxed layer and in contact with a substrate.
  • an insulating layer is formed on the sidewalls and bottom of the recess.
  • the insulating layer is removed from the bottom of the recess.
  • a relaxed material is formed in the recess within the confines of the insulating material.
  • the pad layer is stripped.
  • the substrate is planarized.
  • a strained device is formed in the strained material.
  • a non-strained device is formed in the relaxed material.
  • FIG. 37 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100 , according to one embodiment of the invention.
  • a pad layer is patterned and formed on a relaxed layer previously formed on a buffer layer, the buffer layer being previously formed on a substrate.
  • a recess is formed through the relaxed layer and the buffer layer.
  • an insulating layer is formed on the sidewalls and bottom of the recess.
  • a portion of the insulating layer is removed from the bottom of the recess to expose a portion of the substrate.
  • a relaxed material in the recess within the confines of the insulating material At step 3711 the pad layer is stripped.
  • the substrate is planarized.
  • a strained material is formed on the relaxed layer outside the confines of the insulating layer.
  • a relaxed material is formed in the recess within the confines of the insulating layer.
  • a strained device is formed in the strained material.
  • a non-strained device is formed in the relaxed material.
  • FIG. 38 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100 , according to one embodiment of the invention.
  • a recess is patterned and formed in a substrate covered by a pad layer.
  • an insulating layer is formed on the sidewalls and bottom of the recess.
  • a strained material is selectively and epitaxially grown in the recess within the confines of the insulating layer.
  • the pad layer is stripped.
  • a strained device is formed in the strained material.
  • a non-strained device is formed in a relaxed area of the substrate outside the confines of the insulating layer.
  • the strained material may be a carbon-doped material, such as, but not limited to, carbon-doped silicon.
  • the strained material may be a germanium-doped material, such as, not limited to, germanium-doped silicon.
  • FIG. 39 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100 , according to one embodiment of the invention.
  • a strained material is formed on a substrate.
  • a pad layer is formed on the strained material.
  • selective areas of the strained material are removed to expose corresponding portions of the substrate.
  • a relaxed material is optionally grown on the exposed substrate to approximately the same height as the strained layer.
  • the pad layer is stripped.
  • a strained device is formed in the strained material.
  • a non-strained device is formed in the relaxed material.
  • the strained material may be a carbon-doped material, such as, but not limited to, carbon-doped silicon.
  • FIGS. 1-22 Although embodiments of the invention have been illustrated in FIGS. 1-22 as fusing SiGe to form a tensile-strained material 125 , it will be appreciated that other materials, such as SiC, may be substituted for SiGe, where it is desired to form a compressive-strained material 125 . Additionally, a tensile-strained material 125 may be formed by epitaxially growing carbon-doped silicon on a silicon substrate. Other materials such as gallium phosphorus, gallium arsenic and the like, may also be substituted for SiGe, depending on desired applications and requested costs.
  • an electrical device formed in accordance with an embodiment of the invention may have a non-strained (relaxed) material 123 , 124 , 126 patterned proximate a strained material 125 , 125 A and 125 B, as illustratively shown and described with respect to FIGS. 4 , 15 , 21 , 26 , 31 and 33 .

Abstract

A device that includes a pattern of strained material and relaxed material on a substrate, a strained device in the strained material, and a non-strained device in the relaxed material. The strained material may be silicon (Si) in either a tensile or compressive state, and the relaxed material is Si in a normal state. A buffer layer of silicon germanium (SiGe), silicon carbon (SiC), or similar material is formed on the substrate and has a lattice constant/structure mis-match with the substrate. A relaxed layer of SiGe, SiC, or similar material is formed on the buffer layer and places the strained material in the tensile or compressive state. Carbon-doped silicon or germanium-doped silicon may be used to form the strained material. The structure includes a multi-layered substrate having strained and non-strained materials patterned thereon.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 11/931,836, filed Oct. 31, 2007, which is a divisional of U.S. patent application Ser. No. 10/710,608, filed Jul. 23, 2004, now U.S. Pat. No. 7,384,829, the disclosures of which are expressly incorporated by reference herein in their entirety.
  • BACKGROUND OF INVENTION
  • 1. Field of the Invention
  • The invention relates to methods and structures for manufacturing semiconductor devices having improved device performances, and more particularly, to methods and structures for forming patterns of strained and non-strained areas on a substrate.
  • 2. Background Description
  • Emerging technologies, such as embedded Dynamic Random Access Memory (eDRAM), Application Specific Integrated Circuits (ASIC), and system-on-chip (SoC), require the combination of high-performance logic devices and memory devices on the same chip. It is also desired to have digital circuits and analog circuits on the same chip for some applications. It has been shown that logic devices exhibit better performance when formed on a tensily strained silicon layer that is epitaxially grown on another epitaxially grown silicon germanium (SiGe) layer that has been relaxed.
  • A fully relaxed SiGe layer has a lattice constant which is larger than that of silicon. Thus, when the silicon layer is epitaxially grown thereon, the silicon layer conforms to the larger lattice constant of the relaxed SiGe layer and this applies physical biaxial stress to the silicon layer being formed thereon. This physical biaxial stress applied to the silicon layer increases the performance of logic devices formed in the strained silicon.
  • Relaxation in SiGe on silicon substrates occurs through the formation of misfit dislocations, which when equally spaced to relieve stress cause the substrate to be perfectly relaxed. Additionally, the misfit dislocations provide extra half-planes of silicon in the substrate. This allows the lattice constant in the SiGe layer to seek its intrinsic value. In this manner, the SiGe lattice constant grows larger as the mismatch strain across the SiGe/silicon interface is accommodated.
  • The problem with this approach is that it requires a very thick, multilayered SiGe layer. Additionally, the misfit dis-locations formed between the SiGe layer and the epitaxial silicon layer are random, highly non-uniform in density, and fairly uncontrollable due to heterogeneous nucleation that cannot be easily controlled. Consequently, the physical stress applied to the silicon layer is apt to be defective. At locations where misfit density is high, defects form in the strained silicon layer. These defects short device terminals and cause other leakage problems. For this reason, although the performance of logic devices is strengthened when the logic devices are formed in areas of strained silicon, the performance of defect-sensitive devices such as DRAM devices degrades when formed therein. The production yield is also compromised when the defect-sensitive devices are formed in the strained regions. Thus a need exists for a method of (and a substrate for) manufacturing strained and non-strained silicon regions on the same chip so that high-performance logic devices can be made in the strained silicon regions and high quality, defect-sensitive devices can be made in the non-strained regions.
  • SUMMARY OF INVENTION
  • In one aspect of the invention, a method for forming an electrical device is provided. The method includes forming a pattern of strained material and non-strained (relaxed) material on a substrate. The method further includes forming a strained device in the strained material. The method yet further includes forming a non-strained device in the non-strained material.
  • In another aspect of the invention, another method for forming an electrical device is provided. The method includes forming a buffer layer in contact with a portion of a substrate. The buffer layer has a lattice constant/structure mismatch with the substrate. The method also includes forming a relaxed layer on the buffer layer. The method further includes forming a strained material on a top surface of the relaxed layer. The relaxed layer places the strained material in one of a tensile or a compressive state. The method yet further includes patterning a non-strained (relaxed) material proximate the strained material.
  • In still another aspect of the invention, an electrical device is provided. The device includes a substrate. The device further includes a pattern of strained material and relaxed material formed on the substrate. The device yet further includes a strained device formed in the strained material. The device still further includes a non-strained device formed in the relaxed material.
  • In yet another aspect of the invention, another electrical device is provided. The electrical device includes a buffer layer formed in contact with a portion of a substrate. The buffer layer has a lattice constant/structure mismatch with the substrate. The device further includes a relaxed layer formed on the buffer layer. The device also includes a strained material formed on a top surface of the relaxed layer. The relaxed layer places the strained material in one of a tensile or a compressive state. The device still further includes a non-strained material patterned proximate the strained material.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIGS. 1-4 show fabricating steps of manufacturing an electrical device according to a first embodiment of the invention;
  • FIG. 5 shows a final structure of an electrical device according to a first embodiment of the invention;
  • FIGS. 6-10 show fabricating steps of manufacturing an electrical device according to a second embodiment of the invention;
  • FIG. 11 shows a final structure of an electrical device according to a second embodiment of the invention;
  • FIGS. 12-15 show fabricating steps of manufacturing an electrical device according to a third embodiment of the invention;
  • FIG. 16 shows a final structure of an electrical device according to a third embodiment of the invention;
  • FIGS. 17-21 show fabricating steps of manufacturing an electrical device according to a fourth embodiment of the invention;
  • FIG. 22 shows a final structure of an electrical device according to a fourth embodiment of the invention;
  • FIGS. 23-26 show fabricating steps of manufacturing an electrical device according to a fifth embodiment of the invention;
  • FIG. 27 shows a final structure of an electrical device according to a fifth embodiment of the invention;
  • FIGS. 28-31 show fabricating steps of manufacturing an electrical device according to a sixth embodiment of the invention;
  • FIG. 32 shows a final structure of an electrical device according to a sixth embodiment of the invention;
  • FIG. 33 is a cross-sectional view of an electrical device according to a seventh embodiment of the invention that is formed using a combination of the methods and materials shown in FIGS. 1-32;
  • FIG. 34 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 1-5;
  • FIG. 35 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 6-11;
  • FIG. 36 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 12-16;
  • FIG. 37 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 17-22;
  • FIG. 38 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 23-27; and
  • FIG. 39 is a flowchart representing fabricating steps of manufacturing the electrical device shown in FIGS. 28-32.
  • DETAILED DESCRIPTION
  • The invention is directed to an electrical, digital, semiconductor, or other device having a substrate on which a pattern of strained and non-strained (i.e., relaxed) materials are formed. The strained material may be placed in tension or compression due to a lattice constant/structure difference with an underlying layer of relaxed material. In turn, the relaxed material is formed on a buffer layer, which contacts a portion of the substrate.
  • A material forming the buffer layer varies in concentration throughout the layer, and has a lattice constant/structure mismatch with the material that forms the substrate. Because the material forming the buffer layer increases in concentration the further the buffer layer extends from the substrate, defects normally caused by the lattice mis-match are virtually eliminated. The formation of the relaxed layer on the buffer layer further reduces and/or eliminates defects to such an extent that the strained material is virtually free of defects. The drastic reduction or elimination of defects in the strained material allows electronic or digital devices formed therein to operate very fast and very efficiently. It also allows devices such as Dynamic Random Access Memory (DRAM) to be formed in an adjacent relaxed material because such devices are normally very sensitive to defects. Thus, embodiments of the invention permit the forming of strained logic devices and non-strained memory devices side by side on the same substrate.
  • Referring now to FIGS. 1-5, there is shown a cross-section of a portion of an electrical device 100. “Electrical device” refers to an electrical, electro-mechanical, semiconductor, digital, or similar device. Illustrative types of electrical devices include, but are not limited to, transistors, capacitors, resistors, logic devices, memory devices, computer processors, traces, vias, semi-conductor wafer, computer chip, application specific integrated circuit (ASIC), system-on-chip (SoC), and the like. As shown in FIG. 1, the electrical device 100 includes a substrate 101 covered with a pad layer 103.
  • The substrate 101 is formed of any suitable material, for example, silicon (Si). Other suitable alternative types of substrates include germanium (Ge), silicon germanium (SiGe), silicon carbide (SiC), and those consisting essentially of one or more compound semiconductors having a composition defined by the formula AlX1GaX2InX3AsY1PY2NY3SbY4, where X1, X2, X3, Y1, Y2, Y3, and Y4 represent relative proportions, each greater than or equal to zero and X1+X2+X3+Y1+Y2+Y3+Y4=1 (1 being the total relative mole quantity). Other suitable substrates have a composition ZnA1 CdA2 SeB1 TeB2, where A1, A2, B1, and B2 are relative proportions each greater than or equal to zero and A1+A2+B1+B2=1 (1 being a total mole quantity). Alternatively, the substrate has a semiconductor-on-insulator type structure, e.g., a silicon-on-insulator (SOI) substrate. In one embodiment, the thickness of the substrate approximates that of a standard semiconductor wafer known in the art.
  • The pad layer 103 acts to prevent the layers which are directly beneath it from being removed by any of the subsequent processes. By selectively patterning openings in the pad layer, recesses can be formed through all or portions of the underlying substrate layers, as discussed below. Additionally, use of the pad layer permits the epitaxial growth (and deposition) of specific materials such as Si, Ge, SiGe, SiC, those consisting essentially of one or more compound semiconductors having a composition defined by the formula AlX1GaX2InX3AsY1PY2NY3SbY4, where X1, X2, X3, Y1, Y2, Y3, and Y4 represent relative proportions, each greater than or equal to zero and X1+X2+X3+Y1+Y2+Y3+Y4=1 (1 being the total relative mole quantity), and those having a composition ZnA1CdA2SeB1TeB2, where A1, A2, B1, and B2 are relative proportions each greater than or equal to zero and A1+A2+B1+B2=1 (1 being a total mole quantity). Each of these exemplary materials may be applied to all embodiments described herein.
  • The material forming the pad layer 103 will vary depending on the type of manufacturing process used. Exemplary pad layer materials include, but are not limited to, silicon nitride and/or silicon oxide. Persons skilled in the art, however, will readily understand additional types of materials that can be used to form the pad layer. Illustratively, the pad layer has an overall thickness of about 0.2 microns when it is desired to form a recess that is approximately 2.0 micron deep. This exemplary thickness may be applied to all embodiments herein described.
  • In FIG. 2, the substrate 101 is shown having a recess 105 formed therein formed using reactive ion etching or dry etching processes. The exact width of recess 105 is not critical, but the depth is formed in the range of about 1.0 micron to about 3.0 microns deep. An exemplary width is about 100 microns. These illustrative recess measurements may be applied to all embodiments disclosed herein. Thereafter, an insulating layer 107 formed of an oxide or nitride material is conformally deposited on the sidewalls and bottom 109 of the recess 105 using any suitable deposition or growth process known in the art. Illustratively, the insulating layer is formed to be in the range of approximately 10 Angstroms to about 100 Angstroms thick. This exemplary measurement may be applied to all embodiments described herein. After the insulating layer 107 is formed, lateral, but not vertical, portions thereof are removed from the recess using anisotropic etching such as reactive ion etching (RIE). That is, the portion of the insulating layer 107 formed on the recess bottom 109 is removed; however the insulating layer formed on the recess sidewalls remains thereon. The end result is that the recess bottom 109 is exposed while the recess sidewalls are conformally coated with the insulating layer 107. In this illustrative embodiment, the insulating layer 107 is also formed on the interior exposed edges of the pad layer, as shown.
  • In FIG. 3, a buffer layer 113 forms a lattice constant/structure mismatch 121 with the substrate 101 and functions to constrain most of the dislocations caused by the mismatch. Illustratively, the buffer layer may have an overall thickness from less than about 0.5 microns to more than about 2.0 microns. A relaxed layer 111 is formed on the buffer layer and remains relatively defect free. Illustratively, the overall thickness of the relaxed layer 111 may be about 0.2 microns. These exemplary thickness measurements may be applied to all the embodiments described herein.
  • The buffer layer 113 and the relaxed layer 111 are epitaxially grown in the recess 105, within the confines of the insulating layer 107. Buffer layer 113 is formed first, then the relaxed layer 111. The buffer layer 113 growth process starts from the recess bottom 109 and works upwards, layer after layer, until an overall thickness of approximately 0.5 micron to approximately 2.0 micron is reached. In one embodiment, silicon germanium (SiGe) is used to form the buffer layer 113 and the relaxed layer 111 in order to subsequently form a semiconductor layer such as silicon atop of the relaxed layer 111 with a tensile stress. In an alternative embodiment, silicon carbon (SiC) may be used to provide a compressive strain in the subsequently formed silicon layer.
  • The buffer layer 113 and the relaxed layer 111 may be deposited or grown using conventional techniques such as chemical vapor deposition methods. For example, ultrahigh vacuum chemical vapor deposition (UHVCVD) may be used in a conventional manner to grow a device quality SiGe or SiC layer. Other conventional techniques include rapid thermal chemical vapor deposition (RTCVD), low pressure chemical vapor deposition (LPCVD), limited reaction processing CVD (LRPCVD) and molecular beam epitaxy (MBE). Optionally, a thin silicon buffer layer (not shown) may be formed on the interior walls of the recess 105 before SiGe or SiC formation.
  • The multi-layered buffer layer 113 is constructed in such a fashion that a concentration of a material (Ge, for example) incrementally increases from a base concentration 119 proximate the bottom of the recess to a benchmark concentration 117 proximate a top surface of the buffer layer. This incremental increase in concentration may be in any stepped fashion, such as for example, by 10% for each new deposition or grown layer. However, any percentage increase may be used depending on the desired applications and requested costs. In theory, the concentration of Ge can range from a base concentration of less than about 1% to a benchmark concentration of 100%. However, for cost and other reasons, a benchmark concentration of about 40% may be used. To prevent defects from occurring in the relaxed layer, the second base concentration 115 of a material used to form the relaxed layer 111 (i.e., Ge if SiGe is used) is chosen to approximately match the benchmark concentration 117 of Ge in the buffer layer 113.
  • Referring to FIG. 4, the pad layer is removed, and a layer of material (such as, but not limited to, Si) is epitaxially grown within and without the confines of the insulating layer 107 to formed relaxed material 123 and strained material 125. Material 123 is described as relaxed (or non-strained) because its lattice constant approximately equals the lattice constant of the substrate 101. Material 125 is described as strained because its lattice constant differs from the lattice constant of a material used to form the relaxed layer 111. Consequently, a lattice mismatch 127 occurs at the interface between the strained material 125 and the buffer layer 113. Depending on the type of material used to form the relaxed layer 111, strained material 125 may be placed in one of a tensile or a compressive state. Illustratively, strained material 125 is tensily strained when it is formed of Si and the relaxed layer is formed of SiGe. Alternatively, the strained material 125 is compressively strained when it is formed of Si and the relaxed layer 111 is formed of SiC. However, any two different semiconductor materials may be used, because the different lattice structure/constants of each material will exert either a compressive or tensile strain. In one embodiment, the strained material 125 and the relaxed material 123 each have an overall thickness from less than about 20 nanometers to more than about 100 nanometers. These exemplary thicknesses may be used in various embodiments herein described.
  • Referring to FIG. 5, a strained device 129 and a non-strained device 131 are formed in the strained material 125 and in relaxed material 123, respectively. Illustratively, strained material 129 is a logic device or a first transistor; and non-strained device 131 is DRAM or a second transistor.
  • Alternate embodiments and methods of manufacture will now be described with reference to FIGS. 6-11. Because the materials, etching methods, epitaxial growth methods, and deposition methods used to form the embodiments of FIGS. 6-11 are the same as those described above, these figures will be described in less detail in order not to unnecessarily obscure aspects of the invention.
  • In FIG. 6, a cross-section of an electrical device 100 is shown. The device 100 includes a substrate 101 covered by a pad layer 103. As shown in FIG. 7, a recess 105 is etched through the pad layer 103 and into the substrate 101 to a pre-determined depth, as described above. Thereafter, an oxide or nitride insulating layer 107 is conformally coated on the interior of the recess 105. The bottom portion of the insulating layer 107 is then removed, leaving the portions adhered to the recess sidewalls virtually intact.
  • FIG. 8 depicts the formation of the buffer layer 113 and the relaxed layer 111 in the recess 105, within the confines of the insulating layer 107. As mentioned above, a material forming the buffer layer varies in concentration from a base concentration 119 to a benchmark concentration 117. A second base concentration 115 of a material forming the relaxed layer 111 is chosen to approximately match the benchmark concentration 117 of the buffer layer 113. As previously disclosed, the buffer layer 113 functions to contain dislocations caused by the lattice mismatch 121. FIG. 9 illustrates the discrete and selective formation of a strained material 125 in the recess 105, within the confines of the insulating layer 107, and on top of the relaxed layer 111. As previously disclosed, the type of material used to form the relaxed layer 111 determines whether a tensile or compressive force is applied to the strained material 125.
  • FIG. 10 depicts removal of the pad layer 103 and subsequent planarization of the substrate 101. This Figure also illustrates the lattice mismatch 127 between the strained material 125 and the relaxed layer 111. The type of process used to remove the pad layer depends on the type of material used to form such layers. For example, if silicon nitride is used as the pad layer, then a wet etch using hot phosphoric (H3PO4) may be used. The type of planarization method used may be any suitable planarization technique. For example, in one embodiment, chemical mechanical polishing (CMP) may be used. In another embodiment, a high temperature reflow process with the presence of hydrogen may be used.
  • FIG. 11 shows the formation of electrical devices 129 and 131 in the strained material 125 and in the non-strained regions of the substrate 101. In this embodiment, portions of the substrate 101 that are outside the confines of the insulating layer 107 form the relaxed material 123 shown in FIG. 4. As previously described, strained device 129 may illustratively be, but is not limited to, a logic device or a first transistor; non-strained device 131 may illustratively be, but is not limited to, a DRAM or a second transistor.
  • A third embodiment is shown with respect to FIGS. 12 16. FIG. 12 illustrates a cross-sectional view of an electrical device 100 (i.e., a silicon wafer), which includes a substrate 101 on which are formed, in ascending order, buffer layer 113, relaxed layer 111, and strained material 125. This Figure also illustrates the lattice mismatch 121 formed between the substrate 101 and a lower surface of the buffer layer 113, and the lattice mismatch 127 formed between the relaxed layer 111 and the strained material 125. These layers can be grown or deposited in any known manner, with the buffer layer 113 having, in one embodiment, a higher concentration of material closest to the strained layer and gradually decreasing in concentration. This will eliminate or reduce formation of defects in the end product.
  • FIG. 13 depicts the formation of a recess 105 that extends through the pad layer 103, the strained material 125, the relaxed layer 111, and the buffer layer 113, but which has as its bottom a portion of the top surface of the substrate 101.
  • FIG. 14 depicts the formation of insulating layer 107 on the sidewalls of the recess 105. The insulating layer 107 is formed by deposition or growth process followed by an etching process, as previously described. FIG. 15 shows a relaxed material (for example, Si) which is selectively and epitaxially grown in the recess within the confines of the insulating material to completely fill the recess. Thereafter, the pad layer is removed, and the substrate is planarized such that the exposed surfaces of the strained material 125, insulating material, and relaxed material 123 are approximately level. In this embodiment, the strained material 125 is outside, while the relaxed material 123 is within the confines of the insulating material 107. That is, the relaxed material is formed within the recess.
  • Referring to FIG. 16, there is illustrated the formation of a strained device 129 in the strained material 125 and the formation of a non-strained device 131 in the relaxed material 123. As shown, strained device 129 is located outside the confines of the insulating material, and the non-strained device is located within those confines.
  • A fourth embodiment is shown with respect to FIGS. 17 22. A cross-sectional view of an electrical device 100 in accordance with the fourth embodiment is shown in FIG. 17. The device 100 includes a substrate 101 on which a buffer layer 113 of SiGe is formed. In an alternate embodiment, SiC can also be formed. A relaxed layer 111, also formed of SiGe (or alternatively SiC), covers the top surface of the buffer layer. The lattice mismatch 121 between the buffer layer and the silicon substrate 101 is in the illustrative range of 2% or less. This means that the lattice constant of the lowest SiGe buffer layer differs from the lattice constant of the silicon substrate by about 2% or less. This same percentage may also be applicable for any of the embodiments disclosed herein.
  • FIG. 18 illustrates the formation of a recess 105 that extends through the pad layer 103, through the relaxed layer 111, and through the buffer layer 113 to expose a top surface of the silicon substrate 101. FIG. 19 depicts the formation of an insulating layer 107 on the sidewalls of the recess 105 and the formation of the relaxed material 123 in the recess, as previously described. In FIG. 20, the pad layer has been removed, and the top surface of relaxed layer 111, insulating layer 107, and relaxed material 123 have been planarized. Thereafter, as shown in FIG. 21, a layer of silicon is epitaxially grown to cover the entire planarized surface.
  • The result of this process is that the lattice mismatch between the relaxed layer and the silicon layer places a tensile or compressive strain on the silicon, thereby creating strained material 125. Because the lattice mismatch between another portion of the silicon layer and the relaxed material 123 (Si) is negligible, a relaxed (non-strained material) 124 is created within the confines of the recess 105. Although, in this embodiment, the insulating layer 107 does not separate the strained material 125 from the second relaxed material 124, the lateral strain between the strained material 125 and non-strained materials 124 is minimal compared to the strain imposed by the strain imposed by the relaxed layer 111.
  • FIG. 22 illustrates the formation of a strained device 129 in the strained material 125, and the formation of a non-strained device 131 in the relaxed material 124. As previously disclosed, the strained device 129 may be a logic device, and the non-strained device may be a DRAM. However, other electrical devices, such as transistors and capacitors, may also be used.
  • FIGS. 23-27 are cross-sectional views of an electrical device 100 that illustrate the formation of a strained material 125 using doped silicon on a substrate 101. As shown in FIG. 23, a pad layer 103 is formed on a silicon substrate 101. Then, as illustrated by FIG. 24, a recess 105 is etched through the pad layer and into the substrate 101 to an exemplary depth of approximately 0.05 or 1 microns, as measured from a top surface of the substrate 101. Thereafter, an optional insulating layer 107, formed of an oxide or a nitride material, is formed on the sidewalls of and bottom of the recess 105 using chemical vapor deposition or other known processes. Following an etching process to remove the insulating layer 107 from the bottom portion of the recess 105, a strained material 125 is epitaxially grown in the recess within the confines of the insulating material 107 until a top surface of the strained material approximately matches a top surface of the substrate 101. The strained layer 125 has a thickness less than the so-called “critical thickness”. The critical thickness is defined as the maximum thickness of the strained layer below which virtually no defects are generated. Illustratively, the strained material 125 is carbon-doped silicon. However, other doped semiconductor materials may be used. For example, a compressive-strained layer may be formed by forming a germanium-doped silicon layer on silicon substrate.
  • FIG. 26 shows that the pad layer 103 has been etched away using either a dry or wet etch, as previously described, and that the top surface of the substrate 101 is planarized to be approximately level with the top surfaces of strained material 125, insulating layer 107 and the substrate 101. In this manner, strained material 125 is selectively formed in the recess 105 and separated from the non-strained areas 126 of the substrate 101 by the insulating layer 107. As shown in FIG. 27, a strained device 129, such as a logic device, is formed in the strained material 125; and a non-strained device 131 is formed in the non strained area 126 of the substrate 101.
  • FIGS. 28-32 are cross-sectional views of an electrical device 100 that illustrate another formation of a strained material 125 using doped silicon on a silicon substrate 101. In FIG. 28, a silicon substrate 101 is prepared for processing. In FIG. 29, a carbon-doped strained material 125 is epitaxially grown on a top surface of the substrate 101. The strained layer 125 has a thickness less than the so-called “critical thickness”. The critical thickness is defined as the maximum thick of the strained layer below which there is virtually no defects is generated. Illustratively, the strained material 125 is carbon-doped silicon. However, other doped semiconductor materials may be used. For example, a compressive-strained layer may be formed by forming a germanium-doped silicon layer on silicon substrate.
  • In FIG. 30, a patterned pad layer 103 is formed on the strained material 125. Areas of the non-strained silicon substrate are exposed by using an etching process to remove areas of strained doped material 125 that are not covered by the pad layer 103.
  • In FIG. 31, a non-strained (relaxed) material 123 is epitaxially grown on the exposed areas of the substrate 101 to approximately the same height of the strained layer 125 to form a substantially planar top surface. Epitaxially growing the non-strained material 123 is optional, since the strained material 125, in this embodiment, is very thin (e.g., less than about 100 nanometers). Thereafter, as shown in FIG. 32, the pad layer 103 is etched away and a strained device 129 is formed in the strained material 125. A non-strained device 131 is formed in the relaxed material 123. Alternatively, if a relaxed material 123 is not used, the non-strained device 131 is formed in a non-strained area of the substrate 101. Again, permitting the strained material 125 to contact the adjacent relaxed material 123 usually does not pose problems because the lateral strain experienced by both materials is significantly less than the strain created by the doped semiconductor material that forms the strained material 125. Illustratively, the strained material 125 is carbon-doped silicon. However, other doped semiconductor materials may be used. For example, a compressive-strained layer may be formed by forming a germanium-doped silicon layer on silicon substrate.
  • FIG. 33 is a cross-sectional view illustrating an electrical device 100 having tensile-strained, compressive-strained, and non-strained materials 123, 124, and 123, respectively. As shown, each of these materials is formed on a surface of a substrate 101 using any combination of the techniques discussed above. The lateral strain experienced at junctions 133 is minimal compared to the vertical strain exerted by the lattice mismatches 127A and 127B, respectively. Alternatively, these layers may also be separated by insulating materials. In one embodiment, the tensile strained material 125A is a carbon-doped silicon layer formed on silicon and the compressive strained material 125B is a germanium-doped silicon layer formed on silicon. Alternatively, the tensile strained material 125A is a silicon layer formed on the SiGe buffer layer(s) (not shown) and the compressive strained material 125B is a silicon layer formed on SiC buffer layer(s) (not shown). Although illustratively shown as a layer, the relaxed material 123 may also be a relaxed top surface of the substrate 101, as previously illustrated and described with reference to FIGS. 31 and 32. Although illustratively shown that these layers have the same thickness, their thicknesses may not necessary be the same.
  • It should be understood that FIGS. 1-33 can equally represent methods of manufacture. In any event, FIGS. 34-39 show various methods for manufacturing the apparatus according to various aspects of the invention. Although herein described with reference to sequential reference numerals, the steps of each method may be performed in any order. The removing of layers to form a recess, forming layers and other processes may be provided by any known method of fabrication. For example, illustrative manufacturing processes include, but are not limited to, chemical vapor deposition, ultra-high vacuum chemical vapor deposition, and reactive ion etching (RIE), electrolytic etching, plasma etching, dry etching, and the like. Ion etching is a process of removing unwanted material by selectively bombarding an area or areas of a solid or liquid substance with energetic ionized particles. Often used in the manufacture of microelectronics, plasma etching creates reactive species in a plasma and then uses the reactive species to selectively remove unwanted material.
  • FIG. 34 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100, according to one embodiment of the invention. At step 3401, a recess is patterned and formed in a substrate covered by a pad layer. At step 3403, an insulating layer is optionally formed on the sidewalls and bottom of the recess. At step 3405, a portion of the insulating layer is removed from the bottom of the recess to expose a portion of the substrate. At step 3407, a buffer layer is formed in the recess within the confines of the insulating layer, the buffer layer having a lattice constant/structure mismatch with the substrate. At step 3409 the concentration of a material forming the buffer layer is increased as the buffer layer is formed from a base concentration to a benchmark concentration. At step 3411, a relaxed layer is formed on the buffer layer. At step 3413, the pad layer is stripped. At step 3415, a strained material is formed on the relaxed layer within the confines of the insulating layer, and a non-strained material is formed on a portion of the substrate outside the confines of the insulating layer. At step 3417 a strained device is formed in the strained material. At step 3419 a non-strained device is formed in the relaxed material. In one embodiment, a material forming the relaxed layer has a second base concentration proximate a bottom surface thereof that approximately equals the benchmark concentration proximate a top surface of the buffer layer.
  • FIG. 35 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100, according to one embodiment of the invention. At step 3501 a recess is patterned and formed in a substrate covered by a pad layer. At step 3503 an insulating layer is formed on the sidewalls and bottom of the recess. At step 3505, a portion of the insulating layer is removed from the bottom of the recess to expose a portion of the substrate. At step 3507, a buffer layer is formed in the recess within the confines of the insulating layer, the buffer layer having a lattice constant/structure mismatch with the substrate. At step 3509, the concentration of a material forming the buffer layer is increased as the buffer layer is formed, from a base concentration to a benchmark concentration. At step 3511 a relaxed layer is formed on the buffer layer. At step 3513 a strained material is formed on the relaxed layer in the recess within the confines of the insulating layer. At step 3515, the pad layer is stripped. At step 3517 the substrate is planarized. At step 3519 a strained device is formed in the strained material. At step 3521 a non-strained device is formed in the relaxed material. In one embodiment, a material forming the relaxed layer has a second base concentration proximate a bottom surface thereof that approximately equals the benchmark concentration proximate a top surface of the buffer layer.
  • FIG. 36 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100, according to one embodiment of the invention. At step 3601 a pad layer is formed on a strained material. At step 3603, a recess is patterned and formed through the strained material, through a relaxed layer previously formed proximate thereto, and through a buffer layer previously formed proximate to the relaxed layer and in contact with a substrate. At step 3605 an insulating layer is formed on the sidewalls and bottom of the recess. At step 3607 the insulating layer is removed from the bottom of the recess. At step 3609, a relaxed material is formed in the recess within the confines of the insulating material. At step 3611, the pad layer is stripped. At step 3613, the substrate is planarized. At step 3615, a strained device is formed in the strained material. At step 3617 a non-strained device is formed in the relaxed material.
  • FIG. 37 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100, according to one embodiment of the invention. At step 3701 a pad layer is patterned and formed on a relaxed layer previously formed on a buffer layer, the buffer layer being previously formed on a substrate. At step 3703 a recess is formed through the relaxed layer and the buffer layer. At step 3705 an insulating layer is formed on the sidewalls and bottom of the recess. At step 3707 a portion of the insulating layer is removed from the bottom of the recess to expose a portion of the substrate. At step 3709 a relaxed material in the recess within the confines of the insulating material. At step 3711 the pad layer is stripped. At step 3713 the substrate is planarized. At step 3715 a strained material is formed on the relaxed layer outside the confines of the insulating layer. At step 3717, a relaxed material is formed in the recess within the confines of the insulating layer. At step 3719, a strained device is formed in the strained material. At step 3721, a non-strained device is formed in the relaxed material.
  • FIG. 38 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100, according to one embodiment of the invention. At step 3801 a recess is patterned and formed in a substrate covered by a pad layer. At step 3803 an insulating layer is formed on the sidewalls and bottom of the recess. At step 3805 a portion of the insulating layer from the bottom of the recess to expose a portion of the substrate. At step 3807 a strained material is selectively and epitaxially grown in the recess within the confines of the insulating layer. At step 3809, the pad layer is stripped. At step 3811, a strained device is formed in the strained material. At step 3813 a non-strained device is formed in a relaxed area of the substrate outside the confines of the insulating layer. In this embodiment, the strained material may be a carbon-doped material, such as, but not limited to, carbon-doped silicon. Alternatively, the strained material may be a germanium-doped material, such as, not limited to, germanium-doped silicon.
  • FIG. 39 is a flowchart illustrating an exemplary method of manufacturing an electrical device 100, according to one embodiment of the invention. At step 3901 a strained material is formed on a substrate. At step 3903 a pad layer is formed on the strained material. At step 3905, selective areas of the strained material are removed to expose corresponding portions of the substrate. At step 3907 a relaxed material is optionally grown on the exposed substrate to approximately the same height as the strained layer. At step 3909 the pad layer is stripped. At step 3911 a strained device is formed in the strained material. At step 3913 a non-strained device is formed in the relaxed material. In this embodiment, the strained material may be a carbon-doped material, such as, but not limited to, carbon-doped silicon.
  • Although embodiments of the invention have been illustrated in FIGS. 1-22 as fusing SiGe to form a tensile-strained material 125, it will be appreciated that other materials, such as SiC, may be substituted for SiGe, where it is desired to form a compressive-strained material 125. Additionally, a tensile-strained material 125 may be formed by epitaxially growing carbon-doped silicon on a silicon substrate. Other materials such as gallium phosphorus, gallium arsenic and the like, may also be substituted for SiGe, depending on desired applications and requested costs. As herein described, an electrical device formed in accordance with an embodiment of the invention may have a non-strained (relaxed) material 123, 124, 126 patterned proximate a strained material 125, 125A and 125B, as illustratively shown and described with respect to FIGS. 4, 15, 21, 26, 31 and 33.
  • While some exemplary embodiments of this invention have been described in detail, those skilled in the art will recognize that there are many possible modifications and variations which may be made in these exemplary embodiments while yet retaining many of the novel features and advantages of the invention.

Claims (16)

1. An electrical device, comprising:
a pattern of strained material and relaxed material formed on a substrate;
a buffer layer formed on the substrate and having a lattice constant/structure mismatch with the substrate; and
a relaxed layer formed on the buffer layer, a top surface of the relaxed layer placing the strained material in one of a tensile or a compressive state,
wherein the relaxed layer comprises a material which has a lattice constant/structure mismatch with the strained material; and
wherein a material forming the buffer layer increases in concentration from a base concentration proximate the substrate to a benchmark concentration proximate the relaxed layer.
2. The electrical device of claim 1, further comprising a recess formed in the substrate and enclosing the buffer layer, the recess having sidewalls.
3. The electrical device of claim 2, further comprising an insulating layer formed on the sidewalls.
4. The electrical device of claim 3, wherein a material that forms the insulating layer is one of an oxide and a nitride.
5. The electrical device of claim 3, wherein a portion of the substrate forms the relaxed material and is located outside a confine of the insulating material.
6. The electrical device of claim 3, wherein the relaxed material is formed in the recess within a confine of the insulating layer.
7. The electrical device of claim 6, wherein the relaxed layer and the buffer layer are selected from the group consisting of silicon carbon (SiC), silicon germanium (SiGe), AlX1GaX2InX3AsY1PY2NY3Sb4, where X1, X2, X3, Y1, Y2, Y3, and Y4 represent relative proportions, each greater than or equal to zero and X1+X2+X3+Y1+Y2+Y3+Y4=1, and ZnA1CdA2SeB1TeB2, where A1, A2, B1, and B2 are relative proportions each greater than or equal to zero and A1+A2+B1+B2=1.
8. The electrical device of claim 1, wherein the relaxed layer and the buffer layer are selected from the group consisting of silicon carbon (SiC), silicon germanium (SiGe), AlX1GaX2InX3AsY1PY2NY3SbY4, where X1, X2, X3, Y1, Y2, Y3, and Y4 represent relative proportions, each greater than or equal to zero and X1+X2+X3+Y1+Y2+Y3+Y4=1, and ZnA1CdA2SeB1TeB2, where A1, A2, B1, and B2 are relative proportions each greater than or equal to zero and A1+A2+B1+B2=1.
9. The electrical device of claim 1, wherein a material forming the relaxed layer has a second base concentration proximate the buffer layer that approximately equals the benchmark concentration.
10. The electrical device of claim 1, further comprising:
a strained device formed in the strained material; and
a non-strained device formed in the relaxed material.
11. The electrical device of claim 10, wherein the non-strained device is formed directly on the substrate.
12. The electrical device of claim 1, wherein the strained material comprises a germanium-doped silicon layer formed on and contacting the substrate.
13. The electrical device of claim 1, wherein:
the base concentration is at a bottom of the buffer layer; and
the benchmark concentration is at a top of the buffer layer.
14. The electrical device of claim 13, wherein the relaxed layer has a second base concentration at a bottom of the relaxed layer that approximately equals the benchmark concentration at the top of the buffer layer.
15. The electrical device of claim 14, wherein the relaxed layer comprises a material which has a lattice constant/structure mismatch with the strained material.
16. The electrical device of claim 15, wherein the buffer layer comprises multiple layers wherein each respective one of the layers has a different concentration of a material such that the concentration of the material in the buffer layer incrementally increases from the base concentration proximate the substrate to the benchmark concentration proximate the top of the buffer layer.
US12/686,040 2004-07-23 2010-01-12 Patterned strained semiconductor substrate and device Active US9053970B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/686,040 US9053970B2 (en) 2004-07-23 2010-01-12 Patterned strained semiconductor substrate and device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/710,608 US7384829B2 (en) 2004-07-23 2004-07-23 Patterned strained semiconductor substrate and device
US11/931,836 US7682859B2 (en) 2004-07-23 2007-10-31 Patterned strained semiconductor substrate and device
US12/686,040 US9053970B2 (en) 2004-07-23 2010-01-12 Patterned strained semiconductor substrate and device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/931,836 Division US7682859B2 (en) 2004-07-23 2007-10-31 Patterned strained semiconductor substrate and device

Publications (2)

Publication Number Publication Date
US20100109049A1 true US20100109049A1 (en) 2010-05-06
US9053970B2 US9053970B2 (en) 2015-06-09

Family

ID=35657774

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/710,608 Active 2025-06-28 US7384829B2 (en) 2004-07-23 2004-07-23 Patterned strained semiconductor substrate and device
US11/931,836 Active US7682859B2 (en) 2004-07-23 2007-10-31 Patterned strained semiconductor substrate and device
US12/015,272 Active US9515140B2 (en) 2004-07-23 2008-01-16 Patterned strained semiconductor substrate and device
US12/686,040 Active US9053970B2 (en) 2004-07-23 2010-01-12 Patterned strained semiconductor substrate and device

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US10/710,608 Active 2025-06-28 US7384829B2 (en) 2004-07-23 2004-07-23 Patterned strained semiconductor substrate and device
US11/931,836 Active US7682859B2 (en) 2004-07-23 2007-10-31 Patterned strained semiconductor substrate and device
US12/015,272 Active US9515140B2 (en) 2004-07-23 2008-01-16 Patterned strained semiconductor substrate and device

Country Status (4)

Country Link
US (4) US7384829B2 (en)
JP (1) JP5373247B2 (en)
CN (1) CN100385615C (en)
TW (1) TWI353653B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130015503A1 (en) * 2011-07-12 2013-01-17 Nasp Iii/V Gmbh Monolithic integrated semiconductor structure
US8367494B2 (en) 2011-04-05 2013-02-05 International Business Machines Corporation Electrical fuse formed by replacement metal gate process
US20170005112A1 (en) * 2015-06-30 2017-01-05 International Business Machines Corporation Implementing a hybrid finfet device and nanowire device utilizing selective sgoi

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2872626B1 (en) * 2004-07-05 2008-05-02 Commissariat Energie Atomique METHOD FOR CONTRAINDING A THIN PATTERN
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
EP2595177A3 (en) * 2005-05-17 2013-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities related methods for device fabrication
JP5481067B2 (en) * 2005-07-26 2014-04-23 台湾積體電路製造股▲ふん▼有限公司 Solutions for the integration of alternative active area materials into integrated circuits
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
DE102005047081B4 (en) * 2005-09-30 2019-01-31 Robert Bosch Gmbh Process for the plasma-free etching of silicon with the etching gas ClF3 or XeF2
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039495A1 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) * 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
DE102006051492B4 (en) * 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device with NMOS and PMOS transistors with embedded Si / Ge material for generating a tensile deformation and a compression deformation and a method for producing such a semiconductor device
KR101378987B1 (en) * 2006-10-31 2014-03-28 어드밴스드 마이크로 디바이시즈, 인코포레이티드 A semiconductor device comprising nmos and pmos transistors with embedded si/ge material for creating tensile and compressive strain
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US7825328B2 (en) * 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US8304805B2 (en) * 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8329541B2 (en) * 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
JP5380794B2 (en) * 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 Method for manufacturing semiconductor device and method for forming semiconductor layer
WO2009035746A2 (en) * 2007-09-07 2009-03-19 Amberwave Systems Corporation Multi-junction solar cells
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) * 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
CN102160145B (en) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) * 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
DE102008049717B4 (en) * 2008-09-30 2010-10-14 Advanced Micro Devices, Inc., Sunnyvale Process for the production of a semiconductor component as a transistor with a metal gate stack with a high ε and a compressively strained channel
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
JP5562696B2 (en) * 2009-03-27 2014-07-30 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP5705207B2 (en) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. Device formed from non-polar surface of crystalline material and method of manufacturing the same
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US8829585B2 (en) * 2011-05-31 2014-09-09 International Business Machines Corporation High density memory cells using lateral epitaxy
CN102956497B (en) * 2011-08-30 2015-04-29 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
US8471342B1 (en) * 2011-12-09 2013-06-25 GlobalFoundries, Inc. Integrated circuits formed on strained substrates and including relaxed buffer layers and methods for the manufacture thereof
US9360302B2 (en) * 2011-12-15 2016-06-07 Kla-Tencor Corporation Film thickness monitor
US8994085B2 (en) 2012-01-06 2015-03-31 International Business Machines Corporation Integrated circuit including DRAM and SRAM/logic
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
JP5695614B2 (en) * 2012-08-22 2015-04-08 ルネサスエレクトロニクス株式会社 Semiconductor device
US8716751B2 (en) * 2012-09-28 2014-05-06 Intel Corporation Methods of containing defects for non-silicon device engineering
CN103779221A (en) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 Semiconductor device forming method
US8785907B2 (en) * 2012-12-20 2014-07-22 Intel Corporation Epitaxial film growth on patterned substrate
KR102021765B1 (en) 2013-06-17 2019-09-17 삼성전자 주식회사 Semiconductor Device
WO2014209398A1 (en) 2013-06-28 2014-12-31 Intel Corporation Making a defect free fin based device in lateral epitaxy overgrowth region
US9425042B2 (en) * 2013-10-10 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Hybrid silicon germanium substrate for device fabrication
US20150194307A1 (en) * 2014-01-06 2015-07-09 Globalfoundries Inc. Strained fin structures and methods of fabrication
KR102083632B1 (en) 2014-04-25 2020-03-03 삼성전자주식회사 Semiconductor device and method for forming the same
US9601583B2 (en) * 2014-07-15 2017-03-21 Armonk Business Machines Corporation Hetero-integration of III-N material on silicon
US9437680B1 (en) 2015-03-31 2016-09-06 International Business Machines Corporation Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
TWI677098B (en) * 2015-10-02 2019-11-11 聯華電子股份有限公司 Fin-type field effect transistor and method of forming the same
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US9842929B1 (en) * 2016-06-09 2017-12-12 International Business Machines Corporation Strained silicon complementary metal oxide semiconductor including a silicon containing tensile N-type fin field effect transistor and silicon containing compressive P-type fin field effect transistor formed using a dual relaxed substrate
US9773870B1 (en) * 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US10475930B2 (en) 2016-08-17 2019-11-12 Samsung Electronics Co., Ltd. Method of forming crystalline oxides on III-V materials
WO2018101278A1 (en) * 2016-11-30 2018-06-07 株式会社リコー Coating liquid for forming oxide or oxynitride insulator film, oxide or oxynitride insulator film, field effect transistor, and methods for manufacturing these
US10593672B2 (en) * 2018-01-08 2020-03-17 International Business Machines Corporation Method and structure of forming strained channels for CMOS device fabrication

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171936B1 (en) * 1997-09-16 2001-01-09 Massachusetts Institute Of Technology Method of producing co-planar Si and Ge composite substrate
US20020125475A1 (en) * 1999-03-12 2002-09-12 Chu Jack Oon High speed composite p-channel Si/SiGe heterostructure for field effect devices
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US20030219937A1 (en) * 2002-05-15 2003-11-27 Peterson Jeffrey J. Method for co-fabricating strained and relaxed crystalline and poly-crystalline structures
US20040092085A1 (en) * 2001-01-31 2004-05-13 Yoshihiko Kanzawa Semiconductor crystal film and method for preparation thereof
US20040132267A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Patterned strained silicon for high performance circuits
US20050023520A1 (en) * 2003-07-29 2005-02-03 Min-Hung Lee Strained silicon carbon alloy MOSFET structure and fabrication method thereof
US20050205929A1 (en) * 2004-03-16 2005-09-22 Hajime Nagano Semiconductor substrate, manufacturing method therefor, and semiconductor device
US20060024877A1 (en) * 2003-01-08 2006-02-02 Mandelman Jack A High performance embedded dram technology with strained silicon

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (en) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrated circuit comprising bipolar and complementary mos transistors on a common substrate, and method of making the same
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (en) 1996-03-15 1999-08-02 윤종용 Trench device separation
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (en) 1997-10-15 2001-02-26 日本電気株式会社 Semiconductor device
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (en) 1998-03-02 2000-12-15 윤종용 Method of fabricating trench isolation in an integrated circuit
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
JP4258034B2 (en) * 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (en) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (en) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor substrate
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (en) 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
JP3512701B2 (en) * 2000-03-10 2004-03-31 株式会社東芝 Semiconductor device and manufacturing method thereof
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001338988A (en) * 2000-05-25 2001-12-07 Hitachi Ltd Semiconductor device and its manufacturing method
JP2003158075A (en) * 2001-08-23 2003-05-30 Sumitomo Mitsubishi Silicon Corp Method for manufacturing semiconductor substrate, method for manufacturing field effect transistor, semiconductor substrate and field effect transistor
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2002190599A (en) * 2000-12-20 2002-07-05 Toshiba Corp Semiconductor device and method of manufacturing the same
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
JP3875040B2 (en) * 2001-05-17 2007-01-31 シャープ株式会社 Semiconductor substrate and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
JP2003031813A (en) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd Semiconductor device
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (en) 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
US6831292B2 (en) 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
JP2004165197A (en) * 2002-11-08 2004-06-10 Renesas Technology Corp Semiconductor integrated circuit device and method of manufacturing the same
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
JP2004193203A (en) * 2002-12-09 2004-07-08 Matsushita Electric Ind Co Ltd Field-effect transistor and its manufacturing method
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
JP2005197405A (en) * 2004-01-06 2005-07-21 Toshiba Corp Semiconductor device and manufacturing method therefor
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7087965B2 (en) * 2004-04-22 2006-08-08 International Business Machines Corporation Strained silicon CMOS on hybrid crystal orientations
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6171936B1 (en) * 1997-09-16 2001-01-09 Massachusetts Institute Of Technology Method of producing co-planar Si and Ge composite substrate
US20020125475A1 (en) * 1999-03-12 2002-09-12 Chu Jack Oon High speed composite p-channel Si/SiGe heterostructure for field effect devices
US20040092085A1 (en) * 2001-01-31 2004-05-13 Yoshihiko Kanzawa Semiconductor crystal film and method for preparation thereof
US20030030091A1 (en) * 2001-08-13 2003-02-13 Amberwave Systems Corporation Dynamic random access memory trench capacitors
US6600170B1 (en) * 2001-12-17 2003-07-29 Advanced Micro Devices, Inc. CMOS with strained silicon channel NMOS and silicon germanium channel PMOS
US20030219937A1 (en) * 2002-05-15 2003-11-27 Peterson Jeffrey J. Method for co-fabricating strained and relaxed crystalline and poly-crystalline structures
US20040132267A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Patterned strained silicon for high performance circuits
US20060024877A1 (en) * 2003-01-08 2006-02-02 Mandelman Jack A High performance embedded dram technology with strained silicon
US20050023520A1 (en) * 2003-07-29 2005-02-03 Min-Hung Lee Strained silicon carbon alloy MOSFET structure and fabrication method thereof
US20050205929A1 (en) * 2004-03-16 2005-09-22 Hajime Nagano Semiconductor substrate, manufacturing method therefor, and semiconductor device

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8367494B2 (en) 2011-04-05 2013-02-05 International Business Machines Corporation Electrical fuse formed by replacement metal gate process
US20130015503A1 (en) * 2011-07-12 2013-01-17 Nasp Iii/V Gmbh Monolithic integrated semiconductor structure
US9196481B2 (en) * 2011-07-12 2015-11-24 Nasp Iii/V Gmbh Monolithic integrated semiconductor structure
US20160133709A1 (en) * 2011-07-12 2016-05-12 Nasp Iii/V Gmbh Monolithic integrated semiconductor structure
US9865689B2 (en) * 2011-07-12 2018-01-09 Nasp Iii/V Gmbh Monolithic integrated semiconductor structure
US20170005112A1 (en) * 2015-06-30 2017-01-05 International Business Machines Corporation Implementing a hybrid finfet device and nanowire device utilizing selective sgoi
US10361219B2 (en) * 2015-06-30 2019-07-23 International Business Machines Corporation Implementing a hybrid finFET device and nanowire device utilizing selective SGOI

Also Published As

Publication number Publication date
US20080061317A1 (en) 2008-03-13
JP5373247B2 (en) 2013-12-18
TW200620554A (en) 2006-06-16
US7682859B2 (en) 2010-03-23
US9515140B2 (en) 2016-12-06
CN1725437A (en) 2006-01-25
US20080135874A1 (en) 2008-06-12
TWI353653B (en) 2011-12-01
JP2006041516A (en) 2006-02-09
US20060019462A1 (en) 2006-01-26
CN100385615C (en) 2008-04-30
US7384829B2 (en) 2008-06-10
US9053970B2 (en) 2015-06-09

Similar Documents

Publication Publication Date Title
US9053970B2 (en) Patterned strained semiconductor substrate and device
US8409974B2 (en) Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US7888197B2 (en) Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US7125785B2 (en) Mixed orientation and mixed material semiconductor-on-insulator wafer
US7439110B2 (en) Strained HOT (hybrid orientation technology) MOSFETs
US7029964B2 (en) Method of manufacturing a strained silicon on a SiGe on SOI substrate
US20110037150A1 (en) Substrate comprising different types of surfaces and method for obtaining such substrates
WO2007019002A2 (en) Methods for fabricating a stressed mos device
US7525154B2 (en) Semiconductor substrate, manufacturing method therefor, and semiconductor device
US6879000B2 (en) Isolation for SOI chip with multiple silicon film thicknesses
US7393738B1 (en) Subground rule STI fill for hot structure
US20130175671A1 (en) Methods for processing a semiconductor wafer, a semiconductor wafer and a semiconductor device
US9412833B2 (en) Narrow semiconductor trench structure
US9048286B2 (en) Substrate for integrated circuit and method for forming the same
US20230086888A1 (en) Dual strained semiconductor substrate and patterning
US10319643B1 (en) Vertical FET with strained channel
US20070045657A1 (en) Semiconductor substrate, semiconductor device, manufacturing method thereof, and method for designing semiconductor substrate
EP2304793A1 (en) Substrate comprising different types of surfaces and method for obtaining such substrates

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: AURIGA INNOVATIONS, INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:041741/0358

Effective date: 20161207

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AURIGA INNOVATIONS, INC.;REEL/FRAME:045650/0571

Effective date: 20180328

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8