US20100171197A1 - Isolation Structure for Stacked Dies - Google Patents

Isolation Structure for Stacked Dies Download PDF

Info

Publication number
US20100171197A1
US20100171197A1 US12/348,622 US34862209A US2010171197A1 US 20100171197 A1 US20100171197 A1 US 20100171197A1 US 34862209 A US34862209 A US 34862209A US 2010171197 A1 US2010171197 A1 US 2010171197A1
Authority
US
United States
Prior art keywords
semiconductor substrate
silicon via
forming
silicon
isolation film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/348,622
Inventor
Hung-Pin Chang
Kuo-Ching Hsu
Chen-Shien Chen
Wen-Chih Chiou
Chen-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/348,622 priority Critical patent/US20100171197A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, HUNG-PIN, CHEN, CHEN-SHIEN, CHIOU, WEN-CHIH, HSU, KUO-CHING, YU, CHEN-HUA
Priority to TW098115797A priority patent/TWI429046B/en
Priority to CN200910141836.2A priority patent/CN101771012B/en
Publication of US20100171197A1 publication Critical patent/US20100171197A1/en
Priority to US14/254,597 priority patent/US10163756B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor

Definitions

  • This invention relates generally to integrated circuits and, more particularly, to an isolation structure for stacked dies.
  • 3D ICs In an attempt to further increase circuit density, three-dimensional (3D) ICs have been investigated.
  • 3D ICs In a typical formation process of a 3D IC, two dies are bonded together and electrical connections are formed between each die and contact pads on a substrate. For example, one attempt involved bonding two dies on top of each other. The stacked dies were then bonded to a carrier substrate and wire bonds electrically coupled contact pads on each die to contact pads on the carrier substrate. This attempt, however, requires a carrier substrate larger than the dies for the wire bonding.
  • TSVs through-silicon vias
  • a TSV is formed by etching a vertical via through a substrate and filling the via with a conductive material, such as copper.
  • the backside of the substrate is thinned to expose the TSVs, and solder balls are placed directly on the TSVs to provide an electrical contact.
  • Another die is placed on the solder balls, thereby forming a stacked die package.
  • the dielectric processes used on the circuit side of the substrate are not applicable to the backside due to the thinned substrate.
  • the backside of the substrate is left unprotected when the solder balls are placed on the exposed TSVs, limiting the wetting surface for the solder ball without forming electrical shorts between the solder ball and the substrate.
  • the structure limits the mechanical strength with the bonding surface and limits the I/O pin population.
  • a semiconductor device in accordance with an embodiment of the present invention, has a semiconductor substrate with through-silicon vias extending through and a portion thereof protruding from the backside of the semiconductor substrate.
  • An isolation film is formed on the backside of the semiconductor substrate between adjacent protruding portions of the through-silicon vias. The isolation film does not extend beyond the protruding portions of the through-silicon vias.
  • Conductive elements such as solder balls or metal pads, are formed over the exposed through-silicon vias.
  • a method of forming a semiconductor device includes providing a semiconductor substrate having a through-silicon via extending from a circuit side partially through the semiconductor substrate. A backside of the semiconductor substrate is thinned such that the through-silicon via protrudes from the backside of the semiconductor substrate. An isolation film is formed over the backside of the semiconductor substrate and the through-silicon via, and then the isolation film is thinned such that the through-silicon via is exposed. A conductive element, such as a solder ball or a metal pad, is formed over the exposed through-silicon via.
  • another method of forming a semiconductor device includes providing a semiconductor substrate having a first side and a second side opposite the first side.
  • the semiconductor substrate has a through-silicon via extending from a first side partially through the semiconductor substrate.
  • the through-silicon via is exposed such that at least a portion of the through-silicon via protrudes from the second side of the semiconductor substrate, and a dielectric layer is formed over the second side of the semiconductor substrate.
  • a patterned mask is formed over the dielectric layer such that the dielectric layer over the through-silicon via is exposed. The exposed portions of the dielectric layer are removed, thereby exposing the through-silicon via.
  • FIGS. 1-5 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with an embodiment of the present invention
  • FIGS. 6-11 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with another embodiment of the present invention
  • FIGS. 12-16 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with another embodiment of the present invention.
  • FIGS. 17-21 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with yet another embodiment of the present invention
  • FIGS. 1-5 The intermediate stages of a method for forming a die having an isolation structure suitable for use in a three-dimensional integrated circuit or stacked die configuration are illustrated in FIGS. 1-5 .
  • like reference numbers are used to designate like elements.
  • the semiconductor substrate 110 may comprise, for example, bulk silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate.
  • SOI substrate comprises a layer of a semiconductor material, such as silicon, formed on an insulator layer.
  • the insulator layer may be, for example, a buried oxide (BOX) layer or a silicon oxide layer.
  • BOX buried oxide
  • the insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multi-layered or gradient substrate may also be used.
  • the electrical circuitry 112 formed on the semiconductor substrate 110 may be any type of circuitry suitable for a particular application.
  • the circuitry includes electrical devices formed on the substrate with one or more dielectric layers overlying the electrical devices. Metal layers may be formed between dielectric layers to route electrical signals between the electrical devices. Electrical devices may also be formed in one or more dielectric layers.
  • the electrical circuitry 112 may include various N-type metal-oxide semiconductor (NMOS) and/or P-type metal-oxide semiconductor (PMOS) devices, such as transistors, capacitors, resistors, diodes, photo-diodes, fuses, and the like, interconnected to perform one or more functions.
  • the functions may include memory structures, processing structures, sensors, amplifiers, power distribution, input/output circuitry, or the like.
  • the etch stop layer 114 is preferably formed of a dielectric material having a different etch selectivity from adjacent layers, e.g., the underlying semiconductor substrate 110 and the overlying ILD layer 116 .
  • the etch stop layer 114 may be formed of SiN, SiCN, SiCO, CN, combinations thereof, or the like deposited by chemical vapor deposition (CVD) or plasma-enhanced CVD (PECVD) techniques.
  • the ILD layer 116 may be formed, for example, of a low-K dielectric material, such as silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), SiO x C y , Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof or the like, by any suitable method known in the art, such as spinning, CVD, and PECVD. It should also be noted that the etch stop layer 114 and the ILD layer 116 may each comprise a plurality of dielectric layers, with or without an etch stop layer formed between adjacent dielectric layers.
  • a low-K dielectric material such as silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), SiO x C y , Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof or
  • Contacts 118 are formed through the ILD layer 116 to provide an electrical contact to the electrical circuitry 112 .
  • the contacts 118 may be formed, for example, by using photolithography techniques to deposit and pattern a photoresist material on the ILD layer 116 to expose portions of the ILD layer 116 that are to become the contacts 118 .
  • An etch process such as an anisotropic dry etch process, may be used to create openings in the ILD layer 116 .
  • the openings are, preferably, lined with a diffusion barrier layer and/or an adhesion layer (not shown), and filled with a conductive material.
  • the diffusion barrier layer comprises one or more layers of TaN, Ta, TiN, Ti, CoW, or the like, and the conductive material comprises copper, tungsten, aluminum, silver, and combinations thereof, or the like, thereby forming the contacts 118 as illustrated in FIG. 1 .
  • IMD layers 120 and the associated metallization layers are formed over the ILD layer 116 .
  • the one or more IMD layers 120 and the associated metallization layers are used to interconnect the electrical circuitry to each other and to provide an external electrical connection.
  • the IMD layers 120 are preferably formed of a low-K dielectric material, such as fluorosilicate glass (FSG) formed by PECVD techniques or high-density plasma chemical vapor deposition (HDPCVD) or the like, and may include intermediate etch stop layers, similar to etch stop layer 114 .
  • Top metal contacts 122 are provided in the uppermost IMD layer to provide external electrical connections.
  • the through-silicon vias 124 may be formed by any appropriate method. For example, openings may be formed extending into the substrate 110 prior to forming the ILD layer 116 by, for example, one or more etching processes, milling, laser techniques, or the like.
  • the openings are preferably lined with a liner, such as liner 126 , that acts as an isolation layer, and filled with a conductive material 124 .
  • the liner 126 comprises one or more layers of SiN, an oxide, a polymer, or the like, and the conductive material comprises copper, tungsten, aluminum, silver, and combinations thereof, or the like, thereby forming the through-silicon vias 124 .
  • Other materials, including conductive diffusion barrier layers, such as TaN, Ta, TiN, Ti, CoW, or the like, may also be used.
  • the through-silicon vias 124 are illustrated as extending in the substrate 110 from a top surface of the substrate 110 for illustrative purposes only and that other arrangements may be utilized.
  • the through-silicon vias 124 may extend from a top surface of the ILD layer 116 or one of the IMD layers 120 .
  • the through-silicon vias 124 are formed by creating openings extending into the substrate 110 after forming the contacts 118 by, for example, one or more etching process, milling, laser techniques, or the like.
  • the openings are also preferably lined with a liner, such as liner 126 , that acts as an isolation layer, and filled with a conductive material as discussed above.
  • Conductive bumps 128 such as metal bumps formed of Cu, W, CuSn, AuSn, InAu, PbSn, or the like, are formed on the top metal contacts 122 , and a carrier substrate 130 is attached to a top surface of the IMD layers 120 using an adhesive 132 .
  • the carrier substrate 130 provides temporary mechanical and structural support during subsequent processing steps. In this manner, damage to the semiconductor substrate 110 is reduced or prevented.
  • the carrier substrate 130 may comprise, for example, glass, silicon oxide, aluminum oxide, and the like.
  • the adhesive 132 may be any suitable adhesive, such as an ultraviolet (UV) glue, which loses its adhesive property when exposed to UV lights.
  • UV ultraviolet
  • the preferred thickness of the carrier substrate 130 is preferably in the range of a few mils to tens of mils.
  • FIG. 2 illustrates a thinning process performed on a backside of the substrate 110 to expose the through-silicon vias 124 /liners 126 in accordance with an embodiment of the present invention.
  • the thinning process may be performed using a mechanical grinding process, a chemical mechanical polishing (CMP) process, an etching process, and/or a combination thereof.
  • CMP chemical mechanical polishing
  • a planarizing process such as grinding or a CMP, may be performed to initially expose the through-silicon vias 124 .
  • a wet or dry etching process having a high etch-rate selectivity between the material of the liners 126 and the material of the substrate 110 may be performed to recess the semiconductor substrate 110 , thereby leaving the through-silicon vias 124 and the liners 126 protruding from the underside of the semiconductor substrate 110 as illustrated in FIG. 2 .
  • the semiconductor substrate 110 may be recessed by, for example, performing a dry etch process using HBr/O 2 , HBr/Cl 2 /O 2 , SF 6 /CL 2 , SF 6 plasma, or the like.
  • the through-silicon vias 124 and the liners 126 are exposed in the range of about sub- ⁇ m to about few ⁇ ms
  • FIG. 3 illustrates an isolation film 310 formed over the backside of the substrate 110 (or a native oxide that may be formed on the surface of the substrate 110 ) in accordance with an embodiment of the present invention.
  • the isolation film 310 is a dielectric material, such as SiN, an oxide, SiC, SiON, a polymer, or the like, and may be formed by, for example, spin-coating, printing, a CVD process, or the like.
  • the isolation film 310 is formed using a low-temperature process, e.g., using temperatures less than 250° C. by a PECVD process, preventing the bonding adhesive from degrading to ensure the mechanical strength throughout the integration process.
  • the isolation film 310 is preferably formed having a thickness sufficient to cover the exposed through-silicon vias 124 .
  • planarization process it may be desirable to perform a planarization process.
  • some methods of deposition such as spin-coating, create a planar surface
  • other methods such as a CVD process, form a conformal layer and as a result
  • a planarization process such as a grinding or CMP process
  • FIG. 4 illustrates a second exposure of the through-silicon vias 124 in accordance with an embodiment of the present invention.
  • the thinning process may be performed using a mechanical grinding process, a CMP process, an etching process, and/or a combination thereof.
  • a planarizing process such as grinding or a CMP, may be performed to initially expose the through-silicon vias 124 .
  • a wet or dry etching process having a high etch-rate selectivity between the material of the through-silicon vias 124 and the liners 126 and the material of the isolation film 310 may be performed to recess the isolation film 310 , thereby leaving the through-silicon vias 124 protruding from the underside of the isolation film 310 as illustrated in FIG. 4 .
  • the isolation film 310 may be recessed by performing a wet etch using hydrofluoric acid or a dry etching process. Other processes and materials may be used.
  • the through-silicon vias 124 are exposed in the range of about sub- ⁇ m to about a few ⁇ ms.
  • FIG. 4 also illustrates removing the liners 126 from the exposed portions of the through-silicon vias 124 along with the recess step of the isolation film 310 .
  • connection elements 510 are formed on the exposed through-silicon vias 124 in accordance with an embodiment of the present invention.
  • the connection elements 510 may be any suitable conductive material, such as Cu, Ni, Sn, Au, Ag, or the like, and may be formed by any suitable method, including evaporation, electroplating, printing, jetting, stud bumping, direct placement, or the like.
  • BEOL back-end-of-line
  • the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed.
  • embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration.
  • FIGS. 6-11 illustrate another method of forming an isolation structure on a die suitable for a stacked die configuration in accordance with an embodiment of the present invention.
  • the method illustrated in FIGS. 6-11 assumes a starting configuration such as that illustrated in FIG. 2 discussed above, wherein like reference numerals refer to like elements
  • FIG. 6 illustrates an isolation film 610 formed over the backside of the substrate 110 (or a native oxide that may be formed on the surface of the substrate 110 ) in accordance with an embodiment of the present invention.
  • the isolation film 610 is a conformal layer of a dielectric material, such as SiN, an oxide, SiC, SiON, a polymer, or the like, and may be formed by, for example, a CVD process, a PECVD process, or the like.
  • the isolation film 610 is formed using a low-temperature process, e.g., using temperatures less than 250° C. with a PECVD process, preventing the bonding adhesive from degrading to ensure the mechanical strength throughout the integration process.
  • the isolation film 610 is preferably formed having a thickness of several k ⁇ . It should be noted, however, that the thickness of the isolation film 610 is less than the amount by which the through-silicon vias 124 protrude from the substrate 110 .
  • FIG. 7 illustrates a mask layer 710 formed over the isolation film 610
  • FIG. 8 illustrates an etch-back process to expose the isolation film 610 over the through-silicon vias 124 in accordance with an embodiment of the present invention
  • the mask layer 710 comprises a photoresist material, although other materials having a high-etch selectivity with the underlying isolation film 610 and the liner 126 may be used.
  • the etch-back process may be performed by, for example, dry etching process.
  • the liner 126 and the isolation film 610 positioned on the through-silicon vias 124 are removed, thereby exposing the through-silicon vias 124 .
  • a wet or dry etching process having a high etch-rate selectivity between the material of the mask layer 710 and the material of the through-silicon vias 124 , the liners 126 , and the isolation film 610 may be performed to remove a portion of the isolation film 610 and the liners 126 , thereby exposing the through-silicon vias 124 as illustrated in FIG. 9 .
  • exposing the through-silicon vias 124 may be performed by, for example, a wet etch using hydrofluoric acid or a dry etch process. Other processes and materials may be used.
  • FIG. 10 illustrates the removal of the mask layer 710 (see FIG. 7 ) in accordance with an embodiment of the present invention.
  • a plasma ashing or a wet strip process may be used to remove the patterned mask 710 .
  • One preferred plasma ashing process uses an O 2 flow rate of about 1000 sccm to about 2000 sccm at a pressure of about 300 mTorr to about 600 mTorr and at power of about 500 Watts to about 2000 Watts and at a temperature of about 80° C. to about 200° C., for example.
  • the plasma ashing process may be followed by a wet dip in a solvent chemical to clean the wafer and remove any remaining photoresist material.
  • connection elements 1110 are formed on the exposed through-silicon vias 124 in accordance with an embodiment of the present invention.
  • the connection elements 1110 may be any suitable conductive material, such as Cu, Ni, Sn, Au, Ag, or the like, and may be formed by any suitable method, including evaporation, electroplating, printing, jetting, stud bumping, direct placement, or the like.
  • BEOL back-end-of-line
  • the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed.
  • embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration.
  • FIGS. 12-16 illustrate another method of forming an isolation structure on a die suitable for a stacked die configuration in accordance with another embodiment of the present invention.
  • the method illustrated in FIGS. 12-16 assumes a starting configuration such as that illustrated in FIG. 4 discussed above, wherein like reference numerals refer to like elements.
  • a conformal seed layer 1210 is deposited over the surface of the isolation film 310 and the exposed portions of the through-silicon vias 124 .
  • the seed layer 1210 is a thin layer of a conductive material that aids in the formation of a thicker layer during subsequent processing steps.
  • the seed layer 1210 may be formed by depositing a thin conductive layer, such as a thin layer of Cu, Ti, Ta, TiN, TaN, or the like, using CVD or PVD techniques. For example, a layer of Ti is deposited by PVD process to form a barrier film and a layer of Cu is deposited by PVD process to form a seed layer.
  • FIG. 13 illustrates a patterned mask 1310 formed over the seed layer 1210 in accordance with an embodiment of the present invention.
  • the patterned mask 1310 preferably comprises a pattern photoresist, hard mask, or the like.
  • a photoresist material is deposited and patterned to form openings 1312 over the through-silicon vias 124 .
  • conductive pads 1410 are formed in the openings 1312 as illustrated in FIG. 14 .
  • the conductive pads 1410 may be formed, for example, by electroplating, electroless plating, or the like.
  • an electroplating process is used wherein the wafer is submerged or immersed in the electroplating solution.
  • the wafer surface is electrically connected to the negative side of an external DC power supply such that the wafer functions as the cathode in the electroplating process.
  • a solid conductive anode, such as a copper anode, is also immersed in the solution and is attached to the positive side of the power supply.
  • the atoms from the anode are dissolved into the solution, from which the cathode, e.g., the wafer, acquires, thereby plating the exposed conductive areas of the wafer, e.g., the openings 1410 .
  • FIG. 15 illustrates the removal of the patterned mask 1310 (see FIG. 13 ) in accordance with an embodiment of the present invention.
  • the patterned mask 1310 is a photoresist mask
  • a plasma ashing or a wet strip process may be used to remove the patterned mask 1310 as discussed above.
  • FIG. 16 illustrates removal of the exposed seed layer 1210 .
  • the seed layer 1210 may be removed by, for example, a wet etching process.
  • the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed.
  • a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed.
  • embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration.
  • FIGS. 17-21 illustrate another method of forming an isolation structure on a die suitable for a stacked die configuration in accordance with another embodiment of the present invention.
  • the method illustrated in FIGS. 17-21 assumes a starting configuration such as that illustrated in FIG. 10 discussed above, wherein like reference numerals refer to like elements.
  • a conformal seed layer 1710 is deposited over the surface of the isolation film 610 and the exposed portions of the through-silicon vias 124 .
  • the seed layer 1710 is a thin layer of a conductive material that aids in the formation of a thicker layer during subsequent processing steps and may include a barrier film.
  • the seed layer 1710 may be formed using similar materials and similar processes as the seed layer 1210 discussed above with reference to FIG. 12 .
  • FIG. 18 illustrates a patterned mask 1810 formed over the seed layer 1710 in accordance with an embodiment of the present invention.
  • the patterned mask 1810 preferably comprises a pattern photoresist, hard mask, or the like.
  • a photoresist material is deposited and patterned to form openings 1812 over the through-silicon vias 124 .
  • conductive pads 1910 are formed in the openings 1812 as illustrated in FIG. 19 .
  • the conductive pads 1910 may be formed, for example, by electroplating, electroless plating, or the like as discussed above with reference to conductive pads 1410 (see FIG. 14 ).
  • FIG. 20 illustrates the removal of the patterned mask 1810 (see FIG. 18 ) in accordance with an embodiment of the present invention.
  • the patterned mask 1810 is a photoresist mask
  • a plasma ashing or a wet strip process may be used to remove the patterned mask 1810 .
  • the plasma ashing process may be followed by a wet dip in a solvent chemical to clean the wafer and remove remaining photoresist material.
  • FIG. 21 illustrates removal of the exposed seed layer 1710 .
  • the seed layer 1710 may be removed by, for example, a wet etching process.
  • the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed.
  • a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed.
  • embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration
  • embodiments of the present invention discussed above provide an isolation structure around the exposed through-silicon vias, thereby providing a larger wetting surface for forming the solder balls with reduced or no concern for shorting the solder ball to the substrate. As a result, the density of the solder balls may be increased. Furthermore, the addition of the isolation film increases the mechanical strength of the bonding interface.

Abstract

An isolation structure for stacked dies is provided. A through-silicon via is formed in a semiconductor substrate. A backside of the semiconductor substrate is thinned to expose the through-silicon via. An isolation film is formed over the backside of the semiconductor substrate and the exposed portion of the through-silicon via. The isolation film is thinned to re-expose the through-silicon via, and conductive elements are formed on the through-silicon via. The conductive element may be, for example, a solder ball or a conductive pad. The conductive pad may be formed by depositing a seed layer and an overlying mask layer. The conductive pad is formed on the exposed seed layer. Thereafter, the mask layer and the unused seed layer may be removed.

Description

    TECHNICAL FIELD
  • This invention relates generally to integrated circuits and, more particularly, to an isolation structure for stacked dies.
  • BACKGROUND
  • Since the invention of the integrated circuit, the semiconductor industry has experienced rapid growth due to continuous improvements in the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density has come from repeated reductions in minimum feature size, which allows more components to be integrated into a given area.
  • These integration improvements are essentially two-dimensional (2D) in nature, in that the volume occupied by the integrated components is essentially on the surface of the semiconductor wafer. Although dramatic improvement in lithography has resulted in considerable improvement in 2D integrated circuit (IC) formation, there are physical limits to the density that can be achieved in two dimensions. One of these limits is the minimum size needed to make these components. Also, when more devices are put into one chip, more complex designs are required.
  • In an attempt to further increase circuit density, three-dimensional (3D) ICs have been investigated. In a typical formation process of a 3D IC, two dies are bonded together and electrical connections are formed between each die and contact pads on a substrate. For example, one attempt involved bonding two dies on top of each other. The stacked dies were then bonded to a carrier substrate and wire bonds electrically coupled contact pads on each die to contact pads on the carrier substrate. This attempt, however, requires a carrier substrate larger than the dies for the wire bonding.
  • More recent attempts have focused on through-silicon vias (TSVs). Generally, a TSV is formed by etching a vertical via through a substrate and filling the via with a conductive material, such as copper. The backside of the substrate is thinned to expose the TSVs, and solder balls are placed directly on the TSVs to provide an electrical contact. Another die is placed on the solder balls, thereby forming a stacked die package.
  • The dielectric processes used on the circuit side of the substrate are not applicable to the backside due to the thinned substrate. As a result, the backside of the substrate is left unprotected when the solder balls are placed on the exposed TSVs, limiting the wetting surface for the solder ball without forming electrical shorts between the solder ball and the substrate. Furthermore, the structure limits the mechanical strength with the bonding surface and limits the I/O pin population.
  • Accordingly, there is a need for a better structure and method of bonding to TSV structures.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally reduced, solved or circumvented, and technical advantages are generally achieved, by embodiments of the present invention, which provides an isolation structure for stacked dies.
  • In accordance with an embodiment of the present invention, a semiconductor device is provided. The semiconductor device has a semiconductor substrate with through-silicon vias extending through and a portion thereof protruding from the backside of the semiconductor substrate. An isolation film is formed on the backside of the semiconductor substrate between adjacent protruding portions of the through-silicon vias. The isolation film does not extend beyond the protruding portions of the through-silicon vias. Conductive elements, such as solder balls or metal pads, are formed over the exposed through-silicon vias.
  • In accordance with another embodiment of the present invention, a method of forming a semiconductor device is provided. The method includes providing a semiconductor substrate having a through-silicon via extending from a circuit side partially through the semiconductor substrate. A backside of the semiconductor substrate is thinned such that the through-silicon via protrudes from the backside of the semiconductor substrate. An isolation film is formed over the backside of the semiconductor substrate and the through-silicon via, and then the isolation film is thinned such that the through-silicon via is exposed. A conductive element, such as a solder ball or a metal pad, is formed over the exposed through-silicon via.
  • In accordance with yet another embodiment of the present invention, another method of forming a semiconductor device is provided. The method includes providing a semiconductor substrate having a first side and a second side opposite the first side. The semiconductor substrate has a through-silicon via extending from a first side partially through the semiconductor substrate. The through-silicon via is exposed such that at least a portion of the through-silicon via protrudes from the second side of the semiconductor substrate, and a dielectric layer is formed over the second side of the semiconductor substrate. A patterned mask is formed over the dielectric layer such that the dielectric layer over the through-silicon via is exposed. The exposed portions of the dielectric layer are removed, thereby exposing the through-silicon via.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIGS. 1-5 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with an embodiment of the present invention;
  • FIGS. 6-11 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with another embodiment of the present invention;
  • FIGS. 12-16 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with another embodiment of the present invention; and
  • FIGS. 17-21 illustrate intermediate stages in forming a semiconductor device that may be used in a stacked die configuration in accordance with yet another embodiment of the present invention
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • The intermediate stages of a method for forming a die having an isolation structure suitable for use in a three-dimensional integrated circuit or stacked die configuration are illustrated in FIGS. 1-5. Throughout the various views and illustrative embodiments of the present invention, like reference numbers are used to designate like elements.
  • Referring first to FIG. 1, a semiconductor substrate 110 having electrical circuitry 112 formed thereon is shown. The semiconductor substrate 110 may comprise, for example, bulk silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate. Generally, an SOI substrate comprises a layer of a semiconductor material, such as silicon, formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) layer or a silicon oxide layer. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multi-layered or gradient substrate may also be used.
  • The electrical circuitry 112 formed on the semiconductor substrate 110 may be any type of circuitry suitable for a particular application. In an embodiment, the circuitry includes electrical devices formed on the substrate with one or more dielectric layers overlying the electrical devices. Metal layers may be formed between dielectric layers to route electrical signals between the electrical devices. Electrical devices may also be formed in one or more dielectric layers.
  • For example, the electrical circuitry 112 may include various N-type metal-oxide semiconductor (NMOS) and/or P-type metal-oxide semiconductor (PMOS) devices, such as transistors, capacitors, resistors, diodes, photo-diodes, fuses, and the like, interconnected to perform one or more functions. The functions may include memory structures, processing structures, sensors, amplifiers, power distribution, input/output circuitry, or the like. One of ordinary skill in the art will appreciate that the above examples are provided for illustrative purposes only to further explain applications of the present invention and are not meant to limit the present invention in any manner. Other circuitry may be used as appropriate for a given application.
  • Also shown in FIG. 1 are an etch stop layer 114 and an inter-layer dielectric (ILD) layer 116. The etch stop layer 114 is preferably formed of a dielectric material having a different etch selectivity from adjacent layers, e.g., the underlying semiconductor substrate 110 and the overlying ILD layer 116. In an embodiment, the etch stop layer 114 may be formed of SiN, SiCN, SiCO, CN, combinations thereof, or the like deposited by chemical vapor deposition (CVD) or plasma-enhanced CVD (PECVD) techniques.
  • The ILD layer 116 may be formed, for example, of a low-K dielectric material, such as silicon oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), SiOxCy, Spin-On-Glass, Spin-On-Polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof or the like, by any suitable method known in the art, such as spinning, CVD, and PECVD. It should also be noted that the etch stop layer 114 and the ILD layer 116 may each comprise a plurality of dielectric layers, with or without an etch stop layer formed between adjacent dielectric layers.
  • Contacts 118 are formed through the ILD layer 116 to provide an electrical contact to the electrical circuitry 112. The contacts 118 may be formed, for example, by using photolithography techniques to deposit and pattern a photoresist material on the ILD layer 116 to expose portions of the ILD layer 116 that are to become the contacts 118. An etch process, such as an anisotropic dry etch process, may be used to create openings in the ILD layer 116. The openings are, preferably, lined with a diffusion barrier layer and/or an adhesion layer (not shown), and filled with a conductive material. Preferably, the diffusion barrier layer comprises one or more layers of TaN, Ta, TiN, Ti, CoW, or the like, and the conductive material comprises copper, tungsten, aluminum, silver, and combinations thereof, or the like, thereby forming the contacts 118 as illustrated in FIG. 1.
  • One or more inter-metal dielectric (IMD) layers 120 and the associated metallization layers (not shown) are formed over the ILD layer 116. Generally, the one or more IMD layers 120 and the associated metallization layers are used to interconnect the electrical circuitry to each other and to provide an external electrical connection. The IMD layers 120 are preferably formed of a low-K dielectric material, such as fluorosilicate glass (FSG) formed by PECVD techniques or high-density plasma chemical vapor deposition (HDPCVD) or the like, and may include intermediate etch stop layers, similar to etch stop layer 114. Top metal contacts 122 are provided in the uppermost IMD layer to provide external electrical connections.
  • Also shown in FIG. 1 are through-silicon vias 124. The through-silicon vias 124 may be formed by any appropriate method. For example, openings may be formed extending into the substrate 110 prior to forming the ILD layer 116 by, for example, one or more etching processes, milling, laser techniques, or the like. The openings are preferably lined with a liner, such as liner 126, that acts as an isolation layer, and filled with a conductive material 124. Preferably, the liner 126 comprises one or more layers of SiN, an oxide, a polymer, or the like, and the conductive material comprises copper, tungsten, aluminum, silver, and combinations thereof, or the like, thereby forming the through-silicon vias 124. Other materials, including conductive diffusion barrier layers, such as TaN, Ta, TiN, Ti, CoW, or the like, may also be used.
  • It should be noted that the through-silicon vias 124 are illustrated as extending in the substrate 110 from a top surface of the substrate 110 for illustrative purposes only and that other arrangements may be utilized. For example, in another embodiment the through-silicon vias 124 may extend from a top surface of the ILD layer 116 or one of the IMD layers 120. For example, in an embodiment, the through-silicon vias 124 are formed by creating openings extending into the substrate 110 after forming the contacts 118 by, for example, one or more etching process, milling, laser techniques, or the like. The openings are also preferably lined with a liner, such as liner 126, that acts as an isolation layer, and filled with a conductive material as discussed above.
  • Conductive bumps 128, such as metal bumps formed of Cu, W, CuSn, AuSn, InAu, PbSn, or the like, are formed on the top metal contacts 122, and a carrier substrate 130 is attached to a top surface of the IMD layers 120 using an adhesive 132. Generally, the carrier substrate 130 provides temporary mechanical and structural support during subsequent processing steps. In this manner, damage to the semiconductor substrate 110 is reduced or prevented.
  • The carrier substrate 130 may comprise, for example, glass, silicon oxide, aluminum oxide, and the like. In an embodiment, an adhesive 132 used to adhere the carrier substrate 130 to a top surface of the IMD 120 (or a passivation layer). The adhesive 132 may be any suitable adhesive, such as an ultraviolet (UV) glue, which loses its adhesive property when exposed to UV lights. The preferred thickness of the carrier substrate 130 is preferably in the range of a few mils to tens of mils.
  • FIG. 2 illustrates a thinning process performed on a backside of the substrate 110 to expose the through-silicon vias 124/liners 126 in accordance with an embodiment of the present invention. The thinning process may be performed using a mechanical grinding process, a chemical mechanical polishing (CMP) process, an etching process, and/or a combination thereof. For example, initially a planarizing process, such as grinding or a CMP, may be performed to initially expose the through-silicon vias 124. Thereafter, a wet or dry etching process having a high etch-rate selectivity between the material of the liners 126 and the material of the substrate 110 may be performed to recess the semiconductor substrate 110, thereby leaving the through-silicon vias 124 and the liners 126 protruding from the underside of the semiconductor substrate 110 as illustrated in FIG. 2. In an embodiment in which the through-silicon vias 124 are formed of copper and the liners 126 are formed of an oxide, the semiconductor substrate 110 may be recessed by, for example, performing a dry etch process using HBr/O2, HBr/Cl2/O2, SF6/CL2, SF6 plasma, or the like. Preferably, the through-silicon vias 124 and the liners 126 are exposed in the range of about sub-μm to about few μms
  • FIG. 3 illustrates an isolation film 310 formed over the backside of the substrate 110 (or a native oxide that may be formed on the surface of the substrate 110) in accordance with an embodiment of the present invention. In a preferred embodiment, the isolation film 310 is a dielectric material, such as SiN, an oxide, SiC, SiON, a polymer, or the like, and may be formed by, for example, spin-coating, printing, a CVD process, or the like. Preferably, the isolation film 310 is formed using a low-temperature process, e.g., using temperatures less than 250° C. by a PECVD process, preventing the bonding adhesive from degrading to ensure the mechanical strength throughout the integration process. The isolation film 310 is preferably formed having a thickness sufficient to cover the exposed through-silicon vias 124.
  • Depending on the process utilized to form the isolation film 310, it may be desirable to perform a planarization process. In particular, some methods of deposition, such as spin-coating, create a planar surface, but other methods, such as a CVD process, form a conformal layer and as a result, it may be desirable to perform a planarization process, such as a grinding or CMP process, to create a planar surface as illustrated in FIG. 3.
  • FIG. 4 illustrates a second exposure of the through-silicon vias 124 in accordance with an embodiment of the present invention. The thinning process may be performed using a mechanical grinding process, a CMP process, an etching process, and/or a combination thereof. For example, initially a planarizing process, such as grinding or a CMP, may be performed to initially expose the through-silicon vias 124. Thereafter, a wet or dry etching process having a high etch-rate selectivity between the material of the through-silicon vias 124 and the liners 126 and the material of the isolation film 310 may be performed to recess the isolation film 310, thereby leaving the through-silicon vias 124 protruding from the underside of the isolation film 310 as illustrated in FIG. 4. In an embodiment in which the through-silicon vias 124 are formed of copper, the isolation film 310 may be recessed by performing a wet etch using hydrofluoric acid or a dry etching process. Other processes and materials may be used. Preferably, the through-silicon vias 124 are exposed in the range of about sub-μm to about a few μms.
  • FIG. 4 also illustrates removing the liners 126 from the exposed portions of the through-silicon vias 124 along with the recess step of the isolation film 310.
  • As illustrated in FIG. 5, connection elements 510 are formed on the exposed through-silicon vias 124 in accordance with an embodiment of the present invention. The connection elements 510 may be any suitable conductive material, such as Cu, Ni, Sn, Au, Ag, or the like, and may be formed by any suitable method, including evaporation, electroplating, printing, jetting, stud bumping, direct placement, or the like.
  • Thereafter, other back-end-of-line (BEOL) processing techniques suitable for the particular application may be performed. For example, the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed. It should be noted, however, that embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration.
  • FIGS. 6-11 illustrate another method of forming an isolation structure on a die suitable for a stacked die configuration in accordance with an embodiment of the present invention. The method illustrated in FIGS. 6-11 assumes a starting configuration such as that illustrated in FIG. 2 discussed above, wherein like reference numerals refer to like elements
  • FIG. 6 illustrates an isolation film 610 formed over the backside of the substrate 110 (or a native oxide that may be formed on the surface of the substrate 110) in accordance with an embodiment of the present invention. In a preferred embodiment, the isolation film 610 is a conformal layer of a dielectric material, such as SiN, an oxide, SiC, SiON, a polymer, or the like, and may be formed by, for example, a CVD process, a PECVD process, or the like. Preferably, the isolation film 610 is formed using a low-temperature process, e.g., using temperatures less than 250° C. with a PECVD process, preventing the bonding adhesive from degrading to ensure the mechanical strength throughout the integration process. The isolation film 610 is preferably formed having a thickness of several kÅ. It should be noted, however, that the thickness of the isolation film 610 is less than the amount by which the through-silicon vias 124 protrude from the substrate 110.
  • FIG. 7 illustrates a mask layer 710 formed over the isolation film 610, and FIG. 8 illustrates an etch-back process to expose the isolation film 610 over the through-silicon vias 124 in accordance with an embodiment of the present invention. In an embodiment, the mask layer 710 comprises a photoresist material, although other materials having a high-etch selectivity with the underlying isolation film 610 and the liner 126 may be used. The etch-back process may be performed by, for example, dry etching process.
  • Thereafter, as illustrated in FIG. 9, the liner 126 and the isolation film 610 positioned on the through-silicon vias 124 are removed, thereby exposing the through-silicon vias 124. A wet or dry etching process having a high etch-rate selectivity between the material of the mask layer 710 and the material of the through-silicon vias 124, the liners 126, and the isolation film 610 may be performed to remove a portion of the isolation film 610 and the liners 126, thereby exposing the through-silicon vias 124 as illustrated in FIG. 9. In an embodiment in which the through-silicon vias 124 are formed of copper, exposing the through-silicon vias 124 may be performed by, for example, a wet etch using hydrofluoric acid or a dry etch process. Other processes and materials may be used.
  • FIG. 10 illustrates the removal of the mask layer 710 (see FIG. 7) in accordance with an embodiment of the present invention. In an embodiment in which the patterned mask 710 is a photoresist mask, a plasma ashing or a wet strip process may be used to remove the patterned mask 710. One preferred plasma ashing process uses an O2 flow rate of about 1000 sccm to about 2000 sccm at a pressure of about 300 mTorr to about 600 mTorr and at power of about 500 Watts to about 2000 Watts and at a temperature of about 80° C. to about 200° C., for example. Optionally, the plasma ashing process may be followed by a wet dip in a solvent chemical to clean the wafer and remove any remaining photoresist material.
  • As illustrated in FIG. 11, connection elements 1110 are formed on the exposed through-silicon vias 124 in accordance with an embodiment of the present invention. The connection elements 1110 may be any suitable conductive material, such as Cu, Ni, Sn, Au, Ag, or the like, and may be formed by any suitable method, including evaporation, electroplating, printing, jetting, stud bumping, direct placement, or the like.
  • Thereafter, other back-end-of-line (BEOL) processing techniques suitable for the particular application may be performed. For example, the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed. It should be noted, however, that embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration.
  • FIGS. 12-16 illustrate another method of forming an isolation structure on a die suitable for a stacked die configuration in accordance with another embodiment of the present invention. The method illustrated in FIGS. 12-16 assumes a starting configuration such as that illustrated in FIG. 4 discussed above, wherein like reference numerals refer to like elements.
  • Referring now to FIG. 12, a conformal seed layer 1210 is deposited over the surface of the isolation film 310 and the exposed portions of the through-silicon vias 124. The seed layer 1210 is a thin layer of a conductive material that aids in the formation of a thicker layer during subsequent processing steps. In an embodiment, the seed layer 1210 may be formed by depositing a thin conductive layer, such as a thin layer of Cu, Ti, Ta, TiN, TaN, or the like, using CVD or PVD techniques. For example, a layer of Ti is deposited by PVD process to form a barrier film and a layer of Cu is deposited by PVD process to form a seed layer.
  • FIG. 13 illustrates a patterned mask 1310 formed over the seed layer 1210 in accordance with an embodiment of the present invention. The patterned mask 1310 preferably comprises a pattern photoresist, hard mask, or the like. In a preferred embodiment, a photoresist material is deposited and patterned to form openings 1312 over the through-silicon vias 124.
  • Thereafter, conductive pads 1410 are formed in the openings 1312 as illustrated in FIG. 14. The conductive pads 1410 may be formed, for example, by electroplating, electroless plating, or the like. In an embodiment, an electroplating process is used wherein the wafer is submerged or immersed in the electroplating solution. The wafer surface is electrically connected to the negative side of an external DC power supply such that the wafer functions as the cathode in the electroplating process. A solid conductive anode, such as a copper anode, is also immersed in the solution and is attached to the positive side of the power supply. The atoms from the anode are dissolved into the solution, from which the cathode, e.g., the wafer, acquires, thereby plating the exposed conductive areas of the wafer, e.g., the openings 1410.
  • FIG. 15 illustrates the removal of the patterned mask 1310 (see FIG. 13) in accordance with an embodiment of the present invention. In an embodiment in which the patterned mask 1310 is a photoresist mask, a plasma ashing or a wet strip process may be used to remove the patterned mask 1310 as discussed above.
  • FIG. 16 illustrates removal of the exposed seed layer 1210. The seed layer 1210 may be removed by, for example, a wet etching process.
  • Thereafter, other BEOL processing techniques suitable for the particular application may be performed. For example, the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed. It should be noted, however, that embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration.
  • FIGS. 17-21 illustrate another method of forming an isolation structure on a die suitable for a stacked die configuration in accordance with another embodiment of the present invention. The method illustrated in FIGS. 17-21 assumes a starting configuration such as that illustrated in FIG. 10 discussed above, wherein like reference numerals refer to like elements.
  • Referring first to FIG. 17, a conformal seed layer 1710 is deposited over the surface of the isolation film 610 and the exposed portions of the through-silicon vias 124. The seed layer 1710 is a thin layer of a conductive material that aids in the formation of a thicker layer during subsequent processing steps and may include a barrier film. The seed layer 1710 may be formed using similar materials and similar processes as the seed layer 1210 discussed above with reference to FIG. 12.
  • FIG. 18 illustrates a patterned mask 1810 formed over the seed layer 1710 in accordance with an embodiment of the present invention. The patterned mask 1810 preferably comprises a pattern photoresist, hard mask, or the like. In a preferred embodiment, a photoresist material is deposited and patterned to form openings 1812 over the through-silicon vias 124.
  • Thereafter, conductive pads 1910 are formed in the openings 1812 as illustrated in FIG. 19. The conductive pads 1910 may be formed, for example, by electroplating, electroless plating, or the like as discussed above with reference to conductive pads 1410 (see FIG. 14).
  • FIG. 20 illustrates the removal of the patterned mask 1810 (see FIG. 18) in accordance with an embodiment of the present invention. In an embodiment in which the patterned mask 1810 is a photoresist mask, a plasma ashing or a wet strip process may be used to remove the patterned mask 1810. Optionally, the plasma ashing process may be followed by a wet dip in a solvent chemical to clean the wafer and remove remaining photoresist material.
  • FIG. 21 illustrates removal of the exposed seed layer 1710. The seed layer 1710 may be removed by, for example, a wet etching process.
  • Thereafter, other BEOL processing techniques suitable for the particular application may be performed. For example, the carrier substrate 130 may be removed, an encapsulant may be formed, a singulation process may be performed to singulate individual dies, wafer-level or die-level stacking, and the like, may be performed. It should be noted, however, that embodiments of the present invention may be used in many different situations. For example, embodiments of the present invention may be used in a die-to-die bonding configuration, a die-to-wafer bonding configuration, or a wafer-to-wafer bonding configuration
  • It should be appreciated that embodiments of the present invention discussed above provide an isolation structure around the exposed through-silicon vias, thereby providing a larger wetting surface for forming the solder balls with reduced or no concern for shorting the solder ball to the substrate. As a result, the density of the solder balls may be increased. Furthermore, the addition of the isolation film increases the mechanical strength of the bonding interface.
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

1. A semiconductor device comprising:
a semiconductor substrate having a circuit side and a backside opposite the circuit side;
through-silicon vias extending through the semiconductor substrate, each of the through-silicon vias having a protruding portion from the backside of the semiconductor substrate;
an isolation film on the backside of the semiconductor substrate between adjacent ones of the through-silicon vias, the isolation film not extending beyond the top of the protruding portion of each of the through-silicon vias; and
a conductive element on the protruding portion of each of the through-silicon vias.
2. The semiconductor device of claim 1, wherein the conductive element comprises a conducting seed layer on the protruding portion of each of the through-silicon vias and a conductive pad on the conducting seed layer.
3. The semiconductor device of claim 2, wherein the conducting seed layer extends over a portion of the isolation film.
4. The semiconductor device of claim 2, wherein the conductive pad comprises copper.
5. The semiconductor device of claim 1, wherein the isolation film extends along sidewalls of the protruding portion of each of the through-silicon vias.
6. The semiconductor device of claim 1, wherein the conductive element comprises a solder ball.
7. The semiconductor device of claim 1, further comprising conductive bumps on the circuit side of the semiconductor substrate.
8. A method of forming a semiconductor device, the method comprising:
providing a semiconductor substrate having a through-silicon via extending from a circuit side partially through the semiconductor substrate;
thinning a backside of the semiconductor substrate such that the through-silicon via protrudes from the backside of the semiconductor substrate;
forming an isolation film over the backside of the semiconductor substrate and the through-silicon via;
thinning the isolation film such that the through-silicon via is exposed; and
forming a conductive element on the through-silicon via.
9. The method of claim 8, wherein the forming the conductive element comprises forming a solder ball on the through-silicon via.
10. The method of claim 8, wherein the forming the conductive element comprises:
forming a seed layer over the through-silicon via; and
forming a metal pad over the seed layer.
11. The method of claim 8, wherein a liner is interposed between the through-silicon via and the semiconductor substrate and further comprising removing the liner from over the through-silicon via.
12. The method of claim 11, wherein the removing the liner is performed after the forming the isolation film.
13. The method of claim 11, wherein the isolation film has a planar surface.
14. A method of forming a semiconductor device, the method comprising:
providing a semiconductor substrate, the semiconductor substrate having a first side and a second side opposite the first side, the semiconductor substrate having a through-silicon via extending from the first side partially through the semiconductor substrate;
exposing the through-silicon via such that at least a portion of the through-silicon via protrudes from the second side of the semiconductor substrate;
forming a dielectric layer over the second side of the semiconductor substrate;
forming a patterned mask over the dielectric layer, the dielectric layer over the through-silicon via being exposed; and
removing the dielectric layer over the through-silicon via.
15. The method of claim 14, further comprising forming a conductive element over the through-silicon via.
16. The method of claim 15, wherein the conductive element comprises solder.
17. The method of claim 14, further comprising removing the patterned mask after the removing the dielectric layer.
18. The method of claim 14, wherein a liner is interposed between the through-silicon via and the semiconductor substrate.
19. The method of claim 18, further comprising removing the liner after the forming the patterned mask.
20. The method of claim 18, wherein the liner extends along sidewalls of the portion of the through-silicon via protruding from the second side of the substrate.
US12/348,622 2009-01-05 2009-01-05 Isolation Structure for Stacked Dies Abandoned US20100171197A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/348,622 US20100171197A1 (en) 2009-01-05 2009-01-05 Isolation Structure for Stacked Dies
TW098115797A TWI429046B (en) 2009-01-05 2009-05-13 Semiconductor device and method for forming the same
CN200910141836.2A CN101771012B (en) 2009-01-05 2009-05-26 Semiconductor device and manufacture method thereof
US14/254,597 US10163756B2 (en) 2009-01-05 2014-04-16 Isolation structure for stacked dies

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/348,622 US20100171197A1 (en) 2009-01-05 2009-01-05 Isolation Structure for Stacked Dies

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/254,597 Division US10163756B2 (en) 2009-01-05 2014-04-16 Isolation structure for stacked dies

Publications (1)

Publication Number Publication Date
US20100171197A1 true US20100171197A1 (en) 2010-07-08

Family

ID=42311151

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/348,622 Abandoned US20100171197A1 (en) 2009-01-05 2009-01-05 Isolation Structure for Stacked Dies
US14/254,597 Active US10163756B2 (en) 2009-01-05 2014-04-16 Isolation structure for stacked dies

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/254,597 Active US10163756B2 (en) 2009-01-05 2014-04-16 Isolation structure for stacked dies

Country Status (3)

Country Link
US (2) US20100171197A1 (en)
CN (1) CN101771012B (en)
TW (1) TWI429046B (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100140805A1 (en) * 2008-12-10 2010-06-10 Hung-Pin Chang Bump Structure for Stacked Dies
US20110068466A1 (en) * 2009-09-22 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Backside Interconnect Structure Connected to TSVs
US20110198721A1 (en) * 2010-02-12 2011-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US20120106117A1 (en) * 2010-11-02 2012-05-03 Georgia Tech Research Corporation Ultra-thin interposer assemblies with through vias
US20120126298A1 (en) * 2010-08-25 2012-05-24 Texas Instruments Incorporated Self-powered integrated circuit with photovoltaic cell
US20120199970A1 (en) * 2011-02-08 2012-08-09 Ki-Young Yun Semiconductor device and method of manufacturing a semiconductor device
CN102820257A (en) * 2011-06-09 2012-12-12 台湾积体电路制造股份有限公司 Through silicon via structure and method
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US20130309861A1 (en) * 2012-03-12 2013-11-21 Micron Technology, Inc. Semiconductor Constructions and Methods of Planarizing Across a Plurality of Electrically Conductive Posts
US20140035164A1 (en) * 2010-06-28 2014-02-06 Kwang-jin Moon Semiconductor Device and Method of Fabricating the Same
US20140057434A1 (en) * 2012-08-24 2014-02-27 Jia-Jia Chen Through silicon via process
US20140199833A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Methods for performing a via reveal etching process for forming through-silicon vias in a substrate
US20140203827A1 (en) * 2013-01-23 2014-07-24 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with embedded interconnect connection to through-semiconductor via
US20140264954A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Passivation and warpage correction by nitride film for molded wafers
FR3009128A1 (en) * 2013-07-25 2015-01-30 Commissariat Energie Atomique METHOD FOR PRODUCING A CONDUCTIVE PLATE ON A CONDUCTIVE ELEMENT
US20150221612A1 (en) * 2014-02-03 2015-08-06 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods
US20150228545A1 (en) * 2010-08-12 2015-08-13 Freescale Semiconductor, Inc. Methods of making a monolithic microwave integrated circuit
US20150243615A1 (en) * 2012-09-20 2015-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging Devices and Methods
KR101840846B1 (en) * 2012-02-15 2018-03-21 삼성전자주식회사 Semicoductor devices having through vias and methods for fabricating the same
US10163756B2 (en) 2009-01-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for stacked dies
US20200335428A1 (en) * 2009-01-13 2020-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Through-Silicon Via With Low-K Dielectric Liner

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI441305B (en) 2010-12-21 2014-06-11 Ind Tech Res Inst Semiconductor device
US8940563B2 (en) 2011-03-24 2015-01-27 Centera Photonics Inc. Method for manufacturing optoelectronic module
US9057850B2 (en) * 2011-03-24 2015-06-16 Centera Photonics Inc. Optoelectronic module
CN103367239A (en) * 2012-04-09 2013-10-23 南亚科技股份有限公司 Exposing method for through silicon via
KR101972969B1 (en) * 2012-08-20 2019-04-29 에스케이하이닉스 주식회사 Semiconductor device and method for fabricating the same
KR102151177B1 (en) * 2013-07-25 2020-09-02 삼성전자 주식회사 Integrated circuit device having through silicon via structure and method of manufacturing the same
US10903142B2 (en) * 2018-07-31 2021-01-26 Intel Corporation Micro through-silicon via for transistor density scaling
US11133282B2 (en) 2019-05-31 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. COWOS structures and methods forming same
US11183443B2 (en) * 2019-06-13 2021-11-23 Nanya Technology Corporation Semiconductor structure and method for manufacturing the same
US11264350B2 (en) * 2020-03-19 2022-03-01 Nanya Technology Corporation Semiconductor device with composite dielectric structure and method for forming the same
KR20210130440A (en) * 2020-04-22 2021-11-01 삼성전자주식회사 Semiconductor devices having via protection layer
KR20210145568A (en) * 2020-05-25 2021-12-02 에스케이하이닉스 주식회사 Semiconductor devices including stacked substrates and method for fabricating the same
CN111739840B (en) * 2020-07-24 2023-04-11 联合微电子中心有限责任公司 Preparation method of silicon adapter plate and packaging structure of silicon adapter plate

Citations (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US5510298A (en) * 1991-09-12 1996-04-23 Texas Instruments Incorporated Method of interconnect in an integrated circuit
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5767001A (en) * 1993-05-05 1998-06-16 Siemens Aktiengesellschaft Process for producing semiconductor components between which contact is made vertically
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6034436A (en) * 1996-11-28 2000-03-07 Nec Corporation Semiconductor device having an improved through-hole structure
US6184060B1 (en) * 1996-10-29 2001-02-06 Trusi Technologies Llc Integrated circuits and methods for their fabrication
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US20020084513A1 (en) * 1996-10-29 2002-07-04 Oleg Siniaguine Integrated circuits and methods for their fabrication
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
US20020113321A1 (en) * 2001-02-22 2002-08-22 Oleg Siniaguine Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6448168B1 (en) * 1997-09-30 2002-09-10 Intel Corporation Method for distributing a clock on the silicon backside of an integrated circuit
US6451684B1 (en) * 1998-07-21 2002-09-17 Samsung Electronics Co., Ltd. Semiconductor device having a conductive layer side surface slope which is at least 90° and method for manufacturing the same
US6465892B1 (en) * 1999-04-13 2002-10-15 Oki Electric Industry Co., Ltd. Interconnect structure for stacked semiconductor device
US20020182855A1 (en) * 2001-06-01 2002-12-05 Agarwala Birendra N. Dual damascene multi-level metallization
US6498381B2 (en) * 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6538333B2 (en) * 2000-06-16 2003-03-25 Chartered Semiconductor Manufacturing Ltd. Three dimensional IC package module
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US20030148600A1 (en) * 1999-07-01 2003-08-07 Hitachi, Ltd. Method of forming conductive layers in the trenches or through holes made in an insulating film on a semiconductor substrate
US20040048459A1 (en) * 2002-04-11 2004-03-11 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US20040188822A1 (en) * 2003-01-15 2004-09-30 Kazumi Hara Semiconductor chip, semiconductor wafer, semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US20040248398A1 (en) * 2001-03-15 2004-12-09 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US20040245623A1 (en) * 2003-03-28 2004-12-09 Kazumi Hara Semiconductor device, circuit substrate and electronic instrument
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6873054B2 (en) * 2002-04-24 2005-03-29 Seiko Epson Corporation Semiconductor device and a method of manufacturing the same, a circuit board and an electronic apparatus
US6897125B2 (en) * 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
US6908856B2 (en) * 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6914336B2 (en) * 2000-01-25 2005-07-05 Nec Electronics Corporation Semiconductor device structure and method for manufacturing the same
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US20050194691A1 (en) * 2004-03-08 2005-09-08 Fujitsu Limited Method of forming wiring structure and semiconductor device
US20050200025A1 (en) * 2003-11-21 2005-09-15 International Business Machines Corporation Low-k dielectric material system for IC application
US20050221601A1 (en) * 2004-03-31 2005-10-06 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US20050233581A1 (en) * 2004-03-31 2005-10-20 Nec Electronics Corporation Method for manufacturing semiconductor device
US6962872B2 (en) * 2002-12-09 2005-11-08 International Business Machines Corporation High density chip carrier with integrated passive devices
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7071546B2 (en) * 2002-01-16 2006-07-04 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US7122912B2 (en) * 2004-01-28 2006-10-17 Nec Electronics Corporation Chip and multi-chip semiconductor device using thereof and method for manufacturing same
US20060273465A1 (en) * 2005-06-06 2006-12-07 Sharp Kabushiki Kaisha Semiconductor device and manufacturing method therefor
US20060289968A1 (en) * 2005-06-28 2006-12-28 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7157787B2 (en) * 2002-02-20 2007-01-02 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20070032061A1 (en) * 2005-08-05 2007-02-08 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US20070049016A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7193308B2 (en) * 2003-09-26 2007-03-20 Seiko Epson Corporation Intermediate chip module, semiconductor device, circuit board, and electronic device
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US20080054444A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US20080136023A1 (en) * 2006-12-06 2008-06-12 Sony Corporation Method for manufacturing semiconductor device and semiconductor device
US20080211106A1 (en) * 2007-03-01 2008-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US20090014843A1 (en) * 2007-06-06 2009-01-15 Kawashita Michihiro Manufacturing process and structure of through silicon via
US20090032960A1 (en) * 2007-07-31 2009-02-05 Micron Technology, Inc. Semiconductor devices and methods of manufacturing semiconductor devices
US20090149023A1 (en) * 2004-08-20 2009-06-11 Zycube Co., Ltd. Method of fabricating semiconductor device having three-dimensional stacked structure
US20090152602A1 (en) * 2007-12-17 2009-06-18 Kazutaka Akiyama Semiconductor device and method for manufacturing the same
US20090269905A1 (en) * 2007-05-16 2009-10-29 Chen-Shien Chen Tapered Through-Silicon Via Structure
US20090283898A1 (en) * 2008-05-15 2009-11-19 Janzen Jeffery W Disabling electrical connections using pass-through 3d interconnects and associated systems and methods
US20090315184A1 (en) * 2008-05-26 2009-12-24 Oki Semiconductor Co., Ltd. Semiconductor Device
US20100013060A1 (en) * 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
US20100038800A1 (en) * 2008-08-18 2010-02-18 Samsung Electronics Co., Ltd. Through-silicon via structures including conductive protective layers and methods of forming the same
US20100127394A1 (en) * 2008-11-25 2010-05-27 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
US20100140805A1 (en) * 2008-12-10 2010-06-10 Hung-Pin Chang Bump Structure for Stacked Dies
US20100171226A1 (en) * 2008-12-29 2010-07-08 Texas Instruments, Inc. Ic having tsv arrays with reduced tsv induced stress
US20100176494A1 (en) * 2009-01-13 2010-07-15 Ming-Fa Chen Through-Silicon Via With Low-K Dielectric Liner
US7772116B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US7772081B2 (en) * 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US20100330798A1 (en) * 2009-06-26 2010-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV Backside Interconnects by Modifying Carrier Wafers
US20110049706A1 (en) * 2009-09-03 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Front Side Copper Post Joint Structure for Temporary Bond in TSV Application
US20110068466A1 (en) * 2009-09-22 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Backside Interconnect Structure Connected to TSVs
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US7969016B2 (en) * 2007-06-22 2011-06-28 Industrial Technology Research Institute Self-aligned wafer or chip structure, and self-aligned stacked structure
US20110186990A1 (en) * 2010-01-29 2011-08-04 Texas Instruments Incorporated Protruding tsv tips for enhanced heat dissipation for ic devices
US20110233785A1 (en) * 2010-03-24 2011-09-29 International Business Machines Corporation Backside dummy plugs for 3d integration
US20110241217A1 (en) * 2010-03-30 2011-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Layer Interconnect Structure for Stacked Dies
US8174124B2 (en) * 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US6706189B2 (en) 1998-10-09 2004-03-16 Zenon Environmental Inc. Cyclic aeration system for submerged membrane modules
JP2001291720A (en) 2000-04-05 2001-10-19 Hitachi Ltd Semiconductor integrated circuit device and its manufacturing method
US7053465B2 (en) 2000-11-28 2006-05-30 Texas Instruments Incorporated Semiconductor varactor with reduced parasitic resistance
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4213478B2 (en) * 2003-01-14 2009-01-21 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
TWI241000B (en) 2003-01-21 2005-10-01 Siliconware Precision Industries Co Ltd Semiconductor package and fabricating method thereof
JP4035066B2 (en) * 2003-02-04 2008-01-16 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
TWI239629B (en) * 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US7109068B2 (en) * 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
KR20060054690A (en) 2004-11-16 2006-05-23 강준모 Semiconductor device having backside input output terminal and method of manufacturing the same
KR101052366B1 (en) 2004-11-16 2011-07-28 강준모 Semiconductor device having rear input / output terminal and manufacturing method thereof
KR20060054689A (en) 2004-11-16 2006-05-23 강준모 Semiconductor device having backside input output terminal and method of manufacturing the same
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
JP5073946B2 (en) 2005-12-27 2012-11-14 新光電気工業株式会社 Semiconductor device and manufacturing method of semiconductor device
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US7514775B2 (en) 2006-10-09 2009-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7544605B2 (en) 2006-11-21 2009-06-09 Freescale Semiconductor, Inc. Method of making a contact on a backside of a die
KR20080101635A (en) 2007-05-18 2008-11-21 삼성전자주식회사 Semiconductor packages, method of fabricating the same, and package modules and electronic product using the semiconductor package
TW200910557A (en) 2007-06-20 2009-03-01 Flipchip Int Llc Under bump metallization structure having a seed layer for electroless nickel deposition
US20090057909A1 (en) 2007-06-20 2009-03-05 Flipchip International, Llc Under bump metallization structure having a seed layer for electroless nickel deposition
KR101387701B1 (en) 2007-08-01 2014-04-23 삼성전자주식회사 Semiconductor packages and methods for manufacturing the same
JP2009055004A (en) 2007-08-24 2009-03-12 Honda Motor Co Ltd Through-wiring structure
JP5358089B2 (en) 2007-12-21 2013-12-04 スパンション エルエルシー Semiconductor device
US7804119B2 (en) 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US8178976B2 (en) 2008-05-12 2012-05-15 Texas Instruments Incorporated IC device having low resistance TSV comprising ground connection
US8932906B2 (en) * 2008-08-19 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via bonding structure
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
US7928534B2 (en) * 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
US7999320B2 (en) 2008-12-23 2011-08-16 International Business Machines Corporation SOI radio frequency switch with enhanced signal fidelity and electrical isolation
US7910473B2 (en) 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US8119447B2 (en) 2009-06-17 2012-02-21 Stats Chippac Ltd. Integrated circuit packaging system with through via die having pedestal and recess and method of manufacture thereof
US9070679B2 (en) 2009-11-24 2015-06-30 Marvell World Trade Ltd. Semiconductor package with a semiconductor die embedded within substrates
US8299633B2 (en) 2009-12-21 2012-10-30 Advanced Micro Devices, Inc. Semiconductor chip device with solder diffusion protection
US8273616B2 (en) 2010-02-19 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gated-varactors
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices
US9293366B2 (en) 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US8896136B2 (en) 2010-06-30 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark and method of formation
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8466061B2 (en) 2010-09-23 2013-06-18 Infineon Technologies Ag Method for forming a through via in a semiconductor element and semiconductor element comprising the same
US8466553B2 (en) 2010-10-12 2013-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package having the same
US8344493B2 (en) 2011-01-06 2013-01-01 Texas Instruments Incorporated Warpage control features on the bottomside of TSV die lateral to protruding bottomside tips
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8273604B2 (en) 2011-02-22 2012-09-25 STAT ChipPAC, Ltd. Semiconductor device and method of forming WLCSP structure using protruded MLP
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8791009B2 (en) 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510298A (en) * 1991-09-12 1996-04-23 Texas Instruments Incorporated Method of interconnect in an integrated circuit
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US5767001A (en) * 1993-05-05 1998-06-16 Siemens Aktiengesellschaft Process for producing semiconductor components between which contact is made vertically
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US6740582B2 (en) * 1996-10-29 2004-05-25 Tru-Si Technologies, Inc. Integrated circuits and methods for their fabrication
US6664129B2 (en) * 1996-10-29 2003-12-16 Tri-Si Technologies, Inc. Integrated circuits and methods for their fabrication
US6184060B1 (en) * 1996-10-29 2001-02-06 Trusi Technologies Llc Integrated circuits and methods for their fabrication
US6639303B2 (en) * 1996-10-29 2003-10-28 Tru-Si Technolgies, Inc. Integrated circuits and methods for their fabrication
US20020084513A1 (en) * 1996-10-29 2002-07-04 Oleg Siniaguine Integrated circuits and methods for their fabrication
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US6034436A (en) * 1996-11-28 2000-03-07 Nec Corporation Semiconductor device having an improved through-hole structure
US6448168B1 (en) * 1997-09-30 2002-09-10 Intel Corporation Method for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6451684B1 (en) * 1998-07-21 2002-09-17 Samsung Electronics Co., Ltd. Semiconductor device having a conductive layer side surface slope which is at least 90° and method for manufacturing the same
US6465892B1 (en) * 1999-04-13 2002-10-15 Oki Electric Industry Co., Ltd. Interconnect structure for stacked semiconductor device
US6472293B2 (en) * 1999-04-13 2002-10-29 Oki Electric Industry Co., Ltd. Method for manufacturing an interconnect structure for stacked semiconductor device
US6770528B2 (en) * 1999-07-01 2004-08-03 Hitachi Ulsi Systems Co., Ltd. Method of forming a data-storing capacitive element made in an insulating film on a semiconductor substrate
US20030148600A1 (en) * 1999-07-01 2003-08-07 Hitachi, Ltd. Method of forming conductive layers in the trenches or through holes made in an insulating film on a semiconductor substrate
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6693361B1 (en) * 1999-12-06 2004-02-17 Tru-Si Technologies, Inc. Packaging of integrated circuits and vertical integration
US6417087B1 (en) * 1999-12-16 2002-07-09 Agere Systems Guardian Corp. Process for forming a dual damascene bond pad structure over active circuitry
US6914336B2 (en) * 2000-01-25 2005-07-05 Nec Electronics Corporation Semiconductor device structure and method for manufacturing the same
US6538333B2 (en) * 2000-06-16 2003-03-25 Chartered Semiconductor Manufacturing Ltd. Three dimensional IC package module
US20020113321A1 (en) * 2001-02-22 2002-08-22 Oleg Siniaguine Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6498381B2 (en) * 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US20040248398A1 (en) * 2001-03-15 2004-12-09 Micron Technology, Inc. Barrier-metal-free copper damascene technology using atomic hydrogen enhanced reflow
US7224063B2 (en) * 2001-06-01 2007-05-29 International Business Machines Corporation Dual-damascene metallization interconnection
US20020182855A1 (en) * 2001-06-01 2002-12-05 Agarwala Birendra N. Dual damascene multi-level metallization
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US7071546B2 (en) * 2002-01-16 2006-07-04 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US7157787B2 (en) * 2002-02-20 2007-01-02 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US20040048459A1 (en) * 2002-04-11 2004-03-11 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6838774B2 (en) * 2002-04-11 2005-01-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US6873054B2 (en) * 2002-04-24 2005-03-29 Seiko Epson Corporation Semiconductor device and a method of manufacturing the same, a circuit board and an electronic apparatus
US7355273B2 (en) * 2002-07-31 2008-04-08 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, methods
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6962867B2 (en) * 2002-07-31 2005-11-08 Microntechnology, Inc. Methods of fabrication of semiconductor dice having back side redistribution layer accessed using through-silicon vias and assemblies thereof
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6962872B2 (en) * 2002-12-09 2005-11-08 International Business Machines Corporation High density chip carrier with integrated passive devices
US20040188822A1 (en) * 2003-01-15 2004-09-30 Kazumi Hara Semiconductor chip, semiconductor wafer, semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US20040245623A1 (en) * 2003-03-28 2004-12-09 Kazumi Hara Semiconductor device, circuit substrate and electronic instrument
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6908856B2 (en) * 2003-04-03 2005-06-21 Interuniversitair Microelektronica Centrum (Imec) Method for producing electrical through hole interconnects and devices made thereof
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6897125B2 (en) * 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
US7193308B2 (en) * 2003-09-26 2007-03-20 Seiko Epson Corporation Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US20050200025A1 (en) * 2003-11-21 2005-09-15 International Business Machines Corporation Low-k dielectric material system for IC application
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7122912B2 (en) * 2004-01-28 2006-10-17 Nec Electronics Corporation Chip and multi-chip semiconductor device using thereof and method for manufacturing same
US20050194691A1 (en) * 2004-03-08 2005-09-08 Fujitsu Limited Method of forming wiring structure and semiconductor device
US20050233581A1 (en) * 2004-03-31 2005-10-20 Nec Electronics Corporation Method for manufacturing semiconductor device
US20050221601A1 (en) * 2004-03-31 2005-10-06 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
US7528068B2 (en) * 2004-03-31 2009-05-05 Nec Electronics Corporation Method for manufacturing semiconductor device
US20090149023A1 (en) * 2004-08-20 2009-06-11 Zycube Co., Ltd. Method of fabricating semiconductor device having three-dimensional stacked structure
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US20060273465A1 (en) * 2005-06-06 2006-12-07 Sharp Kabushiki Kaisha Semiconductor device and manufacturing method therefor
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US20060289968A1 (en) * 2005-06-28 2006-12-28 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US20070032061A1 (en) * 2005-08-05 2007-02-08 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7772116B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods of forming blind wafer interconnects
US20070049016A1 (en) * 2005-09-01 2007-03-01 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US20080054444A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US20080136023A1 (en) * 2006-12-06 2008-06-12 Sony Corporation Method for manufacturing semiconductor device and semiconductor device
US8034704B2 (en) * 2006-12-06 2011-10-11 Sony Corporation Method for manufacturing semiconductor device and semiconductor device
US20080211106A1 (en) * 2007-03-01 2008-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
US20090269905A1 (en) * 2007-05-16 2009-10-29 Chen-Shien Chen Tapered Through-Silicon Via Structure
US20090014843A1 (en) * 2007-06-06 2009-01-15 Kawashita Michihiro Manufacturing process and structure of through silicon via
US7969016B2 (en) * 2007-06-22 2011-06-28 Industrial Technology Research Institute Self-aligned wafer or chip structure, and self-aligned stacked structure
US20090032960A1 (en) * 2007-07-31 2009-02-05 Micron Technology, Inc. Semiconductor devices and methods of manufacturing semiconductor devices
US20090152602A1 (en) * 2007-12-17 2009-06-18 Kazutaka Akiyama Semiconductor device and method for manufacturing the same
US7919835B2 (en) * 2007-12-17 2011-04-05 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20090283898A1 (en) * 2008-05-15 2009-11-19 Janzen Jeffery W Disabling electrical connections using pass-through 3d interconnects and associated systems and methods
US20090315184A1 (en) * 2008-05-26 2009-12-24 Oki Semiconductor Co., Ltd. Semiconductor Device
US7968460B2 (en) * 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US20100013060A1 (en) * 2008-06-22 2010-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a conductive trench in a silicon wafer and silicon wafer comprising such trench
US20110318917A1 (en) * 2008-08-18 2011-12-29 Minseung Yoon Methods of forming through-silicon via structures including conductive protective layers
US20100038800A1 (en) * 2008-08-18 2010-02-18 Samsung Electronics Co., Ltd. Through-silicon via structures including conductive protective layers and methods of forming the same
US7772081B2 (en) * 2008-09-17 2010-08-10 Stats Chippac, Ltd. Semiconductor device and method of forming high-frequency circuit structure and method thereof
US20100127394A1 (en) * 2008-11-25 2010-05-27 Freescale Semiconductor, Inc. Through substrate vias for back-side interconnections on very thin semiconductor wafers
US20100140805A1 (en) * 2008-12-10 2010-06-10 Hung-Pin Chang Bump Structure for Stacked Dies
US8097964B2 (en) * 2008-12-29 2012-01-17 Texas Instruments Incorporated IC having TSV arrays with reduced TSV induced stress
US20100171226A1 (en) * 2008-12-29 2010-07-08 Texas Instruments, Inc. Ic having tsv arrays with reduced tsv induced stress
US8264077B2 (en) * 2008-12-29 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Backside metal of redistribution line with silicide layer on through-silicon via of semiconductor chips
US20100176494A1 (en) * 2009-01-13 2010-07-15 Ming-Fa Chen Through-Silicon Via With Low-K Dielectric Liner
US20100330798A1 (en) * 2009-06-26 2010-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of TSV Backside Interconnects by Modifying Carrier Wafers
US20110049706A1 (en) * 2009-09-03 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Front Side Copper Post Joint Structure for Temporary Bond in TSV Application
US20110068466A1 (en) * 2009-09-22 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Backside Interconnect Structure Connected to TSVs
US20110186990A1 (en) * 2010-01-29 2011-08-04 Texas Instruments Incorporated Protruding tsv tips for enhanced heat dissipation for ic devices
US8294261B2 (en) * 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US20110233785A1 (en) * 2010-03-24 2011-09-29 International Business Machines Corporation Backside dummy plugs for 3d integration
US20110241217A1 (en) * 2010-03-30 2011-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Layer Interconnect Structure for Stacked Dies
US20130001799A1 (en) * 2010-03-30 2013-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Layer Interconnect Structure for Stacked Dies
US8174124B2 (en) * 2010-04-08 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy pattern in wafer backside routing

Cited By (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US9312225B2 (en) 2008-12-10 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure for stacked dies
US20100140805A1 (en) * 2008-12-10 2010-06-10 Hung-Pin Chang Bump Structure for Stacked Dies
US10163756B2 (en) 2009-01-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for stacked dies
US11600551B2 (en) * 2009-01-13 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
US20200335428A1 (en) * 2009-01-13 2020-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Through-Silicon Via With Low-K Dielectric Liner
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9978708B2 (en) 2009-09-22 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9716074B2 (en) 2009-09-22 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US9449875B2 (en) 2009-09-22 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US20110068466A1 (en) * 2009-09-22 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer Backside Interconnect Structure Connected to TSVs
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US20110198721A1 (en) * 2010-02-12 2011-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8841773B2 (en) 2010-03-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US20140035164A1 (en) * 2010-06-28 2014-02-06 Kwang-jin Moon Semiconductor Device and Method of Fabricating the Same
US9530726B2 (en) * 2010-06-28 2016-12-27 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9508599B2 (en) * 2010-08-12 2016-11-29 Freescale Semiconductor, Inc. Methods of making a monolithic microwave integrated circuit
US20150228545A1 (en) * 2010-08-12 2015-08-13 Freescale Semiconductor, Inc. Methods of making a monolithic microwave integrated circuit
US9871008B2 (en) 2010-08-12 2018-01-16 Nxp Usa, Inc. Monolithic microwave integrated circuits
US9048151B2 (en) * 2010-08-25 2015-06-02 Texas Instruments Incorporated Self-powered integrated circuit with photovoltaic cell
US20120126298A1 (en) * 2010-08-25 2012-05-24 Texas Instruments Incorporated Self-powered integrated circuit with photovoltaic cell
US20120106117A1 (en) * 2010-11-02 2012-05-03 Georgia Tech Research Corporation Ultra-thin interposer assemblies with through vias
US9167694B2 (en) * 2010-11-02 2015-10-20 Georgia Tech Research Corporation Ultra-thin interposer assemblies with through vias
US8836109B2 (en) * 2011-02-08 2014-09-16 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing a semiconductor device
US20120199970A1 (en) * 2011-02-08 2012-08-09 Ki-Young Yun Semiconductor device and method of manufacturing a semiconductor device
US8900994B2 (en) * 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
CN102820257A (en) * 2011-06-09 2012-12-12 台湾积体电路制造股份有限公司 Through silicon via structure and method
US8952506B2 (en) 2011-06-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via structure
US9997497B2 (en) 2011-06-09 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via structure
US9633900B2 (en) 2011-06-09 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for through silicon via structure
US9299676B2 (en) 2011-06-09 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Through silicon via structure
US20120313247A1 (en) * 2011-06-09 2012-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Through Silicon Via Structure and Method
KR101840846B1 (en) * 2012-02-15 2018-03-21 삼성전자주식회사 Semicoductor devices having through vias and methods for fabricating the same
US9029257B2 (en) * 2012-03-12 2015-05-12 Micron Technology, Inc. Semiconductor constructions and methods of planarizing across a plurality of electrically conductive posts
CN104285280A (en) * 2012-03-12 2015-01-14 美光科技公司 Semiconductor constructions and methods of planarizing across a plurality of electrically conductive posts
KR101587373B1 (en) * 2012-03-12 2016-01-20 마이크론 테크놀로지, 인크 Semiconductor constructions and methods of planarizing across a plurality of electrically conductive posts
KR20140143169A (en) * 2012-03-12 2014-12-15 마이크론 테크놀로지, 인크 Semiconductor constructions and methods of planarizing across a plurality of electrically conductive posts
US20130309861A1 (en) * 2012-03-12 2013-11-21 Micron Technology, Inc. Semiconductor Constructions and Methods of Planarizing Across a Plurality of Electrically Conductive Posts
US20140057434A1 (en) * 2012-08-24 2014-02-27 Jia-Jia Chen Through silicon via process
US9012324B2 (en) * 2012-08-24 2015-04-21 United Microelectronics Corp. Through silicon via process
US20150243615A1 (en) * 2012-09-20 2015-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging Devices and Methods
US9653418B2 (en) * 2012-09-20 2017-05-16 Taiwan Semiconductor Manufacturing Company Packaging devices and methods
US10079200B2 (en) 2012-09-20 2018-09-18 Taiwan Semiconductor Manufacturing Company Packaging devices and methods
US20140199833A1 (en) * 2013-01-11 2014-07-17 Applied Materials, Inc. Methods for performing a via reveal etching process for forming through-silicon vias in a substrate
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US20140203827A1 (en) * 2013-01-23 2014-07-24 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with embedded interconnect connection to through-semiconductor via
US20140264954A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Passivation and warpage correction by nitride film for molded wafers
FR3009128A1 (en) * 2013-07-25 2015-01-30 Commissariat Energie Atomique METHOD FOR PRODUCING A CONDUCTIVE PLATE ON A CONDUCTIVE ELEMENT
EP2843693A1 (en) * 2013-07-25 2015-03-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for producing a conductive pad on a conductive member
US9224708B2 (en) 2013-07-25 2015-12-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for manufacturing a conducting contact on a conducting element
US10096579B2 (en) 2014-02-03 2018-10-09 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods
US10651155B2 (en) 2014-02-03 2020-05-12 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods
US20150221612A1 (en) * 2014-02-03 2015-08-06 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods
US9768147B2 (en) * 2014-02-03 2017-09-19 Micron Technology, Inc. Thermal pads between stacked semiconductor dies and associated systems and methods

Also Published As

Publication number Publication date
TW201027698A (en) 2010-07-16
US20140225277A1 (en) 2014-08-14
TWI429046B (en) 2014-03-01
CN101771012B (en) 2016-01-20
US10163756B2 (en) 2018-12-25
CN101771012A (en) 2010-07-07

Similar Documents

Publication Publication Date Title
US10163756B2 (en) Isolation structure for stacked dies
US11600551B2 (en) Through-silicon via with low-K dielectric liner
US10854567B2 (en) 3D packages and methods for forming the same
US11830745B2 (en) 3D packages and methods for forming the same
US8466059B2 (en) Multi-layer interconnect structure for stacked dies
US9312225B2 (en) Bump structure for stacked dies
US11823979B2 (en) Method of forming semiconductor device having backside interconnect structure on through substrate via
US7910473B2 (en) Through-silicon via with air gap
US7687311B1 (en) Method for producing stackable dies

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, HUNG-PIN;HSU, KUO-CHING;CHEN, CHEN-SHIEN;AND OTHERS;REEL/FRAME:022422/0772

Effective date: 20090105

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION