US20100187636A1 - Method to increase strain enhancement with spacerless fet and dual liner process - Google Patents

Method to increase strain enhancement with spacerless fet and dual liner process Download PDF

Info

Publication number
US20100187636A1
US20100187636A1 US12/754,939 US75493910A US2010187636A1 US 20100187636 A1 US20100187636 A1 US 20100187636A1 US 75493910 A US75493910 A US 75493910A US 2010187636 A1 US2010187636 A1 US 2010187636A1
Authority
US
United States
Prior art keywords
spacerless
pfet
fet
stress inducing
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/754,939
Inventor
Haining S. Yang
Siddhartha Panda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/754,939 priority Critical patent/US20100187636A1/en
Publication of US20100187636A1 publication Critical patent/US20100187636A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a semiconductor structure and a method of fabricating such a structure. More particularly, the present invention relates to a semiconductor structure with increased strain enhancement that is achieved with a spacerless FET and a stress inducing liner. The present invention also provides a method of fabricating such a semiconductor structure in which a spacerless FET and a dual liner process are employed to provide a semiconductor structure with increased strain enhancement.
  • CMOS complementary metal oxide semiconductor
  • nFETs or pFETs are typically fabricated upon semiconductor wafers, such as Si, that have a single crystal orientation.
  • Si semiconductor wafers
  • most of today's semiconductor devices are built upon Si having a (100) crystal orientation.
  • Electrons are known to have a high mobility for a (100) Si surface orientation, but holes are known to have a high mobility for a (110) surface orientation. That is, hole mobility values on (100) Si are roughly 2 ⁇ -4 ⁇ lower than the corresponding electron mobility for this crystallographic orientation.
  • pFETs are typically designed with larger widths in order to balance pull-up currents against the nFET pull-down currents and achieve uniform circuit switching.
  • the (110) Si surface is optimal for pFET devices because of excellent hole mobility, yet such a crystal orientation is completely inappropriate for nFET devices.
  • the (100) Si surface is optimal for nFET devices since that crystal orientation favors electron mobility.
  • Hybrid oriented substrates having planar surfaces with different crystallographic orientation have recently been developed. See, for example, U.S. Pat. No. 7,329,923 and U.S. Pat. No. 7,023,055. Additionally, hybrid-orientated metal oxide semiconductor field effect transistors (MOSFETs) have recently demonstrated significantly higher circuit performance at the 90 nm technology node. As discussed above, the electron mobility and hole mobility can be optimized independently by placing the nFET on a (100) surface and the pFET on a (110) surface.
  • MOSFETs metal oxide semiconductor field effect transistors
  • hybrid oriented substrates having planar surfaces of different crystal orientation can increase the carrier mobility, further improvement is needed in order to keep the performance scaling as devices are being scaled.
  • Another means to enhance carrier mobility is to introduce a stress into the channel of the MOSFET.
  • Stress can be introduced into a single crystal oriented substrate by several methods including, for example, forming a stress inducing liner on top of the substrate and around the gate region.
  • strain enhancement for nFETs and pFETs is achieved using a dual nitride liner process. In such a process, a nitride liner of tensile stress is formed about the nFET and a nitride liner of compressive stress is formed about the pFET.
  • the pFET spacer is typically much wider than the nFET spacer to achieve optimum device parameters, such as, for example, resistance and threshold voltage roll off.
  • the distance of the compressive nitride film to the pFET channel is increased and, as such, there is a diminished strain enhancement on the pFET device.
  • wider spacers reduce the space between two closely placed pFET devices causing oxide voids in the interconnect dielectric (ILD) that is formed atop the pFET.
  • the ILD voids are later filled with metal during metal contact formation forming metal stringers which may shorten the contacts.
  • the present invention provides a semiconductor structure and a method of fabricating the same in which strain enhancement is achieved for both nFET and pFET devices.
  • the present invention provides at least one spacerless FET for stronger strain enhancement and defect reduction.
  • the at least one spacerless FET can be a pFET, an nFET, or a combination thereof, with spacerless pFETs being particularly preferred since pFETs are generally fabricated to have a greater width than nFETs.
  • spacerless it is meant that the wide outer spacer typically used in forming the source/drain diffusion regions is completely eliminated or replaced with a much narrower spacer.
  • wide spacer denotes a spacer have a width along a bottom portion, which forms an interface with the underlying layer, i.e., substrate or gate dielectric, of about 20 nm or greater.
  • a semiconductor structure in which the stress inducing liner is located in a greater proximity (on the order of about 30 nm or less) to the device channel by completely removing the wide outer spacer or by significantly reducing the width of such a spacer.
  • the present invention achieves the strain enhancement, without negatively impacting the resistance of the silicide contact that is present over the source/drain diffusion regions of the FET device.
  • the resistance of the silicide contact above the source/drain diffusion regions is affected (i.e., increased resistance) when the stress inducing liner is removed from one of the FET device regions during a dual stress liner process.
  • a recrystallizing anneal step is used to decrease the resistance of the silicide contact which was increased during the course of removing the stress inducing liner from one of the FET device regions.
  • the increased resistance of the silicide contacts is a result of damage, in terms of amorphiziation, which is induced during the removal of the stress inducing liner from one of the FET device regions.
  • the semiconductor structure having strain enhancement is achieved, while preventing metal stringer formation between neighboring contacts in nested PET regions.
  • the present invention provides a cost efficient method for fabricating semiconductor structures that have strain enhanced PET device regions.
  • the present invention provides a semiconductor structure comprising:
  • each FET including a channel region
  • recrystallized silicide contact located above source/drain diffusion regions of said spacerless FET, said recrystallized silicide contact does not encroach under sidewalls of said spacerless FET;
  • a compressive stress inducing liner located around said at least one pFET and a tensile stress inducing liner located around said at least one nFET, wherein at least one of stress inducing liners which is around said spacerless FET is positioned within 30 nm or less from the corresponding channel region.
  • the spacerless FET is a pFET and the compressive stress inducing liner is located in proximity to the channel region of the spacerless pFET.
  • the present invention also provides a method of fabricating such a structure.
  • the method of the present invention comprises:
  • first stress inducing liner and an overlaying hard mask on a structure including at least one nFET and at least one pFET, said first stress inducing liner having a first stress type and each FET including a devices channel, a wide outer spacer and a silicided source/drain diffusion contact;
  • the at least one spacerless FET is a pFET
  • said first stress inducing liner is of tensile strain
  • said second stress inducing liner is of compressive strain
  • the method of the present invention comprises:
  • first stress inducing liner and an overlaying hard mask on a structure including at least one spacerless nFET and at least one spacerless pFET, said first stress inducing liner having a first stress type and each FET including a devices channel and a silicided source/drain diffusion contact;
  • first and second stress inducing liners are positioned within 30 nm or less from the corresponding channel region of each spacerless FET.
  • FIGS. 1A-1J are pictorial representations (through cross sectional views) depicting the basic processing steps employed in the present invention.
  • the present invention will now be described in context with a preferred embodiment thereof in which strain enhancement is achieved using a spacerless pFET.
  • a spacerless pFET is described and depicted hereinbelow, the present application also contemplates the case where a spacerless nFET is used alone or in conjunction with a spacerless pFET.
  • the processing sequence is modified such that a compressive stress inducing liner is first formed, removed from the nFET region, and thereafter the wide spacer is removed from the nFET device.
  • spacerless nFETs and pFETs are formed, the wide spacers are removed after silicide formation and prior to forming the first stress inducing liner.
  • FIG. 1A shows an initial structure 10 that is employed in the present invention.
  • the initial structure 10 comprises a semiconductor substrate 12 that includes at least one pFET 14 A and at least one nFET 14 B located on a surface of the substrate 12 .
  • the FETs of different conductivity, i.e., pFETs and nFETs, are separated from each other by an isolation region 16 .
  • Each of the FETs includes a gate dielectric 18 , a gate conductor 20 , optional inner spacer (or passivation layer) 22 , and outer spacer 24 .
  • Silicide contacts 26 are shown in the semiconductor substrate 12 in the region in which source/drain diffusions will be present.
  • a silicide contact 28 may optionally be located atop the gate conductors when the gate conductors are comprised of a Si-containing material.
  • the initial structure shown in FIG. 1A is comprised of materials that are well known in the art.
  • the FETs, isolation regions and silicide contacts can also be formed utilizing conventional techniques that are well known in the art.
  • the FETs can be formed by deposition of the various material layers and patterning via lithography and etching.
  • a replacement gate process can be used in forming the FETs,
  • the semiconductor substrate 12 of the initial structure 10 may be a semiconductor-on-insulator (as shown) or a bulk semiconductor.
  • the semiconductor substrate 12 may be strained, unstrained or contain regions of strain and unstrain therein.
  • the semiconductor substrate 12 may have a single crystal orientation or it may be a hybrid substrate having regions of different crystal orientation.
  • hybrid substrates are employed, the nFETs and pFETs are fabricated in a region having a crystal orientation that will provide enhanced device performance to the specific device. For example, nFETs would be formed on a (100) surface, while the pFETs would be formed on a (110) surface.
  • the semiconductor-on-insulator comprises an upper semiconductor layer 12 C and a bottom semiconductor layer 12 A that are separated entirely or in part by a buried insulating layer 12 B.
  • the upper and lower semiconductor layers may comprise the same or different semiconductor material, with the same semiconductor material being highly preferred.
  • the buried insulating layer 12 B may be a crystalline or non-crystalline oxide, nitride or oxynitride.
  • the semiconductor-on-insulator substrate can be formed by a conventional layer transfer process or by an ion implantation and annealing process referred to as SIMOX (Separation by Ion Implantation of Oxygen).
  • semiconductor material or layer is used herein to denote any material that exhibits semiconducting properties including, for example, Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP as well as other III/V or II/VI compound semiconductors.
  • the semiconductor material(s) of the substrate is a Si-containing semiconductor, with Si or SiGe being highly preferred.
  • the semiconductor substrate 12 may also include a first doped (n- or p-) region, and a second doped (n- or p-) region.
  • n- or p- first doped
  • n- or p- second doped
  • the first doped region and the second doped region may be the same, or they may have different conductivities and/or doping concentrations. These doped regions are known as “wells”.
  • the isolation region 16 is formed into the substrate by utilizing a conventional technique well known in the art.
  • a conventional trench isolation process can be employed. This includes, for example, fowling a trench into the substrate by lithography and etching, optionally filling the trench with a trench liner such as, for example, TiN or TaN, and then filling the trench with a trench dielectric such as an oxide.
  • the fill step may include a high-density plasma deposited oxide, or an oxide formed from an oxygen precursor such as TEOS.
  • An optional densification step and/or a planarization step may follow the trench fill.
  • a conventional local oxidation of silicon (LOCOS) process can be used in forming the same.
  • LOC local oxidation of silicon
  • isolation region 16 is a trench isolation region which extends down to the surface of the buried insulating layer 12 B. Although such an embodiment is depicted and illustrated, the present invention also contemplates other depths for the isolation region 16 .
  • the isolation region 16 may have a depth that is above the buried insulating layer 12 B, within the buried insulating layer 12 B, or within the lower semiconductor layer 12 A of the semiconductor-on-insulator substrate.
  • each of the FETs of different conductivity includes a gate dielectric 18 .
  • the gate dielectric 18 in the nFET region may be the same or different, preferably the same, as that in the pFET region.
  • the gate dielectric 18 can be formed by a thermal growing process such as, for example, oxidation, nitridation or oxynitridation.
  • the gate dielectric 18 can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition or other like deposition processes.
  • the gate dielectric 18 may also be foamed utilizing any combination of the above processes.
  • the gate dielectric 18 is comprised of an insulating material including, but not limited to: an oxide, nitride, oxynitride and/or silicate including metal silicates and nitrided metal silicates multi-layered gate dielectrics are also contemplated. In one embodiment, it is preferred that the gate dielectric 18 is comprised of an oxide such as, for example, SiO 2 , HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , and mixtures thereof.
  • an oxide such as, for example, SiO 2 , HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 , SrTiO 3 , LaAlO 3 , and mixtures thereof.
  • the physical thickness of the gate dielectric 18 may vary, but typically, the gate dielectric 18 has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical.
  • gate dielectric 18 After forming the gate dielectric 18 , polysilicon or another gate conductor material or combination thereof, which becomes the gate conductor 20 shown in FIG. 1A , is formed on the gate dielectric 18 utilizing a known deposition process such as, for example, physical vapor deposition, CVD or evaporation.
  • the gate conductor 20 may be doped or undoped. If doped, an in-situ doping deposition process may be employed in forming the same.
  • a doped gate conductor 20 can be formed by deposition, ion implantation and annealing. The doping of the gate conductor 20 will shift the workfunction of the gate formed.
  • dopant ions include As, P, B, Sb, Bi, In, Al, Ga, Tl or mixtures thereof.
  • the thickness, i.e., height, of the gate conductor 20 deposited at this point of the present invention may vary depending on the deposition process employed. Typically, the gate conductor 20 has a vertical thickness from about 20 to about 180 nm, with a thickness from about 40 to about 150 nm being more typical.
  • the gate conductor 20 can comprise any conductive material that is typically employed as a gate electrode of a CMOS structure. Illustrative examples of such conductive materials that can be employed as the gate conductor 20 include, but are not limited to: polysilicon, metals or metal alloys, silicides, conductive nitrides, polySiGe and combinations thereof, including multilayers thereof.
  • the gate conductor 20 in each device region i.e., nFET and pFET
  • An optional dielectric cap (not shown) can be present atop the gate conductor 20 at this point of the present invention.
  • the optional dielectric cap which is typically comprised of an oxide or nitride, is typically removed before or immediately after the source/drain diffusion regions have been silicided.
  • An optional inner spacer 22 can be present on at least the sidewalls of each of the gate conductors 20 .
  • the optional inner spacer 22 is a passivation layer than can be formed by a thermal technique.
  • the optional inner spacer 22 is an oxide, nitride or oxynitride, which has a thickness from about 5 to about 15 nm.
  • the optional inner spacer 22 is also typically present on the sidewalls of the gate dielectric 18 as well as an exposed surface of the semiconductor substrate 12 .
  • Each FET also includes a wide outer spacer 24 .
  • the wide outer spacer 24 is comprised of an insulator such as an oxide, nitride, oxynitride and/or any combination thereof.
  • oxide inner spacers 22 are formed and nitride outer spacers 24 are formed.
  • the wide outer spacer 24 is formed by deposition and etching.
  • the width of the outer spacer 24 must be sufficiently wide enough such that the source and drain silicide contacts (to be subsequently formed) do not encroach underneath the edges of each of the gate stacks. Also, the widths of the outer spacer 24 must be sufficiently wide enough so that the deep source/drain implants also do not encroach significantly into the channel region to cause short channel effects. Typically, the source/drain silicide does not encroach underneath the edges of the gate stack when the wide outer spacer 26 has a width, as measured at the bottom, of about 20 nm or greater.
  • Source/drain diffusion regions are typically present in the semiconductor substrate 12 at the footprint of each of the FETs.
  • the source/drain diffusion regions are formed utilizing ion implantation and an annealing step.
  • the annealing step serves to activate the dopants that were implanted by the previous implant step.
  • the conditions for the ion implantation and annealing are well known to those skilled in the art.
  • the phrase “source/drain diffusion regions” includes extension regions, halo regions and deep source/drain regions.
  • a Si-containing layer can be formed atop of the exposed portions of the substrate to provide a source for forming the silicide contacts.
  • Si-containing materials include, for example, Si, single crystal Si, polycrystalline Si, SiGe, and amorphous Si. This embodiment of the present invention is not illustrated in the drawings.
  • Silicide contacts are formed after each FET region is formed utilizing a standard salicidation process well known in the art. This includes forming a metal capable of reacting with. Si atop the entire structure, forming an oxygen barrier layer atop the metal, heating the structure to form a silicide, removing non-reacted metal and the oxygen barrier layer and, if needed, conducting a second heating step. The second heating step is required in those instances in which the first heating step does not form the lowest resistance phase of the silicide.
  • reference numeral 26 denotes the silicided contacts on the source/drain diffusion regions.
  • this step of the present invention can be used in forming a silicide contact atop the Si-containing gate conductor.
  • reference numeral 28 is used in defining the silicided contact located on the gate conductor 20 .
  • FIG. 1B illustrates the structure after forming a first stress inducing liner 30 and a hard mask 32 .
  • the first stress inducing liner 30 may be of a compressive stress or of a tensile stress.
  • the first stress inducing liner 30 is of tensile stress.
  • the first stress inducing liner 30 may be any material that is capable of introducing a stress into the device channel. Examples of such stress inducing materials, include, but are not limited to: Si 3 N 4 , SiC, silicon oxynitride and other like materials.
  • the first stress inducing liner 30 is comprised of Si 3 N 4 .
  • the first stress inducing liner 30 can be formed utilizing various chemical vapor deposition (CVD) processes including, for example, low pressure CVD, plasma enhanced CVD, rapid thermal CVD, BTBAS-based (C 8 H 22 N 2 Si reacted with ammonia) CVD, where BTBAS is a modern metalorganic precursor for CVD applications.
  • CVD chemical vapor deposition
  • the stress type is controlled by modifying the precursor and deposition conditions. Such modification is well with the knowledge of a skilled artisan.
  • the first stress inducing liner 30 has an as deposited thickness from about 20 to about 150 nm, with an as deposited thickness from about 30 to about 100 nm being more typical.
  • the hard mask 32 is then formed atop the first stress inducing liner 30 utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition and evaporation.
  • the hard mask 32 is typically comprised of an oxide such as, for example SiO 2 .
  • oxide hard masks are typically employed, the present invention also contemplates utilizing oxynitride hard masks as well.
  • the thickness of the hard mask 32 can vary depending on the type of material used as well as the deposition process that is employed in forming the same. Typically, the hard mask 32 has an as deposited thickness from about 5 to about 40 nm, with an as deposited thickness from about 10 to about 25 nm being even more typical.
  • FIG. 1C shows the structure after forming a first patterned photoresist 34 which protects at least one of the FET device regions on the structure shown in FIG. 1B .
  • the first patterned photoresist 34 is located over the region including the nFET device.
  • the first patterned photoresist 34 is formed by deposition (such as, for example, CVD, PECVD, and spin-on coating). and lithography.
  • the hard mask 32 which is located in the region including the pFET device, is not protected by the first patterned photoresist 34 .
  • FIG. 1D shows the structure that is formed after removing the hard mask 32 from the region including the pFET device and stripping the first patterned photoresist 34 from atop the region including the nFET device.
  • the exposed hard mask 32 located atop the pFET device is removed utilizing an etching process that selectively removes hard mask material, stopping atop the underlying first stress inducing liner 30 .
  • the hard mask 32 is comprised of an oxide
  • CF 4 chemicals can be used to selectively remove the exposed hard mask 32 from atop the pFET device.
  • the first patterned photoresist 34 is then stripped utilizing a conventional resist stripping process that is well known in the art.
  • the exposed first stress inducing liner 30 located atop the pFET device is then removed using the remaining oxide hard mask 32 present atop the nFET device as a patterned mask.
  • the resultant structure is shown, for example, in FIG. 1E .
  • the exposed stress inducing liner 30 is removed utilizing an etching process that selectively removes the stress inducing liner not protected by the hard mask 32 from the structure.
  • CH 2 F 2 , CHF 3 , CH 3 F, and O 2 chemicals can be used to selectively remove the exposed first stress inducing liner 30 from atop the region including the pFET device.
  • the silicide contact 26 located at least atop the source/drain diffusion regions is damaged; some damage may also take place within silicide contact 28 as well.
  • damaged it is meant that at least some part, particularly a surface region, of the silicide contact becomes amorphorized which increases the resistant of the contact from a first value to a second value that is greater than the first value.
  • An increase resistance of about 20 to about 150% of the silicide contact can be obtained when the first stress inducing liner 30 is removed from the region including the pFET device (a similar value results when the first stress inducing liner is removed from the region including the nFET device).
  • the wide outer spacer 24 is completely removed from the region including the pFET device (See FIG. 1F ) or partially removed from the region including the pFET device (See FIG. 1G ).
  • the remaining outer spacer 24 ′ has a width that is much less than the original width.
  • the remaining outer spacer 24 ′ has a width from about 5 to about 20 nm.
  • the wide outer spacer 24 is completely or partially removed utilizing an etching step that is selective in removing the material of the outer spacer 24 .
  • a timed etching process can be used to partially remove the wide outer spacer 24 .
  • CH 2 F 2 , CHF 3 , CH 3 F, or O 2 chemicals are used to completely or partially remove the outer spacer material.
  • an anneal process is performed to heal the damage caused by removing the first stress inducing liner 30 and the wide outer spacer 24 from the structure.
  • the anneal is performed to recrystallize the amorphous portions of the silicide such that the recrystallized silicide 26 ′ (See FIG. 1H ; this drawing and the remaining drawings assume that the entire wide outer spacer 24 has been removed from the pFET) has a resistance that is within the resistance range prior to damaging the same.
  • the anneal which causes the formation of a recrystallized silicide 26 ′ is performed at a temperature of about 350° C. or greater, preferably about 550° C. or greater.
  • the exact annealing temperature is dependent on the material of the silicide. For example, a 350° C. anneal can be used for NiSi, while a 700° C. anneal can be used for CoSi 2 .
  • the silicide recrystallization anneal may be performed utilizing a rapid thermal anneal, a furnace anneal, a laser anneal, a microwave anneal, or a spike anneal. The anneal is typically performed in an inert ambient such as, for example, He, Ar, N 2 or mixtures thereof. This anneal may also recrystallize the silicide contact atop the gate conductor 20 . This recrystallized silicide is labeled as 28 ′ in FIG. 1H .
  • FIG. 1H also illustrates the structure after forming the second stress inducing liner 36 on the structure.
  • the second stress inducing liner 36 which may comprise the same or different material as that of the first stress inducing liner 30 , is of the opposite stress type as that of the first stress inducing liner 30 .
  • the second stress inducing liner 36 is of compressive stress.
  • the materials and deposition process used in forming the first stress inducing liner 30 can also be used in forming the second stress inducing liner 36 .
  • the second stress inducing liner 36 is typically comprised of Si 3 N 4 .
  • the first stress inducing liner 30 present about the nFET device is of tensile stress
  • the second stress inducing liner 36 present about the pFET is of compressive stress.
  • the second stress inducing liner 36 is positioned closer to the device channel 29 than the first stress inducing liner 30 in the region including the nFET device.
  • the device channel 29 is the area of the semiconductor that is beneath the gate conductor 20 .
  • a stronger strain enhancement is thus imparted on the pFET device channel because of the closer proximity of the second stress inducing liner 36 to the pFET device channel.
  • closer proximity it is meant, that the second stress inducing liner 36 is located about 30 nm or less from the device channel 29 .
  • FIG. 1I shows the structure after selectively removing the second stress inducing liner 36 from the region including the nFET device. This selective removal is achieved by first providing a second patterned photoresist 38 atop the region including the pFET device and then etching the exposed second stress inducing liner 36 , stopping atop the remaining hard mask 32 .
  • FIG. 1J shows the structure that is formed after forming an interconnect dielectric 40 having contact openings over the structure shown in FIG. 1I and then filling the contact openings with a conductive material 42 .
  • the interconnect dielectric 40 comprises any organic or inorganic dielectric that is used in interconnect technology.
  • the interconnect dielectric 40 is comprised of SiO 2 , an organosilicate glass, a poly(arylene) ether, a siloxane, a silsesquioxane or multilayers thereof.
  • the interconnect dielectric is formed by a conventional deposition process such as, for example, CVD, PECVD, and spin-on coating, and the contact openings are formed by lithography and etching.
  • the conductive material 42 may comprise a conductive metal, metal alloy, metal silicide, metal nitride or doped polysilicon. Sputtering, plating, evaporation, CVD, PECVD and other like deposition processes can be used in forming the conductive material 42 . A planarization process may follow the conductive fill step.
  • the present invention provides a semiconductor structure and a method of fabricating the same in which strain enhancement is achieved for both nFET and pFET devices.
  • the present invention provides at least one spacerless FET for stronger strain enhancement and defect reduction.
  • the at least one spacerless FET can be a pFET, an nFET, or a combination thereof, with spacerless pFETs being particularly preferred since pFETs are generally fabricated to have a greater width than nFETs.
  • the wide spacer removal described above allows formation of an appropriate stress type liner to be located closer to the device channel then in cases where the wide spacers are still present.
  • the silicide is severely damaged in the prior art when the wide spacers are removed.
  • an anneal is performed. Without this anneal, the devices would not be practical since the resistance of the silicide is above normal operating conditions. Also, the metal stringer issue is improved in the present invention due to increased spacing between the FETs.
  • the present invention can be implemented without changing the normal implant schemes because the spacer is removed after the ions have been implanted and the silicide has been formed. Data has shown that improved device speed can be obtained using the inventive structure due to spacer elimination or reduction and the recrystallizing anneal process. Moreover, improved product yield can be achieved due to reduction of metal stringer defects.

Abstract

A semiconductor structure and a method of fabricating the same in which strain enhancement is achieved for both nFET and pFET devices is provided. In particular, the present invention provides at least one spacerless FET for stronger strain enhancement and defect reduction. The at least one spacerless FET can be a pFET, an nFET, or a combination thereof, with spacerless pFETs being particularly preferred since pFETs are generally fabricated to have a greater width than nFETs. The at least one spacerless FET allows to provide a stress inducing liner in closer proximity to the device channel than prior art structures including FETs having spacers. The spacerless FET is achieved without negatively affecting the resistance of the corresponding silicided source/drain diffusion contacts, which do not encroach underneath the spacerless FET.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. patent application Ser. No. 11/164,193, filed Nov. 14, 2005 the entire content and disclosure of which is incorporated herein by reference.
  • DESCRIPTION
  • 1. Field of the Invention
  • The present invention relates to a semiconductor structure and a method of fabricating such a structure. More particularly, the present invention relates to a semiconductor structure with increased strain enhancement that is achieved with a spacerless FET and a stress inducing liner. The present invention also provides a method of fabricating such a semiconductor structure in which a spacerless FET and a dual liner process are employed to provide a semiconductor structure with increased strain enhancement.
  • 2. Background of the Invention
  • In present semiconductor technology, complementary metal oxide semiconductor (CMOS) devices, such as nFETs or pFETs, are typically fabricated upon semiconductor wafers, such as Si, that have a single crystal orientation. In particular, most of today's semiconductor devices are built upon Si having a (100) crystal orientation.
  • Electrons are known to have a high mobility for a (100) Si surface orientation, but holes are known to have a high mobility for a (110) surface orientation. That is, hole mobility values on (100) Si are roughly 2×-4× lower than the corresponding electron mobility for this crystallographic orientation. To compensate for this discrepancy, pFETs are typically designed with larger widths in order to balance pull-up currents against the nFET pull-down currents and achieve uniform circuit switching.
  • On the other hand, hole mobility on (110) Si is 2× higher than on (100) Si; therefore, pFETs formed on a (110) surface will exhibit significantly higher drive currents than pFETs formed on a (100) surface. Unfortunately, electron mobility on (110) Si surfaces is significantly degraded compared to (100) Si surfaces.
  • As can be deduced from the above, the (110) Si surface is optimal for pFET devices because of excellent hole mobility, yet such a crystal orientation is completely inappropriate for nFET devices. Instead, the (100) Si surface is optimal for nFET devices since that crystal orientation favors electron mobility.
  • Hybrid oriented substrates having planar surfaces with different crystallographic orientation have recently been developed. See, for example, U.S. Pat. No. 7,329,923 and U.S. Pat. No. 7,023,055. Additionally, hybrid-orientated metal oxide semiconductor field effect transistors (MOSFETs) have recently demonstrated significantly higher circuit performance at the 90 nm technology node. As discussed above, the electron mobility and hole mobility can be optimized independently by placing the nFET on a (100) surface and the pFET on a (110) surface.
  • Although hybrid oriented substrates having planar surfaces of different crystal orientation can increase the carrier mobility, further improvement is needed in order to keep the performance scaling as devices are being scaled.
  • Another means to enhance carrier mobility is to introduce a stress into the channel of the MOSFET. Stress can be introduced into a single crystal oriented substrate by several methods including, for example, forming a stress inducing liner on top of the substrate and around the gate region. In current technologies within the 90 nm mode, strain enhancement for nFETs and pFETs is achieved using a dual nitride liner process. In such a process, a nitride liner of tensile stress is formed about the nFET and a nitride liner of compressive stress is formed about the pFET.
  • In addition to using two different types of stressed liners to achieve stressed channels in both the pFET and nFET devices, the pFET spacer is typically much wider than the nFET spacer to achieve optimum device parameters, such as, for example, resistance and threshold voltage roll off. When wider pFET spacers are used, the distance of the compressive nitride film to the pFET channel is increased and, as such, there is a diminished strain enhancement on the pFET device. In addition, wider spacers reduce the space between two closely placed pFET devices causing oxide voids in the interconnect dielectric (ILD) that is formed atop the pFET. The ILD voids are later filled with metal during metal contact formation forming metal stringers which may shorten the contacts.
  • In view of the above, there is still a need for providing a semiconductor structure in which strain enhancement is achieved for both nFET and pFET devices in which the problems of using wider spacers for the pFET device is eliminated.
  • SUMMARY OF THE INVENTION
  • The present invention provides a semiconductor structure and a method of fabricating the same in which strain enhancement is achieved for both nFET and pFET devices. In particular, the present invention provides at least one spacerless FET for stronger strain enhancement and defect reduction. The at least one spacerless FET can be a pFET, an nFET, or a combination thereof, with spacerless pFETs being particularly preferred since pFETs are generally fabricated to have a greater width than nFETs.
  • By “spacerless” it is meant that the wide outer spacer typically used in forming the source/drain diffusion regions is completely eliminated or replaced with a much narrower spacer. The term “wide spacer” denotes a spacer have a width along a bottom portion, which forms an interface with the underlying layer, i.e., substrate or gate dielectric, of about 20 nm or greater.
  • In accordance with the present invention, a semiconductor structure is provided in which the stress inducing liner is located in a greater proximity (on the order of about 30 nm or less) to the device channel by completely removing the wide outer spacer or by significantly reducing the width of such a spacer. As such, a stronger strain enhancement is achieved which provides improved device speed. The present invention achieves the strain enhancement, without negatively impacting the resistance of the silicide contact that is present over the source/drain diffusion regions of the FET device. In prior art processing, the resistance of the silicide contact above the source/drain diffusion regions is affected (i.e., increased resistance) when the stress inducing liner is removed from one of the FET device regions during a dual stress liner process.
  • In the present invention, a recrystallizing anneal step is used to decrease the resistance of the silicide contact which was increased during the course of removing the stress inducing liner from one of the FET device regions. The increased resistance of the silicide contacts is a result of damage, in terms of amorphiziation, which is induced during the removal of the stress inducing liner from one of the FET device regions. In addition to the above, the semiconductor structure having strain enhancement is achieved, while preventing metal stringer formation between neighboring contacts in nested PET regions.
  • These and other advantages are achieved without requiring an additional masking step or having to re-engineer the CMOS process. As such, the present invention provides a cost efficient method for fabricating semiconductor structures that have strain enhanced PET device regions.
  • In broad terms, the present invention provides a semiconductor structure comprising:
  • at least one pFET and at least one nFET located on a surface of a semiconductor substrate and separated from each other by an isolation region, wherein at least one of said nFETs or said pFETs is a spacerless PET, each FET including a channel region;
  • a recrystallized silicide contact located above source/drain diffusion regions of said spacerless FET, said recrystallized silicide contact does not encroach under sidewalls of said spacerless FET; and
  • a compressive stress inducing liner located around said at least one pFET and a tensile stress inducing liner located around said at least one nFET, wherein at least one of stress inducing liners which is around said spacerless FET is positioned within 30 nm or less from the corresponding channel region.
  • In a preferred embodiment, the spacerless FET is a pFET and the compressive stress inducing liner is located in proximity to the channel region of the spacerless pFET.
  • In addition to the semiconductor structure mentioned above, the present invention also provides a method of fabricating such a structure. In one embodiment, in which either the pFET or the nFET is made spacerless, the method of the present invention comprises:
  • forming a first stress inducing liner and an overlaying hard mask on a structure including at least one nFET and at least one pFET, said first stress inducing liner having a first stress type and each FET including a devices channel, a wide outer spacer and a silicided source/drain diffusion contact;
  • selectively removing said overlaying hard mask, said first stress inducing liner, and all or part of said wide outer spacer from one of said nFETs or pFETs to form at least one spacerless FET, wherein during removal of said first stress inducing liner and said wide spacer, said silicided source/drain diffusion contact of said at least one spacerless FET is amorphized;
  • annealing said structure to recrystallize said amorphized silicided source/drain diffusion contact of said at least one spacerless FET; and
  • selectively providing a second stress inducing liner of a second stress type that is different from said first stress type to said at least one spacerless FET, wherein said second stress inducing liner is positioned within 30 nm or less from the channel region of the at least one spacerless FET.
  • In a preferred embodiment, the at least one spacerless FET is a pFET, said first stress inducing liner is of tensile strain and said second stress inducing liner is of compressive strain.
  • In another embodiment, in which both the pFET and the nFET are made spacerless, the method of the present invention comprises:
  • forming a first stress inducing liner and an overlaying hard mask on a structure including at least one spacerless nFET and at least one spacerless pFET, said first stress inducing liner having a first stress type and each FET including a devices channel and a silicided source/drain diffusion contact;
  • selectively removing said overlaying hard mask and said first stress inducing liner from one of said nFETs or pFETs, wherein during removal of said first stress inducing liner from one of said FETs its corresponding silicided source/drain diffusion contact is amorphized;
  • annealing said structure to recrystallize said amorphized silicided source/drain diffusion contact; and
  • selectively providing a second stress inducing liner of a second stress type that is different from said first stress type to the spacerless FET in which the first stress inducing liner was previously removed, wherein said first and second stress inducing liners are positioned within 30 nm or less from the corresponding channel region of each spacerless FET.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1J are pictorial representations (through cross sectional views) depicting the basic processing steps employed in the present invention.
  • DETAILED DESCRIPTION OF THE DRAWINGS
  • The present invention, which provides a structure and method to increase strain enhancement for FET devices, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes and, as such, they are not drawn to scale.
  • The present invention will now be described in context with a preferred embodiment thereof in which strain enhancement is achieved using a spacerless pFET. Although a spacerless pFET is described and depicted hereinbelow, the present application also contemplates the case where a spacerless nFET is used alone or in conjunction with a spacerless pFET. When only a spacerless nFET is employed, the processing sequence is modified such that a compressive stress inducing liner is first formed, removed from the nFET region, and thereafter the wide spacer is removed from the nFET device. When spacerless nFETs and pFETs are formed, the wide spacers are removed after silicide formation and prior to forming the first stress inducing liner.
  • FIG. 1A shows an initial structure 10 that is employed in the present invention. The initial structure 10 comprises a semiconductor substrate 12 that includes at least one pFET 14A and at least one nFET 14B located on a surface of the substrate 12. The FETs of different conductivity, i.e., pFETs and nFETs, are separated from each other by an isolation region 16. Each of the FETs includes a gate dielectric 18, a gate conductor 20, optional inner spacer (or passivation layer) 22, and outer spacer 24. Silicide contacts 26 are shown in the semiconductor substrate 12 in the region in which source/drain diffusions will be present. A silicide contact 28 may optionally be located atop the gate conductors when the gate conductors are comprised of a Si-containing material.
  • The initial structure shown in FIG. 1A is comprised of materials that are well known in the art. Moreover, the FETs, isolation regions and silicide contacts can also be formed utilizing conventional techniques that are well known in the art. For example, the FETs can be formed by deposition of the various material layers and patterning via lithography and etching. Alternatively, a replacement gate process can be used in forming the FETs,
  • The semiconductor substrate 12 of the initial structure 10 may be a semiconductor-on-insulator (as shown) or a bulk semiconductor. The semiconductor substrate 12 may be strained, unstrained or contain regions of strain and unstrain therein. The semiconductor substrate 12 may have a single crystal orientation or it may be a hybrid substrate having regions of different crystal orientation. When hybrid substrates are employed, the nFETs and pFETs are fabricated in a region having a crystal orientation that will provide enhanced device performance to the specific device. For example, nFETs would be formed on a (100) surface, while the pFETs would be formed on a (110) surface.
  • In the specific embodiment shown, the semiconductor-on-insulator comprises an upper semiconductor layer 12C and a bottom semiconductor layer 12A that are separated entirely or in part by a buried insulating layer 12B. The upper and lower semiconductor layers may comprise the same or different semiconductor material, with the same semiconductor material being highly preferred. The buried insulating layer 12B may be a crystalline or non-crystalline oxide, nitride or oxynitride. The semiconductor-on-insulator substrate can be formed by a conventional layer transfer process or by an ion implantation and annealing process referred to as SIMOX (Separation by Ion Implantation of Oxygen).
  • The term “semiconductor material or layer” is used herein to denote any material that exhibits semiconducting properties including, for example, Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP as well as other III/V or II/VI compound semiconductors. Preferably, the semiconductor material(s) of the substrate is a Si-containing semiconductor, with Si or SiGe being highly preferred.
  • The semiconductor substrate 12 may also include a first doped (n- or p-) region, and a second doped (n- or p-) region. For clarity, the doped regions are not specifically labeled in the drawings of the present application. The first doped region and the second doped region may be the same, or they may have different conductivities and/or doping concentrations. These doped regions are known as “wells”.
  • After providing the semiconductor substrate 12, the isolation region 16 is formed into the substrate by utilizing a conventional technique well known in the art. For example, and when the isolation region 16 is a trench isolation region, a conventional trench isolation process can be employed. This includes, for example, fowling a trench into the substrate by lithography and etching, optionally filling the trench with a trench liner such as, for example, TiN or TaN, and then filling the trench with a trench dielectric such as an oxide. The fill step may include a high-density plasma deposited oxide, or an oxide formed from an oxygen precursor such as TEOS. An optional densification step and/or a planarization step may follow the trench fill. When a field isolation region is used as the isolation region 16, a conventional local oxidation of silicon (LOCOS) process can be used in forming the same.
  • In FIG. 1A, isolation region 16 is a trench isolation region which extends down to the surface of the buried insulating layer 12B. Although such an embodiment is depicted and illustrated, the present invention also contemplates other depths for the isolation region 16. For example, the isolation region 16 may have a depth that is above the buried insulating layer 12B, within the buried insulating layer 12B, or within the lower semiconductor layer 12A of the semiconductor-on-insulator substrate.
  • As stated above, each of the FETs of different conductivity includes a gate dielectric 18. The gate dielectric 18 in the nFET region may be the same or different, preferably the same, as that in the pFET region. The gate dielectric 18 can be formed by a thermal growing process such as, for example, oxidation, nitridation or oxynitridation. Alternatively, the gate dielectric 18 can be formed by a deposition process such as, for example, chemical vapor deposition (CVD), plasma-assisted CVD, atomic layer deposition (ALD), evaporation, reactive sputtering, chemical solution deposition or other like deposition processes. The gate dielectric 18 may also be foamed utilizing any combination of the above processes.
  • The gate dielectric 18 is comprised of an insulating material including, but not limited to: an oxide, nitride, oxynitride and/or silicate including metal silicates and nitrided metal silicates multi-layered gate dielectrics are also contemplated. In one embodiment, it is preferred that the gate dielectric 18 is comprised of an oxide such as, for example, SiO2, HfO2, ZrO2, Al2O3, TiO2, La2O3, SrTiO3, LaAlO3, and mixtures thereof.
  • The physical thickness of the gate dielectric 18 may vary, but typically, the gate dielectric 18 has a thickness from about 0.5 to about 10 nm, with a thickness from about 0.5 to about 3 nm being more typical.
  • After forming the gate dielectric 18, polysilicon or another gate conductor material or combination thereof, which becomes the gate conductor 20 shown in FIG. 1A, is formed on the gate dielectric 18 utilizing a known deposition process such as, for example, physical vapor deposition, CVD or evaporation. The gate conductor 20 may be doped or undoped. If doped, an in-situ doping deposition process may be employed in forming the same. Alternatively, a doped gate conductor 20 can be formed by deposition, ion implantation and annealing. The doping of the gate conductor 20 will shift the workfunction of the gate formed. Illustrative examples of dopant ions include As, P, B, Sb, Bi, In, Al, Ga, Tl or mixtures thereof. Typical doses for the ion implants are 1E14 (=1×1014) to 1E16 (−1×1016) atoms/cm2 or more typically 1E15 to 5E15 atoms/cm2. The thickness, i.e., height, of the gate conductor 20 deposited at this point of the present invention may vary depending on the deposition process employed. Typically, the gate conductor 20 has a vertical thickness from about 20 to about 180 nm, with a thickness from about 40 to about 150 nm being more typical.
  • The gate conductor 20 can comprise any conductive material that is typically employed as a gate electrode of a CMOS structure. Illustrative examples of such conductive materials that can be employed as the gate conductor 20 include, but are not limited to: polysilicon, metals or metal alloys, silicides, conductive nitrides, polySiGe and combinations thereof, including multilayers thereof. The gate conductor 20 in each device region (i.e., nFET and pFET) may comprise the same, or different, preferably, the same conductive material. In some embodiments, it is possible to form a barrier layer between multiple layers of gate conductors.
  • An optional dielectric cap (not shown) can be present atop the gate conductor 20 at this point of the present invention. The optional dielectric cap, which is typically comprised of an oxide or nitride, is typically removed before or immediately after the source/drain diffusion regions have been silicided.
  • An optional inner spacer 22 can be present on at least the sidewalls of each of the gate conductors 20. The optional inner spacer 22 is a passivation layer than can be formed by a thermal technique. Typically, the optional inner spacer 22 is an oxide, nitride or oxynitride, which has a thickness from about 5 to about 15 nm. When present, the optional inner spacer 22 is also typically present on the sidewalls of the gate dielectric 18 as well as an exposed surface of the semiconductor substrate 12.
  • Each FET also includes a wide outer spacer 24. The wide outer spacer 24 is comprised of an insulator such as an oxide, nitride, oxynitride and/or any combination thereof. Preferably, oxide inner spacers 22 are formed and nitride outer spacers 24 are formed. The wide outer spacer 24 is formed by deposition and etching.
  • The width of the outer spacer 24 must be sufficiently wide enough such that the source and drain silicide contacts (to be subsequently formed) do not encroach underneath the edges of each of the gate stacks. Also, the widths of the outer spacer 24 must be sufficiently wide enough so that the deep source/drain implants also do not encroach significantly into the channel region to cause short channel effects. Typically, the source/drain silicide does not encroach underneath the edges of the gate stack when the wide outer spacer 26 has a width, as measured at the bottom, of about 20 nm or greater.
  • Source/drain diffusion regions (not specifically shown) are typically present in the semiconductor substrate 12 at the footprint of each of the FETs. The source/drain diffusion regions are formed utilizing ion implantation and an annealing step. The annealing step serves to activate the dopants that were implanted by the previous implant step. The conditions for the ion implantation and annealing are well known to those skilled in the art. In the present invention, the phrase “source/drain diffusion regions” includes extension regions, halo regions and deep source/drain regions.
  • In some embodiments of the present invention and when the substrate does not include silicon, a Si-containing layer can be formed atop of the exposed portions of the substrate to provide a source for forming the silicide contacts. Illustrative examples of Si-containing materials that can be used include, for example, Si, single crystal Si, polycrystalline Si, SiGe, and amorphous Si. This embodiment of the present invention is not illustrated in the drawings.
  • Silicide contacts are formed after each FET region is formed utilizing a standard salicidation process well known in the art. This includes forming a metal capable of reacting with. Si atop the entire structure, forming an oxygen barrier layer atop the metal, heating the structure to form a silicide, removing non-reacted metal and the oxygen barrier layer and, if needed, conducting a second heating step. The second heating step is required in those instances in which the first heating step does not form the lowest resistance phase of the silicide. In FIG. 1A, reference numeral 26 denotes the silicided contacts on the source/drain diffusion regions. Note that if the gate conductor 20 is comprised of polysilicon or SiGe, this step of the present invention can be used in forming a silicide contact atop the Si-containing gate conductor. In FIG. 1A, reference numeral 28 is used in defining the silicided contact located on the gate conductor 20.
  • FIG. 1B illustrates the structure after forming a first stress inducing liner 30 and a hard mask 32. The first stress inducing liner 30 may be of a compressive stress or of a tensile stress. For the embodiment illustrated, the first stress inducing liner 30 is of tensile stress. The first stress inducing liner 30 may be any material that is capable of introducing a stress into the device channel. Examples of such stress inducing materials, include, but are not limited to: Si3N4, SiC, silicon oxynitride and other like materials. Typically, the first stress inducing liner 30 is comprised of Si3N4. The first stress inducing liner 30 can be formed utilizing various chemical vapor deposition (CVD) processes including, for example, low pressure CVD, plasma enhanced CVD, rapid thermal CVD, BTBAS-based (C8H22N2Si reacted with ammonia) CVD, where BTBAS is a modern metalorganic precursor for CVD applications. The stress type is controlled by modifying the precursor and deposition conditions. Such modification is well with the knowledge of a skilled artisan. The first stress inducing liner 30 has an as deposited thickness from about 20 to about 150 nm, with an as deposited thickness from about 30 to about 100 nm being more typical.
  • The hard mask 32 is then formed atop the first stress inducing liner 30 utilizing a conventional deposition process such as, for example, CVD, PECVD, chemical solution deposition and evaporation. The hard mask 32 is typically comprised of an oxide such as, for example SiO2. Although oxide hard masks are typically employed, the present invention also contemplates utilizing oxynitride hard masks as well. The thickness of the hard mask 32 can vary depending on the type of material used as well as the deposition process that is employed in forming the same. Typically, the hard mask 32 has an as deposited thickness from about 5 to about 40 nm, with an as deposited thickness from about 10 to about 25 nm being even more typical.
  • FIG. 1C shows the structure after forming a first patterned photoresist 34 which protects at least one of the FET device regions on the structure shown in FIG. 1B. In the particular embodiment shown, the first patterned photoresist 34 is located over the region including the nFET device. The first patterned photoresist 34 is formed by deposition (such as, for example, CVD, PECVD, and spin-on coating). and lithography. As is shown in FIG. 1C, the hard mask 32, which is located in the region including the pFET device, is not protected by the first patterned photoresist 34.
  • FIG. 1D shows the structure that is formed after removing the hard mask 32 from the region including the pFET device and stripping the first patterned photoresist 34 from atop the region including the nFET device. The exposed hard mask 32 located atop the pFET device is removed utilizing an etching process that selectively removes hard mask material, stopping atop the underlying first stress inducing liner 30. When the hard mask 32 is comprised of an oxide, CF4 chemicals can be used to selectively remove the exposed hard mask 32 from atop the pFET device. The first patterned photoresist 34 is then stripped utilizing a conventional resist stripping process that is well known in the art.
  • After forming the structure shown in FIG. 1D, the exposed first stress inducing liner 30 located atop the pFET device is then removed using the remaining oxide hard mask 32 present atop the nFET device as a patterned mask. The resultant structure is shown, for example, in FIG. 1E. The exposed stress inducing liner 30 is removed utilizing an etching process that selectively removes the stress inducing liner not protected by the hard mask 32 from the structure. When a nitride stress inducing liner is used and when an oxide hard mask is used, CH2F2, CHF3, CH3F, and O2 chemicals can be used to selectively remove the exposed first stress inducing liner 30 from atop the region including the pFET device.
  • It is noted that during this step of the present invention, the silicide contact 26 located at least atop the source/drain diffusion regions is damaged; some damage may also take place within silicide contact 28 as well. By “damaged” it is meant that at least some part, particularly a surface region, of the silicide contact becomes amorphorized which increases the resistant of the contact from a first value to a second value that is greater than the first value. An increase resistance of about 20 to about 150% of the silicide contact can be obtained when the first stress inducing liner 30 is removed from the region including the pFET device (a similar value results when the first stress inducing liner is removed from the region including the nFET device).
  • At this point of the present invention, the wide outer spacer 24 is completely removed from the region including the pFET device (See FIG. 1F) or partially removed from the region including the pFET device (See FIG. 1G). In the case when the wide outer spacer 24 is partially removed, the remaining outer spacer 24′ has a width that is much less than the original width. Typically, the remaining outer spacer 24′ has a width from about 5 to about 20 nm. The wide outer spacer 24 is completely or partially removed utilizing an etching step that is selective in removing the material of the outer spacer 24. A timed etching process can be used to partially remove the wide outer spacer 24. Typically, and when the outer spacer 24 is comprised of a nitride, CH2F2, CHF3, CH3F, or O2 chemicals are used to completely or partially remove the outer spacer material.
  • It should be noted that during this step of the present invention as well more damage to the silicide contact atop at least the source/drain diffusion regions occurs. The complete or partial removal of the wide outer spacer 24 forms a ‘spacerless FET”.
  • After forming the ‘spacerless’ pFET device region, an anneal process is performed to heal the damage caused by removing the first stress inducing liner 30 and the wide outer spacer 24 from the structure. In particular, the anneal is performed to recrystallize the amorphous portions of the silicide such that the recrystallized silicide 26′ (See FIG. 1H; this drawing and the remaining drawings assume that the entire wide outer spacer 24 has been removed from the pFET) has a resistance that is within the resistance range prior to damaging the same. The anneal which causes the formation of a recrystallized silicide 26′ is performed at a temperature of about 350° C. or greater, preferably about 550° C. or greater. The exact annealing temperature is dependent on the material of the silicide. For example, a 350° C. anneal can be used for NiSi, while a 700° C. anneal can be used for CoSi2. The silicide recrystallization anneal may be performed utilizing a rapid thermal anneal, a furnace anneal, a laser anneal, a microwave anneal, or a spike anneal. The anneal is typically performed in an inert ambient such as, for example, He, Ar, N2 or mixtures thereof. This anneal may also recrystallize the silicide contact atop the gate conductor 20. This recrystallized silicide is labeled as 28′ in FIG. 1H.
  • In addition to illustrating the recrystallized silicide 26′, FIG. 1H also illustrates the structure after forming the second stress inducing liner 36 on the structure. The second stress inducing liner 36, which may comprise the same or different material as that of the first stress inducing liner 30, is of the opposite stress type as that of the first stress inducing liner 30. In the specific embodiment illustrated, the second stress inducing liner 36 is of compressive stress. The materials and deposition process used in forming the first stress inducing liner 30 can also be used in forming the second stress inducing liner 36. The second stress inducing liner 36 is typically comprised of Si3N4.
  • In FIG. 1H, the first stress inducing liner 30 present about the nFET device is of tensile stress, while the second stress inducing liner 36 present about the pFET is of compressive stress. It is noted that since a ‘spacerless’ pFET is used, the second stress inducing liner 36 is positioned closer to the device channel 29 than the first stress inducing liner 30 in the region including the nFET device. The device channel 29 is the area of the semiconductor that is beneath the gate conductor 20. A stronger strain enhancement is thus imparted on the pFET device channel because of the closer proximity of the second stress inducing liner 36 to the pFET device channel. By ‘closer proximity’ it is meant, that the second stress inducing liner 36 is located about 30 nm or less from the device channel 29.
  • FIG. 1I shows the structure after selectively removing the second stress inducing liner 36 from the region including the nFET device. This selective removal is achieved by first providing a second patterned photoresist 38 atop the region including the pFET device and then etching the exposed second stress inducing liner 36, stopping atop the remaining hard mask 32.
  • FIG. 1J shows the structure that is formed after forming an interconnect dielectric 40 having contact openings over the structure shown in FIG. 1I and then filling the contact openings with a conductive material 42. The interconnect dielectric 40 comprises any organic or inorganic dielectric that is used in interconnect technology. Typically, the interconnect dielectric 40 is comprised of SiO2, an organosilicate glass, a poly(arylene) ether, a siloxane, a silsesquioxane or multilayers thereof. The interconnect dielectric is formed by a conventional deposition process such as, for example, CVD, PECVD, and spin-on coating, and the contact openings are formed by lithography and etching. The conductive material 42 may comprise a conductive metal, metal alloy, metal silicide, metal nitride or doped polysilicon. Sputtering, plating, evaporation, CVD, PECVD and other like deposition processes can be used in forming the conductive material 42. A planarization process may follow the conductive fill step.
  • In summary, the present invention provides a semiconductor structure and a method of fabricating the same in which strain enhancement is achieved for both nFET and pFET devices. In particular, the present invention provides at least one spacerless FET for stronger strain enhancement and defect reduction. The at least one spacerless FET can be a pFET, an nFET, or a combination thereof, with spacerless pFETs being particularly preferred since pFETs are generally fabricated to have a greater width than nFETs.
  • The wide spacer removal described above allows formation of an appropriate stress type liner to be located closer to the device channel then in cases where the wide spacers are still present. Under normal circumstances, the silicide is severely damaged in the prior art when the wide spacers are removed. To alleviate this damage and to return the resistance of the silicide back to nearly its original resistance value, an anneal is performed. Without this anneal, the devices would not be practical since the resistance of the silicide is above normal operating conditions. Also, the metal stringer issue is improved in the present invention due to increased spacing between the FETs.
  • It is noted that the present invention can be implemented without changing the normal implant schemes because the spacer is removed after the ions have been implanted and the silicide has been formed. Data has shown that improved device speed can be obtained using the inventive structure due to spacer elimination or reduction and the recrystallizing anneal process. Moreover, improved product yield can be achieved due to reduction of metal stringer defects.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the scope and spirit of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (15)

1. A semiconductor structure comprising:
at least one pFET and at least one nFET located on a surface of a semiconductor substrate and separated from each other by an isolation region, wherein at least one of said nFETs or said pFETs is a spacerless PET, each FET including a channel region;
a recrystallized silicide contact located above source/drain diffusion regions of said spacerless FET, said recrystallized silicide contact does not encroach under sidewalls of said spacerless FET; and
a compressive stress inducing liner located around said at least one pFET and a tensile stress inducing liner located around said at least one nFET, wherein at least one of stress inducing liners which is around said spacerless FET is positioned within 30 nm or less from the corresponding channel region.
2. The semiconductor structure of claim 1 wherein said semiconductor substrate is a semiconductor-on-insulator substrate or a bulk substrate.
3. The semiconductor structure of claim 1 wherein said at least one spacerless FET is a spacerless pFET.
4. The semiconductor structure of claim 1 wherein said at least one spacerless FET is a spacerless nFET and a spacerless pFET.
5. The semiconductor structure of claim 1 wherein said at least one spacerless FET is void of a wide outer spacer.
6. The semiconductor structure of claim 1 wherein said at least one spacerless FET includes a narrow outer spacer having a width from about 5 to about 20 nm.
7. The semiconductor structure of claim 1 wherein each FET includes a gate conductor located atop a gate dielectric.
8. The semiconductor structure of claim 7 wherein said gate conductor comprises polySi, polySiGe, a metal, a metal nitride, a metal silicide or multilayers thereof.
9. The semiconductor structure of claim 8 wherein an upper portion of said polySi or polySiGe gate conductor of said spacerless FET comprises a recrystallized silicide contact.
10. A semiconductor structure comprising:
at least one spacerless pFET and at least one nFET located on a surface of a semiconductor substrate and separated from each other by an isolation region, each FET including a channel region;
a recrystallized silicide contact located above source/drain diffusion regions of said spacerless pFET, said recrystallized silicide contact does not encroach under sidewalls of said at least one spacerless pFET;
a compressive stress inducing liner located around said at least one spacerless pFET and a tensile stress inducing liner located around said at least one nFET, wherein said compressive stress inducing liner which is around said spacerless pFET is positioned within 30 nm or less from the corresponding channel region.
11. The semiconductor structure of claim 10 wherein said semiconductor substrate is a semiconductor-on-insulator substrate or a bulk substrate.
12. The semiconductor structure of claim 10 wherein said at least one spacerless pFET is void of a wide outer spacer.
13. The semiconductor structure of claim 10 wherein said at least one spacerless pFET includes a narrow outer spacer having a width from about 5 to about 20 nm.
14. The semiconductor structure of claim 10 wherein at least said spacerless pFET includes a gate conductor having an upper surface comprised of a recrystallized silicide contact.
15. A method of fabricating a semiconductor structure comprising:
forming a first stress inducing liner and an overlaying hard mask on a structure including at least one spacerless nFET and at least one spacerless pFET, said first stress inducing liner having a first stress type and each FET including a devices channel and a silicided source/drain diffusion contact;
selectively removing said overlying hard mask and said first stress inducing liner from one of said nFETs or pFETs, wherein during removal of said first stress inducing liner from one of said FETs its corresponding silicided source/drain diffusion contact is amorphized;
annealing said structure to recrystallize said amorphized silicided source/drain diffusion contact; and
selectively providing a second stress inducing liner of a second stress type that is different from said first stress type to the spacerless FET in which the first stress inducing liner was previously removed, wherein said first and second stress inducing liners are positioned within 30 nm or less from the corresponding channel region of said spacerless FETs.
US12/754,939 2005-11-14 2010-04-06 Method to increase strain enhancement with spacerless fet and dual liner process Abandoned US20100187636A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/754,939 US20100187636A1 (en) 2005-11-14 2010-04-06 Method to increase strain enhancement with spacerless fet and dual liner process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/164,193 US7709317B2 (en) 2005-11-14 2005-11-14 Method to increase strain enhancement with spacerless FET and dual liner process
US12/754,939 US20100187636A1 (en) 2005-11-14 2010-04-06 Method to increase strain enhancement with spacerless fet and dual liner process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/164,193 Division US7709317B2 (en) 2005-11-14 2005-11-14 Method to increase strain enhancement with spacerless FET and dual liner process

Publications (1)

Publication Number Publication Date
US20100187636A1 true US20100187636A1 (en) 2010-07-29

Family

ID=37453024

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/164,193 Expired - Fee Related US7709317B2 (en) 2005-11-14 2005-11-14 Method to increase strain enhancement with spacerless FET and dual liner process
US12/754,939 Abandoned US20100187636A1 (en) 2005-11-14 2010-04-06 Method to increase strain enhancement with spacerless fet and dual liner process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/164,193 Expired - Fee Related US7709317B2 (en) 2005-11-14 2005-11-14 Method to increase strain enhancement with spacerless FET and dual liner process

Country Status (7)

Country Link
US (2) US7709317B2 (en)
EP (1) EP1949435B1 (en)
JP (1) JP4906868B2 (en)
KR (1) KR101027166B1 (en)
CN (1) CN101283447B (en)
TW (1) TW200733384A (en)
WO (1) WO2007054403A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100109091A1 (en) * 2008-10-31 2010-05-06 Uwe Griebenow Recessed drain and source areas in combination with advanced silicide formation in transistors
US20110114998A1 (en) * 2007-11-19 2011-05-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate, semiconductor device, and manufacturing method thereof
US20120083106A1 (en) * 2010-09-30 2012-04-05 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US20120104505A1 (en) * 2010-10-28 2012-05-03 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process
US20120126297A1 (en) * 2010-11-19 2012-05-24 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8703578B2 (en) * 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8890163B2 (en) 2009-10-14 2014-11-18 Samsung Electronics Co., Ltd Semiconductor device including metal silicide layer and method for manufacturing the same

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4880958B2 (en) * 2005-09-16 2012-02-22 株式会社東芝 Semiconductor device and manufacturing method thereof
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
US7550795B2 (en) * 2006-06-30 2009-06-23 Taiwan Semiconductor Manufacturing SOI devices and methods for fabricating the same
US7790540B2 (en) * 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
KR100772901B1 (en) * 2006-09-28 2007-11-05 삼성전자주식회사 Semiconductor device and method of fabricating the same
DE102006051494B4 (en) * 2006-10-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale A method of forming a semiconductor structure comprising a strained channel field field effect transistor
JP2008130963A (en) * 2006-11-24 2008-06-05 Sharp Corp Semiconductor device and method for manufacturing same
JP5132943B2 (en) * 2007-01-24 2013-01-30 パナソニック株式会社 Semiconductor device
US7868390B2 (en) * 2007-02-13 2011-01-11 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistor
JP5299268B2 (en) * 2007-03-30 2013-09-25 富士通セミコンダクター株式会社 Semiconductor integrated circuit device and manufacturing method thereof
DE102007025342B4 (en) * 2007-05-31 2011-07-28 Globalfoundries Inc. Higher transistor performance of N-channel transistors and P-channel transistors by using an additional layer over a double-stress layer
DE102007030058B3 (en) * 2007-06-29 2008-12-24 Advanced Micro Devices, Inc., Sunnyvale A technique for making an interlayer dielectric material with increased reliability over a structure having leaky leads
TW200910526A (en) * 2007-07-03 2009-03-01 Renesas Tech Corp Method of manufacturing semiconductor device
US7816271B2 (en) 2007-07-14 2010-10-19 Samsung Electronics Co., Ltd. Methods for forming contacts for dual stress liner CMOS semiconductor devices
DE102007041210B4 (en) * 2007-08-31 2012-02-02 Advanced Micro Devices, Inc. A method of stress transfer in an interlayer dielectric by providing a strained dielectric layer over a stress neutral dielectric material in a semiconductor device and corresponding semiconductor device
US8440580B2 (en) * 2007-09-11 2013-05-14 United Microelectronics Corp. Method of fabricating silicon nitride gap-filling layer
US7670917B2 (en) * 2007-09-11 2010-03-02 Texas Instruments Incorporated Semiconductor device made by using a laser anneal to incorporate stress into a channel region
US7902082B2 (en) * 2007-09-20 2011-03-08 Samsung Electronics Co., Ltd. Method of forming field effect transistors using diluted hydrofluoric acid to remove sacrificial nitride spacers
DE102007046847B4 (en) * 2007-09-29 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Method for producing an interlayer dielectric with strained materials
JP2009099726A (en) * 2007-10-16 2009-05-07 Toshiba Corp Semiconductor device and its manufacturing method
DE102007057686B4 (en) * 2007-11-30 2011-07-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 A method and semiconductor device having a protective layer for reducing stress relaxation in a dual stress coating technique
DE102008011928B4 (en) * 2008-02-29 2010-06-02 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating a semiconductor device using a lesser thickness etch stop layer for patterning a dielectric material
DE102008021555B4 (en) * 2008-04-30 2010-07-22 Advanced Micro Devices, Inc., Sunnyvale A method of depositing stress-inducing layers over a plurality of first and a plurality of second transistors
DE102008021565B4 (en) * 2008-04-30 2012-07-12 Advanced Micro Devices, Inc. A method for selectively removing a spacer in a dual stress layer method
DE102008059501B4 (en) * 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technique for improving the dopant profile and channel conductivity by millisecond annealing processes
US8153532B1 (en) * 2008-12-04 2012-04-10 Hrl Laboratories, Llc Bilayer dielectric interconnection process
US20110101506A1 (en) * 2009-10-29 2011-05-05 International Business Machines Corporation Stress Memorization Technique Using Silicon Spacer
US8354313B2 (en) 2010-04-30 2013-01-15 International Business Machines Corporation Method to optimize work function in complementary metal oxide semiconductor (CMOS) structures
US8445965B2 (en) * 2010-11-05 2013-05-21 International Business Machines Corporation Strained semiconductor devices and methods of fabricating strained semiconductor devices
CN102479719B (en) * 2010-11-30 2014-09-03 中芯国际集成电路制造(北京)有限公司 Manufacturing method and stress layer structure of semiconductor device with strain memory function
DE102011005641B4 (en) * 2011-03-16 2018-01-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG A method of increasing performance in transistors by reducing subsidence of active regions and by removing spacers
US8252650B1 (en) * 2011-04-22 2012-08-28 United Microelectronics Corp. Method for fabricating CMOS transistor
CN102891109B (en) * 2011-07-18 2015-04-01 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor device
JP5823780B2 (en) * 2011-08-31 2015-11-25 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
DE112011105926T5 (en) 2011-12-09 2014-09-18 Intel Corporation Load compensation in transistors
CN103178011A (en) * 2011-12-22 2013-06-26 中芯国际集成电路制造(上海)有限公司 Complementary metal oxide semiconductor (CMOS) and forming method thereof
US9093495B2 (en) 2012-01-03 2015-07-28 International Business Machines Corporation Method and structure to reduce FET threshold voltage shift due to oxygen diffusion
US8916428B2 (en) * 2012-01-05 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device
US20130295767A1 (en) * 2012-05-02 2013-11-07 Globalfoundries Inc. Increased transistor performance by implementing an additional cleaning process in a stress liner approach
US9058868B2 (en) 2012-12-19 2015-06-16 International Business Machines Corporation Piezoelectronic memory
US9260719B2 (en) * 2013-01-08 2016-02-16 Idera Pharmaceuticals, Inc. Immune regulatory oligonucleotide (IRO) compounds to modulate toll-like receptor based immune response
KR20140108982A (en) * 2013-03-04 2014-09-15 삼성전자주식회사 Memory device and method for fabrication the device
US9105559B2 (en) 2013-09-16 2015-08-11 International Business Machines Corporation Conformal doping for FinFET devices
US9941472B2 (en) 2014-03-10 2018-04-10 International Business Machines Corporation Piezoelectronic device with novel force amplification
US9251884B2 (en) 2014-03-24 2016-02-02 International Business Machines Corporation Non-volatile, piezoelectronic memory based on piezoresistive strain produced by piezoelectric remanence
US9263664B1 (en) 2014-10-31 2016-02-16 International Business Machines Corporation Integrating a piezoresistive element in a piezoelectronic transistor
US10056382B2 (en) * 2016-10-19 2018-08-21 International Business Machines Corporation Modulating transistor performance
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure

Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5008913A (en) * 1983-02-09 1991-04-16 U.S. Philips Corporation Measuring and damping resistor arrangement for a high-voltage apparatus
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670796A (en) * 1993-09-24 1997-09-23 Sumitomo Electric Industries, Ltd. Semiconductor device consisting of a semiconductor material having a deep impurity level
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5893751A (en) * 1996-08-09 1999-04-13 United Microelectronics Corporation Self-aligned silicide manufacturing method
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6046464A (en) * 1995-03-29 2000-04-04 North Carolina State University Integrated heterostructures of group III-V nitride semiconductor materials including epitaxial ohmic contact comprising multiple quantum well
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6133071A (en) * 1997-10-15 2000-10-17 Nec Corporation Semiconductor device with plate heat sink free from cracks due to thermal stress and process for assembling it with package
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US20030181005A1 (en) * 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US20040075151A1 (en) * 2002-10-21 2004-04-22 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths
WO2004049406A1 (en) * 2002-11-25 2004-06-10 International Business Machines Corporation Strained finfet cmos device structures
US20040262784A1 (en) * 2003-06-30 2004-12-30 International Business Machines Corporation High performance cmos device structures and method of manufacture
US20050247926A1 (en) * 2004-05-05 2005-11-10 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US20060128086A1 (en) * 2004-12-10 2006-06-15 International Business Machines Corporation Device having dual etch stop liner and protective layer and related methods
US20090026549A1 (en) * 2005-05-04 2009-01-29 Chartered Semiconductor Manufacturing, Ltd. Method to remove spacer after salicidation to enhance contact etch stop liner stress on mos

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6476737A (en) * 1987-09-18 1989-03-22 Nec Corp Manufacture of semiconductor integrated circuit device
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
JPH07106570A (en) * 1993-10-05 1995-04-21 Mitsubishi Electric Corp Semiconductor device and its manufacture
KR100213196B1 (en) * 1996-03-15 1999-08-02 윤종용 Trench device separation
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (en) * 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
KR100767950B1 (en) * 2000-11-22 2007-10-18 가부시키가이샤 히타치세이사쿠쇼 Semiconductor device and method for fabricating the same
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (en) 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
AU2002349881A1 (en) * 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
JP4667865B2 (en) * 2002-06-28 2011-04-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Field effect transistor with crystalline semiconductor layer having different recombination center density and method for forming the same
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
JP5002891B2 (en) * 2004-12-17 2012-08-15 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7429775B1 (en) * 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same

Patent Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US5008913A (en) * 1983-02-09 1991-04-16 U.S. Philips Corporation Measuring and damping resistor arrangement for a high-voltage apparatus
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
US4855245A (en) * 1985-09-13 1989-08-08 Siemens Aktiengesellschaft Method of manufacturing integrated circuit containing bipolar and complementary MOS transistors on a common substrate
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5565697A (en) * 1988-06-28 1996-10-15 Ricoh Company, Ltd. Semiconductor structure having island forming grooves
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5471948A (en) * 1991-06-14 1995-12-05 International Business Machines Corporation Method of making a compound semiconductor having metallic inclusions
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5840593A (en) * 1992-04-08 1998-11-24 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5946559A (en) * 1992-04-08 1999-08-31 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5571741A (en) * 1992-04-08 1996-11-05 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US5592007A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation transistor fabrication
US5592018A (en) * 1992-04-08 1997-01-07 Leedy; Glenn J. Membrane dielectric isolation IC fabrication
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5670796A (en) * 1993-09-24 1997-09-23 Sumitomo Electric Industries, Ltd. Semiconductor device consisting of a semiconductor material having a deep impurity level
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US6046464A (en) * 1995-03-29 2000-04-04 North Carolina State University Integrated heterostructures of group III-V nitride semiconductor materials including epitaxial ohmic contact comprising multiple quantum well
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5893751A (en) * 1996-08-09 1999-04-13 United Microelectronics Corporation Self-aligned silicide manufacturing method
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US6246095B1 (en) * 1997-03-11 2001-06-12 Agere Systems Guardian Corp. System and method for forming a uniform thin gate oxide layer
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6261964B1 (en) * 1997-03-14 2001-07-17 Micron Technology, Inc. Material removal method for forming a structure
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US6133071A (en) * 1997-10-15 2000-10-17 Nec Corporation Semiconductor device with plate heat sink free from cracks due to thermal stress and process for assembling it with package
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6107143A (en) * 1998-03-02 2000-08-22 Samsung Electronics Co., Ltd. Method for forming a trench isolation structure in an integrated circuit
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
US6090684A (en) * 1998-07-31 2000-07-18 Hitachi, Ltd. Method for manufacturing semiconductor device
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6274444B1 (en) * 1999-07-30 2001-08-14 United Microelectronics Corp. Method for forming mosfet
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US20030181005A1 (en) * 2002-03-19 2003-09-25 Kiyota Hachimine Semiconductor device and a method of manufacturing the same
US20040075151A1 (en) * 2002-10-21 2004-04-22 International Business Machines Corporation Semiconductor device structure including multiple fets having different spacer widths
WO2004049406A1 (en) * 2002-11-25 2004-06-10 International Business Machines Corporation Strained finfet cmos device structures
US20040262784A1 (en) * 2003-06-30 2004-12-30 International Business Machines Corporation High performance cmos device structures and method of manufacture
US20050247926A1 (en) * 2004-05-05 2005-11-10 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US20060128086A1 (en) * 2004-12-10 2006-06-15 International Business Machines Corporation Device having dual etch stop liner and protective layer and related methods
US20090026549A1 (en) * 2005-05-04 2009-01-29 Chartered Semiconductor Manufacturing, Ltd. Method to remove spacer after salicidation to enhance contact etch stop liner stress on mos

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110114998A1 (en) * 2007-11-19 2011-05-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate, semiconductor device, and manufacturing method thereof
US8653568B2 (en) 2007-11-19 2014-02-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate with stripes of different crystal plane directions and semiconductor device including the same
US8026134B2 (en) * 2008-10-31 2011-09-27 Advanced Micro Devices, Inc. Recessed drain and source areas in combination with advanced silicide formation in transistors
US20100109091A1 (en) * 2008-10-31 2010-05-06 Uwe Griebenow Recessed drain and source areas in combination with advanced silicide formation in transistors
US8890163B2 (en) 2009-10-14 2014-11-18 Samsung Electronics Co., Ltd Semiconductor device including metal silicide layer and method for manufacturing the same
US9245967B2 (en) 2009-10-14 2016-01-26 Samsung Electronics Co., Ltd. Semiconductor device including metal silicide layer and method for manufacturing the same
US20120083106A1 (en) * 2010-09-30 2012-04-05 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US9202913B2 (en) * 2010-09-30 2015-12-01 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US8946721B2 (en) 2010-10-28 2015-02-03 International Business Machines Corporation Structure and method for using high-K material as an etch stop layer in dual stress layer process
US8673757B2 (en) * 2010-10-28 2014-03-18 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process
US20120104505A1 (en) * 2010-10-28 2012-05-03 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process
US8796143B2 (en) * 2010-11-19 2014-08-05 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US20120126297A1 (en) * 2010-11-19 2012-05-24 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US20140183654A1 (en) * 2012-05-29 2014-07-03 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped sige junctions for pmos devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) * 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8975704B2 (en) * 2012-05-29 2015-03-10 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations

Also Published As

Publication number Publication date
US7709317B2 (en) 2010-05-04
CN101283447A (en) 2008-10-08
KR101027166B1 (en) 2011-04-05
KR20080071144A (en) 2008-08-01
EP1949435B1 (en) 2013-11-20
CN101283447B (en) 2011-04-20
WO2007054403A1 (en) 2007-05-18
JP2009516363A (en) 2009-04-16
EP1949435A1 (en) 2008-07-30
JP4906868B2 (en) 2012-03-28
TW200733384A (en) 2007-09-01
US20070108525A1 (en) 2007-05-17

Similar Documents

Publication Publication Date Title
US7709317B2 (en) Method to increase strain enhancement with spacerless FET and dual liner process
EP1929537B1 (en) High performance mosfet comprising a stressed gate metal silicide layer and method of fabricating the same
US7504336B2 (en) Methods for forming CMOS devices with intrinsically stressed metal silicide layers
US8476139B2 (en) High performance MOSFET
US7388259B2 (en) Strained finFET CMOS device structures
US7242055B2 (en) Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
EP1565931B1 (en) Strained finfet cmos device structures
US8309447B2 (en) Method for integrating multiple threshold voltage devices for CMOS
US20100159684A1 (en) Metal High-K (MHK) Dual Gate Stress Engineering Using Hybrid Orientation (HOT) CMOS
US7521346B2 (en) Method of forming HfSiN metal for n-FET applications
US20110006373A1 (en) Transistor Structure
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
KR101071787B1 (en) Semiconductor structure with enhanced performance using a simplified dual stress liner configuration
US20090039436A1 (en) High Performance Metal Gate CMOS with High-K Gate Dielectric
US20070090462A1 (en) Silicided regions for NMOS and PMOS devices

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910