US20100229793A1 - Showerhead for vapor deposition - Google Patents

Showerhead for vapor deposition Download PDF

Info

Publication number
US20100229793A1
US20100229793A1 US12/725,326 US72532610A US2010229793A1 US 20100229793 A1 US20100229793 A1 US 20100229793A1 US 72532610 A US72532610 A US 72532610A US 2010229793 A1 US2010229793 A1 US 2010229793A1
Authority
US
United States
Prior art keywords
holes
assembly
plate
disposed
tube plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/725,326
Inventor
Gang He
Gregg Higashi
Khurshed Sorabji
Roger Hamamjy
Andreas Hegedus
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Awbscqemgk Inc
Original Assignee
Awbscqemgk Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Awbscqemgk Inc filed Critical Awbscqemgk Inc
Priority to US12/725,326 priority Critical patent/US20100229793A1/en
Assigned to ALTA DEVICES, INC. reassignment ALTA DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HEGEDUS, ANDREAS, SORABJI, KHURSHED, HAMAMJY, ROGER, HE, GANG, HIGASHI, GREGG
Publication of US20100229793A1 publication Critical patent/US20100229793A1/en
Assigned to SILICON VALLEY BANK, AS AGENT reassignment SILICON VALLEY BANK, AS AGENT SECURITY AGREEMENT Assignors: ALTA DEVICES, INC.
Assigned to AWBSCQEMGK, INC. (F/K/A ALTA DEVICES, INC.) reassignment AWBSCQEMGK, INC. (F/K/A ALTA DEVICES, INC.) RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: SILICON VALLEY BANK, AS COLLATERAL AGENT
Assigned to HANERGY GLOBAL INVESTMENT AND SALES PTE. LTD. reassignment HANERGY GLOBAL INVESTMENT AND SALES PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ALTA DEVICES, INC.
Assigned to AWBSCQEMGK, Inc. reassignment AWBSCQEMGK, Inc. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: ALTA DEVICES, INC.
Assigned to ALTA DEVICES, INC. reassignment ALTA DEVICES, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: HANERGY ACQUISITION SUB INC.
Assigned to ALTA DEVICES, INC. reassignment ALTA DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HANERGY GLOBAL INVESTMENT AND SALES PTE. LTD
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Definitions

  • Embodiments of the invention generally relate to apparatuses and methods for vapor deposition, and more particularly, to chemical vapor deposition systems, reactors, and processes thereof.
  • Photovoltaic or solar devices, semiconductor devices, or other electronic devices are usually manufactured by utilizing a variety of fabrication processes to manipulate the surface of a substrate. These fabrication processes may include deposition, annealing, etching, doping, oxidation, nitridation, and many other processes.
  • Epitaxial lift off (ELO) is a less common technique for fabricating thin film devices and materials in which layers of materials are deposited to and then removed from a growth substrate.
  • An epitaxial layer, film, or material is grown or deposited on a sacrificial layer which is disposed on the growth substrate, such as a gallium arsenide wafer, by a chemical vapor deposition (CVD) process or a metallic-organic CVD (MOCVD) process.
  • CVD chemical vapor deposition
  • MOCVD metallic-organic CVD
  • the isolated epitaxial material may be a thin layer or film which is usually referred to as the ELO film or the epitaxial film.
  • Each epitaxial film generally contains numerous layers of varying compositions relative to the specific device, such as photovoltaic or solar devices, semiconductor devices, or other electronic devices.
  • the CVD process includes growing or depositing the epitaxial film by the reaction of vapor phase chemical precursors.
  • at least one of the chemical precursors is a metallic-organic compound—that is—a compound having a metal atom and at least one ligand containing an organic fragment.
  • CVD reactors include single or bulk wafer reactors, atmospheric and low pressure reactors, ambient temperature and high temperature reactors, as well as plasma enhanced reactors. These distinct designs address a variety of challenges that are encountered during a CVD process, such as depletion effects, contamination issues, reactor maintenance, throughput, and production costs.
  • Embodiments of the invention generally relate to apparatuses and methods for chemical vapor deposition (CVD) processes.
  • a showerhead assembly for a vapor deposition chamber which includes a body having an upper portion and a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, and an optional diffusion plate having a first plurality of holes and disposed within the centralized channel.
  • the showerhead assembly further has an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • a showerhead assembly for a vapor deposition chamber which includes a body containing an upper portion and a lower portion, wherein the upper portion contains a flange extending over the lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • a showerhead assembly for a vapor deposition chamber which includes a body containing an upper portion and a lower portion, wherein the upper portion adjacently extends from a central axis of the body further than the lower portion and the lower portion extends parallel to the central axis further than the upper portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to the central axis, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • a showerhead assembly for a vapor deposition chamber which includes a body containing an upper portion and a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, and a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate.
  • a showerhead assembly for a vapor deposition chamber which includes a body containing an upper portion and a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an upper tube plate having a first plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a second plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the first plurality of holes and an individual hole from the second plurality of holes.
  • the showerhead assembly is a modular showerhead assembly.
  • the upper portion and the lower portion of the body showerhead assembly may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the upper portion and the lower portion of the body each independently contains stainless steel or alloys thereof.
  • the showerhead assembly contains a gaseous inlet disposed on the upper portion of the body.
  • a plate may be disposed on an upper surface of the upper portion of the body and the gaseous inlet may be disposed on the plate.
  • the plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the plate has an inlet port extending therethrough.
  • the gaseous inlet has an inlet tube extending through the inlet port.
  • a nozzle may be coupled to one end of the inlet tube and disposed above the plate.
  • the upper surface of the upper portion of the showerhead body has a groove which encompasses the centralized channel. An O-ring may be disposed within the groove.
  • the diffusion plate may be disposed on a ledge or a flange protruding from side surfaces of the body within the centralized channel.
  • the plurality of tubes may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes.
  • each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm.
  • each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • the tubes are hypodermic needles.
  • the tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • each hole of the first plurality of holes on the diffusion plate has a larger diameter than each hole of the second plurality of holes on the upper tube plate. Further, each hole of the first plurality of holes on the diffusion plate has a larger diameter than each hole of the third plurality of holes on the lower diffusion plate. Also, each hole of the second plurality of holes on the upper tube plate has the same diameter or substantially the same diameter as each hole of the third plurality of holes on the lower tube plate.
  • the diffusion plate may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the diffusion plate may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 75 holes, and more preferably, from about 40 holes to about 60 holes.
  • Each hole of the diffusion plate may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • the upper tube plate and/or the lower tube plate may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the upper tube plate and/or the lower tube plate may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes.
  • Each hole of the upper tube plate and/or the lower tube plate may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • the upper surface of the upper portion of the body of the showerhead assembly is a metallic plate.
  • the showerhead assembly body may have a rectangular geometry or a square geometry.
  • the body of the showerhead assembly further contains a temperature regulation system.
  • the temperature regulation system may have a fluid passageway extending within the body, and may have an inlet and an outlet coupled to and in fluid communication with the fluid passageway. The inlet and the outlet may be independently coupled to and in fluid communication with a liquid reservoir, a heat exchanger, or multiple heat exchangers.
  • an exhaust assembly for a vapor deposition chamber which includes a body containing an upper portion disposed on a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an exhaust outlet disposed on the upper portion of the body, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • the exhaust assembly may further contain a plate disposed on an upper surface of the upper portion of the body.
  • the exhaust outlet may be disposed on the plate.
  • the plate may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the plate usually has an exhaust port extending therethrough.
  • the exhaust outlet may have an exhaust tube extending through the exhaust port.
  • an exhaust nozzle may be coupled to one end of the exhaust tube and disposed above the plate.
  • the upper surface of the upper portion of the exhaust assembly body has a groove which encompasses the centralized channel. An O-ring or other spacer may be disposed within the groove.
  • the diffusion plate may be disposed on a ledge or a flange protruding from side surfaces of the body within the centralized channel.
  • FIGS. 1A-1E depict a CVD reactor according to embodiments described herein;
  • FIG. 1F depicts a CVD reactor coupled to a temperature regulation system according to another embodiment described herein;
  • FIGS. 2A-2C depict a reactor lid assembly according to embodiments described herein;
  • FIG. 2D depicts a reactor lid support according to an embodiment described herein
  • FIG. 3 depicts a reactor body assembly according to embodiments described herein;
  • FIGS. 4A-4E depict a wafer carrier track according to embodiments described herein;
  • FIGS. 5A-5D depict an isolator assembly according to embodiments described herein;
  • FIG. 6 depicts a heating lamp assembly according to embodiments described herein;
  • FIGS. 7A-7D depict a showerhead assembly according to embodiments described herein;
  • FIGS. 8A-8D depict an exhaust assembly according to embodiments described herein;
  • FIGS. 9A-9F depict a CVD system containing multiple CVD reactors according to embodiments described herein;
  • FIGS. 10A-10B depict lamps according to embodiments described herein;
  • FIGS. 11A-11F depict a plurality of lamps according to other embodiments described herein;
  • FIGS. 12A-12B depict a levitating substrate carrier according to another embodiment described herein.
  • FIGS. 12C-12E depict other levitating substrate carriers according to another embodiment described herein.
  • Embodiments of the invention generally relate to an apparatus and methods of chemical vapor deposition (CVD), such as metallic-organic CVD (MOCVD) processes.
  • CVD chemical vapor deposition
  • MOCVD metallic-organic CVD
  • embodiments of the invention are described as they relate to an atmospheric pressure CVD reactor and metal-organic precursor gases. It is to be noted, however, that aspects of the invention are not limited to use with an atmospheric pressure CVD reactor or metal-organic precursor gases, but are applicable to other types of reactor systems and precursor gases.
  • an atmospheric pressure CVD reactor may be used to provide multiple epitaxial layers on a substrate, such as a gallium arsenide substrate. These epitaxial layers may include aluminum gallium arsenide, gallium arsenide, and phosphorous gallium arsenide. These epitaxial layers may be grown on the gallium arsenide substrate for later removal so that the substrate may be reused to generate additional materials.
  • the CVD reactor may be used to provide solar cells. These solar cells may further include single junction, hetero-junction, or other configurations.
  • the CVD reactor may be configured to develop a 2.5 watt wafer on a 10 centimeter by 10 centimeter substrate. In one embodiment, the CVD reactor may provide a throughput range of about 1 substrate per minute to about 10 substrates per minute.
  • FIGS. 1A-1E depict reactor 100 , a CVD reactor or chamber, as described in an embodiment described herein.
  • Reactor 100 contains reactor lid assembly 200 disposed on reactor body assembly 102 .
  • Reactor lid assembly 200 and components thereof are further illustrated in FIGS. 2A-2D and reactor body assembly 102 is further illustrated in FIG. 3 .
  • Reactor lid assembly 200 contains an injector or isolator, isolator assembly 500 , disposed between two showerheads, showerhead assemblies 700 .
  • Reactor lid assembly 200 also contains exhaust assembly 800 .
  • FIG. 1C depicts reactor 100 containing two deposition stations, such as chamber stations 160 , 162 .
  • Chamber station 160 contains showerhead assembly 700 and isolator assembly 500 while chamber station 162 contains showerhead assembly 700 and exhaust assembly 800 .
  • isolator assembly 500 may be used to flow gas to separate both showerhead assemblies 700 from each other, while exhaust assembly 800 may be used to isolate the internal environment of reactor 100 from another reactor connected to faceplate 112 .
  • each of the showerhead assemblies 700 may be a modular showerhead assembly
  • each of the isolator assemblies 500 may be a modular isolator assembly
  • each of the exhaust assemblies 800 may be a modular exhaust assembly. Any of the showerhead assemblies 700 , the isolator assemblies 500 , and/or the exhaust assemblies 800 may be removed from reactor lid assembly 200 , and replaced with the same or a different assembly as desired for the particular process conditions.
  • the modular assemblies of the showerhead assemblies 700 , the isolator assemblies 500 , and/or the exhaust assemblies 800 may independently be configured for positioning within a CVD reactor system.
  • reactor lid assembly 200 of reactor 100 contains three exhaust assemblies 800 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contain a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a third exhaust assembly.
  • reactor lid assembly 200 of reactor 100 contains three isolator assemblies 500 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contain a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a third isolator assembly.
  • reactor lid assembly 200 of reactor 100 contains two isolator assemblies 500 and one exhaust assembly 800 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contains a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a first exhaust assembly.
  • reactor lid assembly 200 may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second isolator assembly.
  • reactor lid assembly 200 may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second isolator assembly.
  • reactor lid assembly 200 of reactor 100 contains two exhaust assemblies 800 and one isolator assembly 500 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contains a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a first isolator assembly.
  • reactor lid assembly 200 may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second exhaust assembly.
  • reactor lid assembly 200 may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second exhaust assembly.
  • Reactor body assembly 102 contains faceplate 110 on one end and faceplate 112 on the opposite end. Faceplates 110 and 112 may each independently be utilized to couple together additional reactors, similar or different than reactor 100 , or to couple an end cap, an end plate, a wafer/substrate handler, or another device.
  • faceplate 110 of reactor 100 may be coupled to faceplate 112 of another reactor (not shown). Similar, faceplate 112 of reactor 100 may be coupled to faceplate 110 of another reactor (not shown).
  • a seal, spacer, or O-ring may be disposed between two joining faceplates.
  • the seal may contain a metal, such as nickel or a nickel alloy. In one example, the seal is a knife edge metal seal.
  • the seal contains a polymer or an elastomer, such as a KALREZ® elastomer seal, available from DuPont Performance Elastomers L.L.C.
  • the seal may be a helix seal or an H-seal. The seal or O-ring should form a gas tight seal to prevent, or greatly reduce ambient gas from entering reactor 100 . Reactor 100 may be maintained with little or no oxygen, water, or carbon dioxide during use or production.
  • reactor 100 may be maintained with an oxygen concentration, a water concentration, and/or a carbon dioxide concentration independently of about 100 ppb (parts per billion) or less, preferably, about 10 ppb or less, more preferably, about 1 ppb or less, and more preferably, about 100 ppt (parts per trillion) or less.
  • Sides 120 and 130 extend along the length of reactor body assembly 102 .
  • Side 120 has upper surface 128 and side 130 has upper surface 138 .
  • Upper surfaces 114 and 116 of reactor body assembly 102 extend between upper surfaces 128 and 138 .
  • Upper surface 114 is on reactor body assembly 102 just inside and parallel to faceplate 110 and upper surface 116 is on reactor body assembly 102 just inside and parallel to faceplate 112 .
  • Gas inlet 123 is coupled to and extends from side 120 .
  • the levitation gas or carrier gas may be administered into reactor 100 through gas inlet 123 .
  • the levitation gas or carrier gas may contain nitrogen, helium, argon, hydrogen, or mixtures thereof.
  • FIG. 1F depicts reactor 100 , including reactor body assembly 102 and reactor lid assembly 200 , coupled to temperature regulation system 190 , according to one embodiment described herein.
  • Temperature regulation system 190 is illustrated in FIG. 1F as having three heat exchangers 180 a , 180 b , and 180 c .
  • temperature regulation system 190 may have 1, 2, 3, 4, 5, or more heat exchangers coupled to and in fluid communication with the various portions of reactor 100 .
  • Each of the heat exchangers 180 a , 180 b , or 180 c may contain at least one liquid supply 182 and at least one liquid return 184 .
  • Each liquid supply 182 may be coupled to and in fluid communication with inlets on reactor 100 by conduit 186 while each liquid return 184 may be coupled to and in fluid communication with outlets on reactor 100 by conduit 186 .
  • Conduits 186 may include pipes, tubing, hoses, other hollow lines, or combinations thereof.
  • Valve 188 may be used on each conduit 186 between liquid supply 182 and an inlet or between liquid return 184 and an outlet.
  • Reactor body assembly 102 is coupled to and in fluid communication with at least one heat exchanger as part of the heat regulation system.
  • reactor body assembly 102 may be coupled to and in fluid communication with two, three, or more heat exchangers.
  • FIG. 1B depicts inlet 118 a and outlet 118 b coupled to and in fluid communication with lower portion 104 of reactor 100 and with the heat regulation system.
  • inlets 122 a , 122 b , and 122 c , and outlets 126 a , 126 b , and 126 c are coupled to and extend from side 120 .
  • At least one heat exchanger is coupled to and in fluid communication with inlets 122 a , 122 b , and 122 c , and outlets 126 a , 126 b , and 126 c .
  • Inlets 122 a , 122 b , and 122 c may receive a liquid from the heat exchangers while outlets 126 a , 126 b , and 126 c send the liquid back to the heat exchanger.
  • each inlet 122 a , 122 b , or 122 c is positioned in a lower position than each respective outlet 126 a , 126 b , or 126 c , so that flowing liquid from each inlet 122 a , 122 b , or 122 c upwardly flows through each connecting passageway to each respective outlet 126 a , 126 b , or 126 c.
  • inlets 132 a , 132 b , and 132 c , and outlets 136 a , 136 b , and 136 c are coupled to and extend from side 130 .
  • At least one heat exchanger is coupled to and in fluid communication with inlets 132 a , 132 b , and 132 c , and outlets 136 a , 136 b , and 136 c .
  • Inlets 132 a , 132 b , and 132 c may receive a liquid from the heat exchanger while outlets 136 a , 136 b , and 136 c send the liquid back to the heat exchanger.
  • FIGS. 1C-1D illustrate reactor body assembly 102 containing fluid passageways 124 a , 124 b , 124 c , 134 a , 134 b , and 134 c .
  • fluid passageway 124 a extends within side 120 and along a partial length of reactor body assembly 102 .
  • Fluid passageway 124 a is coupled to and in fluid communication with inlet 122 a and outlet 126 a .
  • fluid passageway 134 a extends within side 130 and along a partial length of reactor body assembly 102 .
  • Fluid passageway 134 a is coupled to and in fluid communication with inlet 132 a and outlet 136 a.
  • fluid passageway 124 b extends within the shelf or bracket arm 146 within reactor body assembly 102 and along a partial length of reactor body assembly 102 . Fluid passageway 124 b is coupled to and in fluid communication with inlet 122 b and outlet 126 b . Also, fluid passageway 134 b extends within the shelf or bracket arm 146 within reactor body assembly 102 and along a partial length of reactor body assembly 102 . Fluid passageway 134 b is coupled to and in fluid communication with inlet 132 b and outlet 136 b.
  • fluid passageway 124 c extends from side 120 , through the width of reactor body assembly 102 , and to side 130 . Fluid passageway 124 c is coupled to and in fluid communication with inlet 122 c and outlet 132 c . Also, fluid passageway 124 c extends from side 130 , through the width of reactor body assembly 102 , and to side 130 . Fluid passageway 124 c is coupled to and in fluid communication with inlet 126 c and outlet 136 c.
  • reactor body assembly 102 contains wafer carrier track 400 and heating lamp assembly 600 disposed therein.
  • Heating lamp system may be used to heat wafer carrier track 400 , wafer carriers, and wafers 90 disposed above and within reactor 100 .
  • Wafer carrier track 400 may be on a shelf, such as bracket arm 146 .
  • wafer carrier track 400 may be disposed between bracket arm 146 and clamp arm 148 .
  • Bracket arm 146 may contains fluid passageways 124 b and 134 b traversing therethrough.
  • a spacer such as a gasket or an O-ring may be disposed between the lower surface of wafer carrier track 400 and the upper surface of bracket arm 146 .
  • another spacer such as a gasket or an O-ring may be disposed between the upper surface of wafer carrier track 400 and the lower surface of clamp arm 148 .
  • the spacers may be used to form space or a gap around wafer carrier track 400 , which aids in the thermal management of wafer carrier track 400 .
  • the upper surface of bracket arm 146 may have a groove for containing a spacer.
  • the lower surface of clamp arm 148 may have a groove for containing a spacer.
  • FIGS. 2A-2C depict reactor lid assembly 200 according to another embodiment described herein.
  • Reactor lid assembly 200 contains showerhead assembly 700 and isolator assembly 500 (chamber station 160 ) and showerhead assembly 700 and exhaust assembly 800 (chamber station 162 ) disposed on lid support 210 .
  • FIG. 2D depicts lid support 210 contained within reactor lid assembly 200 , as described in one embodiment.
  • Lid support 210 has lower surface 208 and upper surface 212 .
  • Flange 220 extends outwardly from lid support 210 and has lower surface 222 .
  • Flange 220 helps support reactor lid assembly 200 when disposed on reactor body assembly 102 .
  • Lower surface 222 of flange 220 may be in physical contact with upper surfaces 114 , 116 , 128 , and 138 of reactor body assembly 102 .
  • showerhead assemblies 700 may be disposed within showerhead ports 230 and 250 of lid support 210
  • isolator assembly 500 may be disposed within isolator port 240 of lid support 210
  • exhaust assembly 800 may be disposed within exhaust port 260 of lid support 210 .
  • the geometry of the gas or exhaust assembly generally matches the geometry of the respective port.
  • Each showerhead assembly 700 and showerhead ports 230 and 250 may independently have a rectangular or square geometry.
  • showerhead port 230 has length 232 and width 234 and showerhead port 250 has length 252 and width 254 .
  • Isolator assembly 500 and isolator port 240 may independently have a rectangular or square geometry.
  • Isolator port 240 has length 242 and width 244 .
  • Exhaust assembly 800 and exhaust port 260 may independently have a rectangular or square geometry.
  • Exhaust port 260 has length 262 and width 264 .
  • the process path extends along length 232 of showerhead port 230 and a first showerhead assembly therein, extends along length 242 of isolator port 240 and an isolator assembly therein, extends along length 252 of showerhead port 250 and a second showerhead assembly therein, and extends along length 262 of exhaust port 260 and an exhaust assembly therein. Also, the process path extends perpendicular or substantially perpendicular to width 234 of showerhead port 230 and a first showerhead assembly therein, to width 244 of isolator port 240 and an isolator assembly therein, to width 254 of showerhead port 250 and a second showerhead assembly therein, and to width 264 of exhaust port 260 and an exhaust assembly therein.
  • the first showerhead assembly 700 , the isolator assembly 500 , the second showerhead assembly 700 , and the exhaust assembly 800 are consecutively disposed next to each and along a process path which extends along the length of lid support.
  • the isolator assembly 500 , as well as the exhaust assembly 800 may each have a width which is substantially the same or greater than the width of the process path.
  • the isolator assembly 500 or the exhaust assembly 800 may independently have a width which is substantially the same or greater than the width of the first and second showerhead assemblies 700 .
  • showerhead assemblies 700 independently have a square geometry and isolator assembly 500 and exhaust assembly 800 have a square geometry.
  • width 244 of isolator port 240 and the width of isolator assembly 500 may extend across the width of the interior of the chamber.
  • width 264 of exhaust port 260 and the width of exhaust assembly 800 may extend across the width of the interior of the chamber.
  • width 234 of showerhead port 230 , width 254 of showerhead port 250 , and the width of each showerhead assembly 700 may independently be within a range from about 3 inch to about 9 inches, preferably, from about 5 inches to about 7 inches, for example, about 6 inches.
  • length 232 of showerhead port 230 , length 252 of showerhead port 250 and the length of each showerhead assembly 700 may independently be within a range from about 3 inch to about 9 inches, preferably, from about 5 inches to about 7 inches, for example, about 6 inches.
  • width 244 of isolator port 240 and the width of isolator assembly 500 may independently be within a range from about 3 inches to about 12 inches, preferably, from about 4 inches to about 8 inches, and more preferably, from about 5 inches to about 6 inches.
  • length 242 of isolator port 240 and the length of the isolator assembly 500 may independently be within a range from about 0.5 inches to about 5 inches, preferably, from about 1 inch to about 4 inches, from about 1.5 inches to about 2 inches.
  • width 264 of exhaust port 260 and the width of exhaust assembly 800 may independently be within a range from about 3 inches to about 12 inches, preferably, from about 4 inches to about 8 inches, and more preferably, from about 5 inches to about 6 inches.
  • length 262 of exhaust port 260 and the length of the exhaust assembly 800 may independently be within a range from about 0.5 inches to about 5 inches, preferably, from about 1 inch to about 4 inches, from about 1.5 inches to about 2 inches.
  • Reactor lid assembly 200 may be coupled to and in fluid communication with at least one heat exchanger as part of the heat regulation system. In some embodiments, reactor lid assembly 200 may be coupled to and in fluid communication with two, three, or more heat exchanger.
  • the heat regulation system 190 ( FIG. 1F ) of reactor lid assembly 200 contains inlets 214 a , 216 a , and 218 a and outlets 214 b , 216 b , and 218 b , as depicted in FIG. 2A .
  • Each pair of the inlet and outlet is coupled to and in fluid communication with a passageway extending throughout reactor lid assembly 200 .
  • Inlets 214 a , 216 a , and 218 a may receive a liquid from the heat exchanger while outlets 214 b , 216 b , and 218 b send the liquid back to the heat exchanger, such as heat exchangers 180 a - 180 c .
  • the temperature regulation system 190 utilizes heat exchangers 180 a - 180 c to independently maintain reactor body assembly 102 and/or reactor lid assembly 200 at a temperature within a range from about 250° C. to about 350° C., preferably, from about 275° C. to about 325° C., more preferably, from about 290° C. to about 310° C., such as about 300° C.
  • FIGS. 2B-2C illustrate fluid passageways 224 , 226 , and 228 .
  • Fluid passageway 224 is disposed between inlet 214 a and outlet 214 b , which may be coupled to and in fluid communication to a heat exchanger.
  • Fluid passageway 224 is disposed between showerhead assembly 700 and exhaust assembly 800 .
  • fluid passageway 226 is disposed between inlet 216 a and outlet 216 b
  • fluid passageway 228 is disposed between inlet 218 a and outlet 218 b , which both may independently be coupled to and in fluid communication to a heat exchanger.
  • Fluid passageway 226 is disposed between showerhead assembly 700 and isolator assembly 500
  • fluid passageway 228 is disposed between showerhead assembly 700 and isolator assembly 500 .
  • Fluid passageway 224 is partially formed between groove 213 and plate 223 .
  • fluid passageway 226 is partially formed between groove 215 and plate 225
  • fluid passageway 228 is partially formed between groove 217 and plate 227 .
  • Grooves 213 , 215 , and 217 may be formed within lower surface 208 of lid support 210 .
  • FIG. 2D depicts plates 223 , 225 , and 227 respectively covering grooves 213 , 215 , and 217 .
  • a reactor lid assembly 200 for vapor deposition which includes a first showerhead assembly 700 and an isolator assembly 500 disposed next to each other on a lid support 210 , and a second showerhead assembly 700 and an exhaust assembly 800 disposed next to each other on the lid support 210 , wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700 and the second showerhead assembly 700 is disposed between the isolator assembly 500 and the exhaust assembly 800 .
  • a reactor lid assembly 200 for vapor deposition which includes a chamber station 160 having a first showerhead assembly 700 and an isolator assembly 500 disposed next to each other on a lid support 210 , and a chamber station 162 having a second showerhead assembly 700 and an exhaust assembly 800 disposed next to each other on the lid support 210 , wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700 and the second showerhead assembly 700 is disposed between the isolator assembly 500 and the exhaust assembly 800 .
  • a reactor lid assembly 200 for vapor deposition which includes a first showerhead assembly 700 , an isolator assembly 500 , a second showerhead assembly 700 , and an exhaust assembly 800 consecutively and linearly disposed next to each other on a lid support 210 , wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700 and the second showerhead assembly 700 is disposed between the isolator assembly 500 and the exhaust assembly 800 .
  • a reactor lid assembly 200 for vapor deposition which includes a first showerhead assembly 700 , an isolator assembly 500 , a second showerhead assembly 700 , and an exhaust assembly 800 consecutively and linearly disposed next to each other on a lid support 210 , and a temperature regulation system 190 having at least one liquid or fluid passageway, but often may have two, three, or more liquid or fluid passageways, such as fluid passageways 224 , 226 , and 228 , extending throughout the lid support 210 .
  • the temperature regulation system 190 further has at least one inlet, such as inlets 214 a , 216 a , and 218 a , and at least one outlet, such as outlets 214 b , 216 b , and 218 b , coupled to and in fluid communication with the fluid passageways 224 , 226 , and 228 .
  • Each of the inlets 214 a , 216 a , and 218 a and outlets 214 b , 216 b , and 218 b may be independently coupled to and in fluid communication with a liquid reservoir, a heat exchanger, or multiple heat exchangers, such as heat exchangers 180 a , 180 b , and 180 c .
  • the liquid reservoir may contain or be a source of water, alcohols, glycols, glycol ethers, organic solvents, or mixtures thereof.
  • the first showerhead assembly 700 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200 .
  • the second showerhead assembly 700 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200 .
  • the isolator assembly 500 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200 .
  • the exhaust assembly 800 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200 .
  • a reactor lid assembly 200 for vapor deposition which includes a chamber station 160 having a first showerhead assembly 700 and an isolator assembly 500 disposed next to each other on a lid support 210 , a chamber station 162 having a second showerhead assembly 700 and an exhaust assembly 800 disposed next to each other on the lid support 210 , wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700 , and the temperature regulation system 190 .
  • the first showerhead assembly 700 , the isolator assembly 500 , the second showerhead assembly 700 , and the exhaust assembly 800 are consecutively disposed next to each and along the length of lid support 210 .
  • the isolator assembly 500 may have a longer width than the first or second showerhead assembly 700 .
  • the isolator assembly 500 may have a shorter length than the first or second showerhead assembly 700 .
  • the exhaust assembly 800 may have a longer width than the first or second showerhead assembly 700 .
  • the exhaust assembly 800 may have a shorter length than the first or second showerhead assembly 700 .
  • the first showerhead assembly 700 , the isolator assembly 500 , the second showerhead assembly 700 , and the exhaust assembly 800 independently have a rectangular geometry. In other examples, the first showerhead assembly 700 and the second showerhead assembly 700 have a square geometry.
  • the lid support 210 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • each of the isolator assembly 500 or the first or second showerhead assemblies 700 independently has a body 502 or 702 containing upper portion 506 or 706 disposed on a lower portion 504 or 704 , a centralized channel 516 or 716 extending through the upper portion 506 or 706 and the lower portion 504 or 704 , between inner surfaces 509 or 709 of the body 502 or 702 , and parallel to a central axis 501 or 701 extending through the body 502 or 702 and an optional diffusion plate 530 or 730 having a first plurality of holes 532 or 732 and disposed within the centralized channel 516 or 716 .
  • the isolator assembly 500 or the first or second showerhead assemblies 700 independently have an upper tube plate 540 or 740 having a second plurality of holes 542 or 742 and disposed within the centralized channel 516 or 716 and optionally below the diffusion plate 530 or 730 and a lower tube plate 550 or 750 having a third plurality of holes 552 or 752 and disposed within the centralized channel 516 or 716 below the upper tube plate 540 or 740 .
  • Either of the showerhead assemblies 700 or the isolator assembly 500 independently may further have a plurality of gas tubes 580 or 780 extending from the upper tube plate 540 or 740 to the lower tube plate 550 or 750 , wherein each of the gas tubes 580 or 780 is coupled to and in fluid communication with an individual hole from the second plurality of holes 542 or 742 and an individual hole from the third plurality of holes 552 or 752 .
  • an exhaust assembly 800 contains a body 802 having an upper portion 806 disposed on a lower portion 804 , a centralized channel 816 extending through the upper portion 806 and the lower portion 804 , between inner surfaces 809 of the body 802 , and parallel to a central axis 801 extending through the body 802 , an exhaust outlet 860 disposed on the upper portion 806 of the body 802 , an optional diffusion plate 830 having a first plurality of holes 832 and disposed within the centralized channel 816 , an upper tube plate 840 having a second plurality of holes 842 and disposed within the centralized channel 816 and optionally below the diffusion plate 830 (if present), a lower tube plate 850 having a third plurality of holes 852 and disposed within the centralized channel 816 below the upper tube plate 840 .
  • the exhaust assembly 800 may further contain a plurality of exhaust tubes 880 extending from the upper tube plate 840 to the lower tube plate 850 , wherein each of the exhaust tubes 880 is coupled to and in fluid communication with an individual hole from the second plurality of holes 842 and an individual hole from the third plurality of holes 852 .
  • FIGS. 4A-4E depict wafer carrier track 400 according to one embodiment described herein.
  • wafer carrier track 400 for levitating and traversing a substrate susceptor, such as levitating wafer carrier 480 within a vapor deposition reactor system, such as reactor 100 is provided which includes an upper segment 410 of wafer carrier track 400 disposed over a lower segment 412 of wafer carrier track 400 .
  • Gas cavity 430 is formed between upper segment 410 and lower segment 412 of wafer carrier track 400 .
  • Two side surfaces 416 extend along upper segment 410 of wafer carrier track 400 and parallel to each other.
  • Guide path 420 extends between the two side surfaces 416 and along upper surface 418 of upper segment 410 .
  • a plurality of gas holes 438 is disposed within guide path 420 and extend from upper surface 418 of upper segment 410 , through upper segment 410 , and into gas cavity 430 .
  • upper lap joint 440 is disposed at one end of wafer carrier track 400 and lower lap joint 450 is disposed at the opposite end of wafer carrier track 400 , wherein upper lap joint 440 extends along a portion of guide path 420 and side surfaces 416 .
  • Upper lap joint 440 has lower surface 442 extending further than lower segment 412 .
  • Lower lap joint 450 has upper surface 452 extending further than guide path 420 and side surfaces 416 of wafer carrier track 400 .
  • upper segment 410 and/or lower segment 412 of wafer carrier track 400 may independently contain quartz.
  • lower segment 412 of wafer carrier track 400 may be a quartz plate.
  • Upper segment 410 and lower segment 412 of wafer carrier track 400 may be fused together.
  • upper segment 410 and lower segment 412 both contain quartz and are fused together forming gas cavity therebetween.
  • the quartz contained in upper segment 410 and/or lower segment 412 of wafer carrier track 400 is usually transparent, but in some embodiments, portions of wafer carrier track 400 may contain quartz that is opaque.
  • gas port 434 extends from side surface 402 of wafer carrier track 400 and into gas cavity 430 .
  • gas port 434 extends through upper segment 410 .
  • the plurality of gas holes 438 may number from about 10 holes to about 50 holes, preferably, from about 20 holes to about 40 holes.
  • Each of the gas holes 438 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • a wafer carrier track system may contain two or more wafer carrier tracks 400 disposed end to end in series, as depicted in FIGS. 4D-4E .
  • the wafer carrier track system is provided which includes an upper lap joint 440 of a first wafer carrier track 400 disposed over a lower lap joint 450 of a second wafer carrier track 400 , an exhaust port formed between the upper lap joint 440 of the first wafer carrier track 400 and the lower lap joint 450 of the second wafer carrier track 400 , and a first guide path on an upper surface of the first wafer carrier track 400 aligned with a second guide path on an upper surface of the second wafer carrier track 400 .
  • an upper lap joint 440 of the second wafer carrier track 400 may be disposed over a lower lap joint 450 of a third wafer carrier track 400 (not shown).
  • wafer carrier track 400 for levitating and traversing levitating wafer carrier 480 within a vapor deposition reactor system, such as reactor 100 which includes wafer carrier track 400 having gas cavity 430 formed within, guide path 420 extending along an upper surface of wafer carrier track 400 , a plurality of gas holes 438 within guide path 420 and extending from the upper surface of wafer carrier track 400 and into gas cavity 430 , and an upper lap joint 440 disposed at one end of wafer carrier track 400 and a lower lap joint 450 disposed at the opposite end of wafer carrier track 400 , wherein the upper lap joint 440 extends a portion of guide path 420 and the lower lap joint 450 has an upper surface extending further than guide path 420 of wafer carrier track 400 .
  • At least one side surface may be disposed on wafer carrier track 400 and extends along and above guide path 420 .
  • two side surfaces 416 are disposed on wafer carrier track 400 and extend along and above guide path 420 .
  • Guide path 420 may extend between the two side surfaces 416 .
  • an upper segment 410 of wafer carrier track 400 may be disposed over a lower segment 412 of wafer carrier track 400 .
  • Upper segment 410 of wafer carrier track 400 may have guide path 420 extending along the upper surface.
  • Gas cavity 430 may be formed between upper segment 410 and lower segment 412 of wafer carrier track 400 .
  • upper segment 410 and lower segment 412 of wafer carrier track 400 may be fused together.
  • wafer carrier track 400 contains quartz.
  • Upper segment 410 and lower segment 412 of wafer carrier track 400 may independently contain quartz.
  • lower segment 412 of wafer carrier track 400 is a quartz plate.
  • gas port 434 extends from a side surface of wafer carrier track 400 and into gas cavity 430 .
  • Gas port 434 may be utilized to flow the levitating gas through the side surface of wafer carrier track 400 , into gas cavity 430 and out from the plurality of gas holes 438 on the upper surface of wafer carrier track 400 .
  • the plurality of gas holes 438 may number from about 10 holes to about 50 holes, preferably, from about 20 holes to about 40 holes.
  • Each gas hole 438 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • FIGS. 12A-12E depict levitating wafer carrier 480 which may be used to carry a substrate through a variety of processing chambers including the CVD reactors as described herein, as well as other processing chambers used for deposition or etching.
  • Levitating wafer carrier 480 has short sides 471 , long sides 473 , an upper surface 472 , and a lower surface 474 .
  • Levitating wafer carrier 480 is illustrated with a rectangular geometry, but may also have a square geometry, a circular geometry, or other geometries.
  • Levitating wafer carrier 480 may contain or be formed from graphite or other materials.
  • Levitating wafer carrier 480 usually travels through the CVD reactor with the short sides 471 facing forward while the long sides 473 face towards the sides of the CVD reactor.
  • FIGS. 12A-12B depict levitating wafer carrier 480 according to one embodiment described herein.
  • FIG. 12A illustrates a top view of levitating wafer carrier 480 containing 3 indentations 475 on the upper surface 472 .
  • Wafers or substrates may be positioned within the indentations 475 while being transferred through the CVD reactor during a process.
  • the upper surface 472 may have more or less indentations, including no indentations.
  • the upper surface 472 of levitating wafer carrier 480 may contain 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, or more indentations for containing wafers or substrates.
  • one wafer/substrate or multiple wafers/substrates may be disposed directly on the upper surface 472 which does not have an indentation.
  • FIG. 12B illustrates a bottom view of levitating wafer carrier 480 containing the indentation 478 on the lower surface 474 , as described in one embodiment herein.
  • the indentation 478 may be used to help levitate levitating wafer carrier 480 upon the introduction of a gas cushion under levitating wafer carrier 480 .
  • a gas flow may be directed at the indentation 478 , which accumulates gas to form the gas cushion.
  • the lower surface 474 of levitating wafer carrier 480 may have no indentations, or may have one indentation 478 ( FIG. 12B ), two indentations 478 ( FIGS. 12C-12E ), three indentations 478 (not shown) or more.
  • each of the indentations 478 may have straight or tapered sides.
  • each indentation 478 has tapered sides such that the sides 476 are steeper or more abrupt than the sides 477 which have more of a gradual change of angle.
  • the sides 477 within the indentation 478 may be tapered to compensate for a thermal gradient across levitating wafer carrier 480 .
  • the sides 477 may be tapered or angled to help form a gas pocket and to maintain the gas pocket under levitating wafer carrier 480 while lifting and moving/traversing levitating wafer carrier 480 along wafer carrier track 400 .
  • the indentation 478 has straight or substantially straight sides and tapered sides such that the sides 476 are straight or substantially straight and the sides 477 have a taper/angle or the sides 477 are straight or substantially straight and the sides 476 have a taper/angle.
  • the indentation 478 may have all straight sides such that the sides 476 and 477 are straight or substantially straight.
  • FIGS. 12C-12E illustrate bottom views of levitating wafer carrier 480 containing two indentations 478 on the lower surface 474 .
  • the two indentations 478 help levitate levitating wafer carrier 480 upon the introduction of a gas cushion under levitating wafer carrier 480 .
  • a gas flow may be directed at the indentations 478 , which accumulates gas to form the gas cushion.
  • the indentations 478 may have straight or tapered sides. In one example, as illustrated in FIG. 10E , the indentations 478 have all straight sides such that the sides 476 and 477 are straight, e.g., perpendicular to the plane of the lower surface 474 . In another example, as illustrated in FIG.
  • the indentations 478 have all tapered sides such that the sides 476 are steeper or more abrupt than the sides 477 which have more of a gradual change of angle.
  • the sides 477 within the indentations 478 may be tapered to compensate for a thermal gradient across levitating wafer carrier 480 .
  • the indentations 478 may have a combination of straight sides and tapered sides such that the sides 476 are straight and the sides 477 have a taper or the sides 477 are straight and the sides 476 have a taper.
  • Levitating wafer carrier 480 contains a heat flux which extends from the lower surface 474 to the upper surface 472 and to any substrates disposed thereon.
  • the heat flux may be controlled by both the internal pressure and length of the processing system.
  • the profile of levitating wafer carrier 480 may be tapered to compensate the heat loses from other sources. During a process, heat is lost through the edges of levitating wafer carrier 480 , such as the short sides 471 and the long sides 473 . However, the heat lost may be compensated by allowing more heat flux into the edges of levitating wafer carrier 480 by reducing the gap of the channel in the levitation.
  • wafer carrier track 400 contains levitating wafer carrier 480 disposed on guide path 420 .
  • levitating wafer carrier 480 has at least one indentation pocket disposed within a lower surface.
  • levitating wafer carrier 480 has at least two indentation pockets disposed within a lower surface.
  • FIGS. 5A-5D depict isolator assembly 500 for a vapor deposition chamber, such as reactor 100 , according embodiments described herein.
  • isolator assembly 500 includes body 502 having upper portion 506 and lower portion 504 , and centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502 .
  • Upper portion 506 contains upper surface 507 .
  • Centralized channel 516 extends between inner surfaces 509 of body 502 , and parallel to central axis 501 extending through body 502 .
  • Diffusion plate 530 contains a plurality of gas holes 532 and is disposed within centralized channel 516 .
  • diffusion plate 530 is disposed on a flange or ledge 510 .
  • isolator assembly 500 does not contain diffusion plate 530 disposed therein.
  • Isolator assembly 500 further contains upper tube plate 540 having a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530 .
  • Isolator assembly 500 also contains lower tube plate 550 having a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540 .
  • a plurality of gas tubes 580 extend from upper tube plate 540 to lower tube plate 550 , wherein each tube is coupled to and in fluid communication with an individual hole from the plurality of gas holes 542 and an individual hole from plurality of gas holes 552 .
  • Each of the gas tubes 580 extends parallel or substantially parallel to each other as well as to central axis 501 in many embodiments described herein. In an alternative embodiment, not shown, each of the gas tubes 580 may extend at a predetermined angle relative to central axis 501 , such as within a range from about 1° to about 15° or greater.
  • Isolator assembly 500 may be used to disperse gases, such as purge gases, precursor gases, and/or carrier gases, by providing a flow path through inlet port 522 and into cavities 538 , 548 , and 558 .
  • Cavity 538 is formed between upper plate 520 and diffusion plate 530 within centralized channel 516 .
  • Cavity 548 is formed between diffusion plate 530 and upper tube plate 540 within centralized channel 516 .
  • Cavity 558 is formed between upper tube plate 540 and lower tube plate 550 within centralized channel 516 .
  • isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504 , wherein upper portion 506 contains a flange extending over lower portion 504 , centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502 , between inner surfaces 509 of body 502 , and parallel to central axis 501 extending through body 502 , diffusion plate 530 containing a plurality of gas holes 532 and disposed within centralized channel 516 , upper tube plate 540 containing a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530 , lower tube plate 550 containing a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540 , and plurality of gas tubes 580 extending from upper tube plate 540 to lower tube plate 550 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 542 and an individual hole from plurality of gas holes 552 .
  • isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504 , wherein upper portion 506 adjacently extends from central axis 501 of body 502 further than lower portion 504 and lower portion 504 extends parallel to central axis 501 further than upper portion 506 , centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502 , between inner surfaces 509 of body 502 , and parallel to central axis 501 , diffusion plate 530 containing a plurality of gas holes 532 and disposed within centralized channel 516 , upper tube plate 540 containing a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530 , lower tube plate 550 containing a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540 , and plurality of gas tubes 580 extending from upper tube plate 540 to lower tube plate 550 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality
  • isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504 , centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502 , between inner surfaces 509 of body 502 , and parallel to central axis 501 extending through body 502 , diffusion plate 530 containing a plurality of gas holes 532 and disposed within centralized channel 516 , upper tube plate 540 containing a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530 , and lower tube plate 550 containing a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540 .
  • isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504 , centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502 , between inner surfaces 509 of body 502 , and parallel to central axis 501 extending through body 502 , upper tube plate 540 containing a plurality of gas holes 532 and disposed within centralized channel 516 below diffusion plate 530 , lower tube plate 550 containing a plurality of gas holes 542 and disposed within centralized channel 516 below upper tube plate 540 , and plurality of gas tubes 580 extending from upper tube plate 540 to lower tube plate 550 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 532 and an individual hole from plurality of gas holes 542 .
  • isolator assembly 500 is a modular showerhead assembly.
  • Upper portion 506 and lower portion 504 of body 502 may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • upper portion 506 and lower portion 504 of body 502 each independently contains stainless steel or alloys thereof.
  • isolator assembly 500 contains gaseous inlet 560 disposed on upper portion 506 of body 502 .
  • Upper plate 520 may be disposed on an upper surface of upper portion 506 of body 502 and gaseous inlet 560 may be disposed on the plate.
  • the plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the plate has inlet port 522 extending therethrough.
  • Gaseous inlet 560 has inlet tube 564 extending through inlet port 522 .
  • Inlet nozzle 562 may be coupled to one end of inlet tube 564 and disposed above the plate.
  • the upper surface of upper portion 506 of the showerhead body has groove 508 which encompasses centralized channel 516 .
  • An O-ring may be disposed within groove 508 .
  • Diffusion plate 530 may be disposed on a ledge or a flange protruding from side surfaces of body 502 within centralized channel 516 .
  • plurality of gas tubes 580 may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes, for example, about 900 tubes.
  • each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm.
  • each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • the tubes are hypodermic needles.
  • the tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • each hole of plurality of gas holes 532 on diffusion plate 530 has a larger diameter than each hole of plurality of gas holes 542 on upper tube plate 540 . Further, each hole of plurality of gas holes 532 on diffusion plate 530 has a larger diameter than each hole of plurality of gas holes 552 on the lower diffusion plate. Also, each hole of plurality of gas holes 542 on upper tube plate 540 has the same diameter or substantially the same diameter as each hole of plurality of gas holes 552 on lower tube plate 550 .
  • diffusion plate 530 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • Diffusion plate 530 may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 55 holes, and more preferably, from about 40 holes to about 60 holes.
  • Each hole of diffusion plate 530 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • upper tube plate 540 and/or lower tube plate 550 may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • Upper tube plate 540 and/or lower tube plate 550 may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes.
  • Each hole of upper tube plate 540 and/or lower tube plate 550 may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • isolator assembly 500 may have a gaseous hole density and/or number of tubes within a range from about 10 holes/in 2 (holes per square inch) to about 60 holes/in 2 , preferably, from about 15 holes/in 2 to about 45 holes/in 2 , and more preferably, from about 20 holes/in 2 to about 36 holes/in 2 .
  • the upper surface of upper portion 506 of body 502 of isolator assembly 500 is a metallic plate.
  • isolator assembly 500 may have a rectangular geometry or a square geometry.
  • body 502 of isolator assembly 500 further contains a temperature regulation system.
  • the temperature regulation system such as temperature regulation system 190 , may contain fluid passageway 518 extending within body 502 , and may have inlet 514 a and outlet 514 b coupled to and in fluid communication with fluid passageway 518 .
  • Inlet 514 a and outlet 514 b may be independently coupled to and in fluid communication with a liquid reservoir or at least one heat exchanger, such as heat exchangers 180 a , 180 b , or 180 c within temperature regulation system 190 , as depicted in FIG. 1F .
  • FIG. 6 depicts heating lamp assembly 600 , which may be utilized to heat wafers or substrates, as well as wafer carriers or substrate supports within a vapor deposition reactor system, as described in embodiments herein.
  • heating lamp assembly 600 is provided which includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp 624 has a split filament or a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 is disposed between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a first plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp of the first plurality has a split filament, a second plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp of the second plurality has a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a first plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp of the first plurality has a split filament, a second plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp of the second plurality has a non-split filament, and the first plurality of lamps 624 are sequentially or alternately disposed between the second plurality of lamps 624 while extending between the first and second lamp holders.
  • reflector 650 may be disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein the plurality of lamps 624 contain a first group of lamps and a second group of lamps sequentially or alternately disposed between each other, each lamp of the first group of lamps contains a split filament, and each lamp of the second group of lamps contains a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b , a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp has a split filament or a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b , a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp has a split filament or a non-split filament, and each lamp has a first end disposed between two posts 622 on first lamp holder 620 a and a second end disposed between two posts 622 on second lamp holder 620 b , and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b , a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , wherein each lamp has a first end disposed between two posts 622 on first lamp holder 620 a and a second end disposed between two posts 622 on second lamp holder 620 b , and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b , a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 for a vapor deposition reactor system which includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b , a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b , and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • heating lamp assembly 600 contains reflector 650 and/or the upper surface of reflector 650 contains a reflective metal, such as gold, silver, copper, aluminum, nickel, chromium, alloys thereof, or combinations thereof. In many examples, reflector 650 and/or the upper surface of reflector 650 contains gold or a gold alloy.
  • the lower surface of wafer carrier track 400 may be exposed to radiation emitted from lamps 624 within heating lamp assembly 600 and reflected from reflector 650 , the upper surface of reflector 650 , and/or each mirror 652 . The emitted radiation is absorbed by wafer carrier track 400 , levitating wafer carrier 460 , and wafers 90 within reactor 100 .
  • wafer carrier track 400 , levitating wafer carrier 460 , and/or wafers 90 may each be independently heated by the emitted radiation to a temperature within a range from about 250° C. to about 350° C., preferably, from about 275° C. to about 325° C., preferably, from about 290° C. to about 310° C., such as about 300° C.
  • Heating lamp assembly 600 may contain at least one mirror 652 which extends along upper surface 606 of support base 602 and may be perpendicular or substantially perpendicular to upper surface 606 of support base 602 .
  • mirror 652 may be the inner side surfaces of each lamp holder 620 a or 620 b having a reflective coating deposited or otherwise disposed thereon.
  • mirror 652 may be a prefabricated or modular mirror or reflective material which is attached or adhered to the inner side surfaces of each lamp holder 620 a or 620 b .
  • the at least one mirror 652 is generally positioned to face towards reflector 650 at an angle of about 90° relative to the plane of surface 606 .
  • heating lamp assembly 600 contains two mirrors 652 extending along upper surface 606 of support base 602 . Both mirrors may be perpendicular or substantially perpendicular to upper surface 606 of support base 602 and both mirrors 652 may face towards each other with reflector 650 therebetween. Each of the two mirrors 652 faces towards reflector 650 at an angle of about 90° relative to the plane of surface 606 .
  • Each mirror and/or the upper surface of each mirror 652 contains a reflective metal, such as gold, silver, copper, aluminum, nickel, chromium, alloys thereof, or combinations thereof. In many examples, each mirror 652 and/or the upper surface of each mirror 652 contains gold or a gold alloy.
  • each mirror 652 may be positioned to slightly face away from reflector 650 at an angle of greater than 90° relative to the plane of surface 606 , such at an angle within a range from greater than 90° to about 135°.
  • Mirror 652 positioned at an angle of greater than 90° may be utilized to direct energy towards wafer carrier track 400 , levitating wafer carrier 460 , or other parts or surfaces within reactor 100 .
  • heating lamp assembly 600 may contain three or more mirrors 652 along upper surface 606 of support base 602 .
  • the plurality of lamps 624 within heating lamp assembly 600 may number from about 10 lamps to about 100 lamps, preferably, from about 20 lamps to about 50 lamps, and more preferably, from about 30 lamps to about 40 lamps. In one example, heating lamp assembly 600 contains about 34 lamps.
  • each lamp may be in electrical contact with a power source, an independent switch, and a controller. The controller may be used to independently control power to each lamp.
  • support base 602 and each lamp holder 620 a or 620 b within heating lamp assembly 600 may independently contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • first lamp holder 620 a or second lamp holder 620 b may independently contain or be made from stainless steel or alloys thereof.
  • First lamp holder 620 a or second lamp holder 620 b independently may have a cooling coefficient within a range from about 2,000 W/m 2 -K to about 3,000 W/m 2 -K, preferably, from about 2,300 W/m 2 -K to about 2,700 W/m 2 -K. In one example, the cooling coefficient is about 2,500 W/m 2 -K.
  • first lamp holder 620 a and second lamp holder 620 b each have a thickness within a range from about 0.001 inches to about 0.1 inches.
  • FIG. 10A depicts a non-split filament lamp 670 and FIG. 10B depicts a split filament lamp 680 according to multiple embodiments described herein.
  • Non-split filament lamp 670 contains bulb 672 and non-split filament 674
  • split filament lamp 680 contains bulb 682 and non-split filament 684 .
  • the plurality of lamps 624 as described throughout embodiments herein, generally contain non-split filament lamps 670 , split filament lamps 680 , or mixtures of non-split filament lamps 670 and split filament lamps 680 .
  • FIGS. 11A-11F depict different pluralities of lamps which may be lamps 624 and utilized to adjust a heat profile on a wafer carrier track, such as wafer carrier track 400 , a wafer carrier or substrate support, such as levitating wafer carrier 480 , and/or a wafer or a substrate, such as wafers 90 , within a vapor deposition reactor, such as reactor 100 , as described in embodiments herein.
  • FIG. 11A illustrates a plurality of lamps containing all non-split filament lamps 670 and FIG. 11B illustrates a plurality of lamps containing all split filament lamps 680 .
  • FIG. 11A illustrates a plurality of lamps containing all non-split filament lamps 670
  • FIG. 11B illustrates a plurality of lamps containing all split filament lamps 680 .
  • FIG. 11C illustrates a plurality of lamps sequentially or alternatively containing non-split filament lamps 670 and split filament lamps 680 .
  • FIG. 11D illustrates a plurality of lamps containing a split filament lamp 680 between every two non-split filament lamps 670
  • FIG. 11E illustrates a plurality of lamps containing a non-split filament lamp 670 between every two split filament lamps 680
  • FIG. 11F illustrates a plurality of lamps sequentially or alternatively containing non-split filament lamps 670 and split filament lamps 680 , however, each lamp is spaced further apart from each other than the lamps in FIGS. 11A-11E .
  • a method for heating a substrate or a substrate susceptor, such as levitating wafer carrier 480 , within a vapor deposition reactor system, such as reactor 100 , by heating lamp assembly 600 includes exposing a lower surface of a substrate susceptor to energy emitted from heating lamp assembly 600 , and heating the substrate susceptor to a predetermined temperature, wherein heating lamp assembly 600 contains lamp housing 610 disposed on upper surface 606 of support base 602 and containing at least one lamp holder 620 a or 620 b , a plurality of lamps 624 extending from at least one of the lamp holders, and reflector 650 disposed on upper surface 606 of support base 602 , next to the lamp holder, and below the lamps.
  • heating lamp assembly 600 contains lamps which have split filament lamp 680 , a non-split filament, or a mixture of lamps which contain either split or non-split filaments.
  • each of the lamps has split filament lamp 680 .
  • Split filament lamp 680 may have a center between a first end and a second end. The first and second ends of split filament lamps 680 may be maintained warmer than the centers of split filament lamps 680 . Therefore, outer edges of the substrate susceptor may be maintained warmer than a center point of the substrate susceptor.
  • each of the lamps has non-split filament lamp 670 .
  • Non-split filament lamp 670 may have a center between a first end and a second end. The centers of non-split filament lamps 670 may be maintained warmer than the first and second ends of non-split filament lamps 670 . Therefore, a center point of the substrate susceptor may be maintained warmer than the outer edges of the substrate susceptor.
  • the plurality of lamps 624 have split filament lamps and non-split filament lamps.
  • split filament lamps 680 and non-split filament lamps 670 are sequentially disposed between each other. Each lamp may independently be in electric contact to a power source and a controller. The method further includes independently adjusting the amount of electricity flowing to each lamp.
  • split filament lamp 680 may have a center between a first end and a second end. The first and second ends of split filament lamps 680 may be maintained warmer than the centers of split filament lamps 680 . Therefore, the outer edges of the substrate susceptor may be maintained warmer than a center point of the substrate susceptor.
  • non-split filament lamp 670 may have a center between a first end and a second end.
  • the centers of non-split filament lamps 670 may be maintained warmer than the first and second ends of non-split filament lamps 670 . Therefore, the center point of the substrate susceptor may be maintained warmer than the outer edges of the substrate susceptor.
  • the method provides that the substrate susceptor may be a substrate carrier or a wafer carrier.
  • Lamp housing 610 may have first lamp holder 620 a and second lamp holder 620 b .
  • First lamp holder 620 a and second lamp holder 620 b may be parallel or substantially parallel to each other.
  • reflector 650 may be disposed between first lamp holder 620 a and second lamp holder 620 b .
  • First lamp holder 620 a and second lamp holder 620 b each have a thickness within a range from about 0.001 inches to about 0.1 inches.
  • the predetermined thickness of the lamp holders helps maintain a constant temperature of the lamp holders. Therefore, first lamp holder 620 a and second lamp holder 620 b may each independently be maintained at a temperature within a range from about 275° C. to about 375° C., preferably, from about 300° C. to about 350° C.
  • FIGS. 7A-7D depict showerhead assembly 700 for a vapor deposition chamber, such as reactor 100 , according embodiments described herein.
  • showerhead assembly 700 includes body 702 having upper portion 706 and lower portion 704 , and centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702 .
  • Upper portion 706 contains upper surface 707 .
  • Centralized channel 716 extends between inner surfaces 709 of body 702 , and parallel to central axis 701 extending through body 702 .
  • Diffusion plate 730 contains a plurality of gas holes 732 and is disposed within centralized channel 716 .
  • diffusion plate 730 is disposed on a flange or ledge 710 .
  • showerhead assembly 700 does not contain optional diffusion plate 730 disposed therein.
  • showerhead assembly 700 further contains upper tube plate 740 having a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730 .
  • showerhead assembly 700 also contains lower tube plate 750 having a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740 .
  • a plurality of gas tubes 780 extend from upper tube plate 740 to lower tube plate 750 , wherein each tube is coupled to and in fluid communication with an individual hole from the plurality of gas holes 742 and an individual hole from plurality of gas holes 752 .
  • Each of the gas tubes 780 extends parallel or substantially parallel to each other as well as to central axis 701 in many embodiments described herein. In an alternative embodiment, not shown, each of the gas tubes 780 may extend at a predetermined angle relative to central axis 701 , such as within a range from about 1° to about 15° or greater.
  • showerhead assembly 700 may be used to disperse gases, such as purge gases, precursor gases, and/or carrier gases, by providing a flow path through inlet port 722 and into cavities 738 , 748 , and 758 .
  • Cavity 738 is formed between upper plate 720 and diffusion plate 730 within centralized channel 716 .
  • Cavity 748 is formed between diffusion plate 730 and upper tube plate 740 within centralized channel 716 .
  • Cavity 758 is formed between upper tube plate 740 and lower tube plate 750 within centralized channel 716 .
  • showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704 , wherein upper portion 706 contains a flange extending over lower portion 704 , centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702 , between inner surfaces 709 of body 702 , and parallel to central axis 701 extending through body 702 , diffusion plate 730 containing a plurality of gas holes 732 and disposed within centralized channel 716 , upper tube plate 740 containing a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730 , lower tube plate 750 containing a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740 , and plurality of gas tubes 780 extending from upper tube plate 740 to lower tube plate 750 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 742 and an individual hole from plurality of gas holes 752 .
  • showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704 , wherein upper portion 706 adjacently extends from central axis 701 of body 702 further than lower portion 704 and lower portion 704 extends parallel to central axis 701 further than upper portion 706 , centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702 , between inner surfaces 709 of body 702 , and parallel to central axis 701 , diffusion plate 730 containing a plurality of gas holes 732 and disposed within centralized channel 716 , upper tube plate 740 containing a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730 , lower tube plate 750 containing a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740 , and plurality of gas tubes 780 extending from upper tube plate 740 to lower tube plate 750 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas
  • showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704 , centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702 , between inner surfaces 709 of body 702 , and parallel to central axis 701 extending through body 702 , diffusion plate 730 containing a plurality of gas holes 732 and disposed within centralized channel 716 , upper tube plate 740 containing a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730 , and lower tube plate 750 containing a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740 .
  • showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704 , centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702 , between inner surfaces 709 of body 702 , and parallel to central axis 701 extending through body 702 , upper tube plate 740 containing a plurality of gas holes 732 and disposed within centralized channel 716 below diffusion plate 730 , lower tube plate 750 containing a plurality of gas holes 742 and disposed within centralized channel 716 below upper tube plate 740 , and plurality of gas tubes 780 extending from upper tube plate 740 to lower tube plate 750 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 732 and an individual hole from plurality of gas holes 742 .
  • showerhead assembly 700 is a modular showerhead assembly.
  • Upper portion 706 and lower portion 704 of body 702 may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • upper portion 706 and lower portion 704 of body 702 each independently contains stainless steel or alloys thereof.
  • showerhead assembly 700 contains gaseous inlet 760 disposed on upper portion 706 of body 702 .
  • Upper plate 720 may be disposed on an upper surface of upper portion 706 of body 702 and gaseous inlet 760 may be disposed on the plate.
  • the plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the plate has inlet port 722 extending therethrough.
  • Gaseous inlet 760 has inlet tube 764 extending through inlet port 722 .
  • Inlet nozzle 762 may be coupled to one end of inlet tube 764 and disposed above the plate.
  • the upper surface of upper portion 706 of the showerhead body has groove 708 which encompasses centralized channel 716 .
  • An O-ring may be disposed within groove 708 .
  • Diffusion plate 730 may be disposed on a ledge or a flange protruding from side surfaces of body 702 within centralized channel 716 .
  • plurality of gas tubes 780 may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes, for example, about 900 tubes.
  • each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm.
  • each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • the tubes are hypodermic needles.
  • the tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • each hole of plurality of gas holes 732 on diffusion plate 730 has a larger diameter than each hole of plurality of gas holes 742 on upper tube plate 740 . Further, each hole of plurality of gas holes 732 on diffusion plate 730 has a larger diameter than each hole of plurality of gas holes 752 on the lower diffusion plate. Also, each hole of plurality of gas holes 742 on upper tube plate 740 has the same diameter or substantially the same diameter as each hole of plurality of gas holes 752 on lower tube plate 750 .
  • diffusion plate 730 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • Diffusion plate 730 may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 75 holes, and more preferably, from about 40 holes to about 60 holes.
  • Each hole of diffusion plate 730 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • upper tube plate 740 and/or lower tube plate 750 may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • Upper tube plate 740 and/or lower tube plate 750 may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes.
  • Each hole of upper tube plate 740 and/or lower tube plate 750 may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • showerhead assembly 700 may have a gaseous hole density and/or number of tubes within a range from about 10 holes/in 2 (holes per square inch) to about 60 holes/in 2 , preferably, from about 15 holes/in 2 to about 45 holes/in 2 , and more preferably, from about 20 holes/in 2 to about 36 holes/in 2 .
  • the upper surface of upper portion 706 of body 702 of showerhead assembly 700 is a metallic plate.
  • showerhead assembly 700 may have a rectangular geometry or a square geometry.
  • body 702 of showerhead assembly 700 further contains a temperature regulation system.
  • the temperature regulation system such as temperature regulation system 190 , may contain liquid or fluid passageway 718 extending within body 702 , and may have inlet 714 a and outlet 714 b coupled to and in fluid communication with fluid passageway 718 .
  • Inlet 714 a and outlet 714 b may be independently coupled to and in fluid communication with a liquid reservoir or at least one heat exchanger, such as heat exchangers 180 a , 180 b , or 180 c within temperature regulation system 190 , as depicted in FIG. 1F .
  • FIGS. 8A-8D depict exhaust assembly 800 for a vapor deposition chamber, such as reactor 100 , according embodiments described herein.
  • exhaust assembly 800 includes body 802 having upper portion 806 and lower portion 804 , and centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802 .
  • Upper portion 806 contains upper surface 807 .
  • Centralized channel 816 extends between inner surfaces 809 of body 802 , and parallel to central axis 801 extending through body 802 .
  • Diffusion plate 830 contains a plurality of gas holes 832 and is disposed within centralized channel 816 .
  • diffusion plate 830 is disposed on a flange or ledge 810 .
  • exhaust assembly 800 does not contain optional diffusion plate 830 disposed therein.
  • Exhaust assembly 800 further contains upper tube plate 840 having a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830 .
  • Exhaust assembly 800 also contains lower tube plate 850 having a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840 .
  • a plurality of exhaust tubes 880 extend from upper tube plate 840 to lower tube plate 850 , wherein each tube is coupled to and in fluid communication with an individual hole from the plurality of gas holes 842 and an individual hole from plurality of gas holes 854 .
  • Each of the exhaust tubes 880 extends parallel or substantially parallel to each other as well as to central axis 801 in many embodiments described herein. In an alternative embodiment, each of the exhaust tubes 880 may extend at a predetermined angle relative to central axis 801 , such as within a range from about 1° to about 15° or greater.
  • Exhaust assembly 800 pulls a vacuum or reduces internal pressure though exhaust port 822 and cavities 838 , 848 , and 858 .
  • Cavity 838 is formed between upper plate 820 and diffusion plate 830 within centralized channel 816 .
  • Cavity 848 is formed between diffusion plate 830 and upper tube plate 840 within centralized channel 816 .
  • Cavity 858 is formed between upper tube plate 840 and lower tube plate 850 within centralized channel 816 .
  • exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804 , wherein upper portion 806 contains a flange extending over lower portion 804 , centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802 , between inner surfaces 809 of body 802 , and parallel to central axis 801 extending through body 802 , diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816 , upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830 , lower tube plate 850 containing a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840 , and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 842 and an individual hole from plurality of gas holes 854 .
  • exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804 , wherein upper portion 806 adjacently extends from central axis 801 of body 802 further than lower portion 804 and lower portion 804 extends parallel to central axis 801 further than upper portion 806 , centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802 , between inner surfaces 809 of body 802 , and parallel to central axis 801 , diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816 , upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830 , lower tube plate 850 containing a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840 , and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes
  • exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804 , centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802 , between inner surfaces 809 of body 802 , and parallel to central axis 801 extending through body 802 , diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816 , upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830 , and lower tube plate 850 containing a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840 .
  • exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804 , centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802 , between inner surfaces 809 of body 802 , and parallel to central axis 801 extending through body 802 , upper tube plate 840 containing a plurality of gas holes 832 and disposed within centralized channel 816 below diffusion plate 830 , lower tube plate 850 containing a plurality of gas holes 842 and disposed within centralized channel 816 below upper tube plate 840 , and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 832 and an individual hole from plurality of gas holes 842 .
  • exhaust assembly 800 is a modular showerhead assembly.
  • Upper portion 806 and lower portion 804 of body 802 may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • upper portion 806 and lower portion 804 of body 802 each independently contains stainless steel or alloys thereof.
  • exhaust assembly 800 contains exhaust outlet 860 disposed on upper portion 806 of body 802 .
  • Upper plate 820 may be disposed on an upper surface of upper portion 806 of body 802 and exhaust outlet 860 may be disposed on the plate.
  • the plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • the plate has exhaust port 822 extending therethrough.
  • Exhaust outlet 860 has exhaust outlet tube 864 extending through exhaust port 822 .
  • Exhaust nozzle 862 may be coupled to one end of exhaust outlet tube 864 and disposed above the plate.
  • the upper surface of upper portion 806 of the showerhead body has groove 808 which encompasses centralized channel 816 .
  • An O-ring may be disposed within groove 808 .
  • Diffusion plate 830 may be disposed on a ledge or a flange protruding from side surfaces of body 802 within centralized channel 816 .
  • plurality of exhaust tubes 880 may have tubes numbering within a range from about 5 tubes to about 50 tubes, preferably, from about 7 tubes to about 30 tubes, and more preferably, from about 10 tubes to about 20 tubes, for example, about 14 tubes.
  • each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm.
  • each tube may have a diameter within a range from about 0.1 inches to about 0.4 inches, preferably, from about 0.2 inches to about 0.3 inches, for example, about 0.23 inches.
  • exhaust assembly 800 contains a single row of tubes and holes.
  • plurality of exhaust tubes 880 may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes, for example, about 900 tubes.
  • each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm.
  • each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • the tubes are hypodermic needles.
  • the tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • each hole of plurality of gas holes 832 on diffusion plate 830 has a larger diameter than each hole of plurality of gas holes 842 on upper tube plate 840 . Further, each hole of plurality of gas holes 832 on diffusion plate 830 has a larger diameter than each hole of plurality of gas holes 854 on the lower diffusion plate. Also, each hole of plurality of gas holes 842 on upper tube plate 840 has the same diameter or substantially the same diameter as each hole of plurality of gas holes 854 on lower tube plate 850 .
  • diffusion plate 830 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • diffusion plate 830 may contain holes numbering within a range from about 5 holes to about 50 holes, preferably, from about 7 holes to about 30 holes, and more preferably, from about 10 holes to about 20 holes, for example, about 14 holes.
  • Each hole of diffusion plate 830 may have a diameter within a range from about 0.1 inches to about 0.4 inches, preferably, from about 0.2 inches to about 0.3 inches, for example, about 0.23 inches.
  • diffusion plate 830 contains a single row of holes.
  • diffusion plate 830 may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 55 holes, and more preferably, from about 40 holes to about 60 holes.
  • Each hole of diffusion plate 830 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • upper tube plate 840 and/or lower tube plate 850 may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • upper tube plate 840 and/or lower tube plate 850 may independently have holes numbering within a range from about 5 holes to about 50 holes, preferably, from about 7 holes to about 30 holes, and more preferably, from about 10 holes to about 20 holes, for example, about 14 holes.
  • Each hole of upper tube plate 840 and/or lower tube plate 850 may independently have a diameter within a range from about 0.1 inches to about 0.4 inches, preferably, from about 0.2 inches to about 0.3 inches, for example, about 0.23 inches.
  • exhaust assembly 800 may have a gaseous hole density and/or number of tubes within a range from about 5 holes/in 2 (holes per square inch) to about 30 holes/in 2 , preferably, from about 8 holes/in 2 to about 25 holes/in 2 , and more preferably, from about 10 holes/in 2 to about 20 holes/in 2 .
  • upper tube plate 840 and/or lower tube plate 850 may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes.
  • Each hole of upper tube plate 840 and/or lower tube plate 850 may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • the upper surface of upper portion 806 of body 802 of exhaust assembly 800 is a metallic plate.
  • exhaust assembly 800 may have a rectangular geometry or a square geometry.
  • body 802 of exhaust assembly 800 further contains a temperature regulation system.
  • the temperature regulation system such as temperature regulation system 190 , may contain liquid or fluid passageway 818 extending within body 802 , and may have inlet 814 a and outlet 814 b coupled to and in fluid communication with fluid passageway 818 .
  • Inlet 814 a and outlet 814 b may be independently coupled to and in fluid communication with a liquid reservoir or at least one heat exchanger, such as heat exchangers 180 a , 180 b , or 180 c within temperature regulation system 190 , as depicted in FIG. 1F .
  • exhaust assembly 800 which may be utilized in a vapor deposition chamber, has body 802 containing upper portion 806 disposed on lower portion 804 , centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802 , between inner surfaces 809 of body 802 , and parallel to central axis 801 extending through body 802 , exhaust outlet 860 disposed on upper portion 806 of body 802 , diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816 , upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830 , lower tube plate 850 containing a plurality of gas holes 852 and disposed within centralized channel 816 below upper tube plate 840 , and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850 , wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 842 and an individual hole from plurality
  • Exhaust assembly 800 may further contain upper plate 820 disposed on an upper surface of upper portion 806 of body 802 .
  • Exhaust outlet 860 may be disposed on upper plate 820 .
  • Upper plate 820 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • Upper plate 820 usually has an exhaust port extending therethrough.
  • Exhaust outlet 860 may have exhaust outlet tube 864 extending through exhaust port 822 .
  • exhaust nozzle 862 may be coupled to one end of exhaust outlet tube 864 and disposed above upper plate 820 .
  • the upper surface of upper portion 806 of the exhaust assembly body has groove 808 which encompasses centralized channel 816 .
  • An O-ring may be disposed within groove 808 .
  • Diffusion plate 830 may be disposed on a ledge or a flange protruding from side surfaces of body 802 within centralized channel 816 .
  • FIGS. 9A-9F depict reactor system 1000 , a CVD system, containing multiple reactors 1100 a , 1100 b , and 1100 c , as described by embodiments herein.
  • Reactors 1100 a , 1100 b , and 1100 c may be the same reactors as reactor 100 or may be a modified derivative of reactor 100 .
  • reactor 1100 a is coupled to reactor 1100 b , which is coupled to reactor 1100 c , as illustrated in FIGS. 9A-9C .
  • One end of reactor 1100 a is coupled to end cap 1050 at interface 1012 , while the other end of reactor 1100 a is coupled to one end of reactor 1100 b at interface 1014 .
  • the other end of reactor 1100 b is coupled to one end of reactor 1100 c at interface 1016 , while the other end of reactor 1100 c is coupled to end plate 1002 at interface 1016 .
  • FIGS. 9D-9F depicts a close-up view of portions of interface 1018 between reactors 1100 b and 1100 c .
  • reactor 1100 b contains wafer carrier track 1400 which has lower lap joint 1450 and reactor 1100 c contains wafer carrier track 1400 which has upper lap joint 1440 .
  • Exhaust purge port 1080 may be disposed between wafer carrier track 1400 within reactor 1100 b and wafer carrier track 1400 within reactor 1100 c . Exhaust purge port 1080 is in fluid communication with passageway 1460 , which extends from exhaust purge port 1080 to below wafer carrier tracks 1400 . Exhaust assembly 1058 , similar to exhaust assembly 800 , is disposed on the reactor lid assembly of reactor 1100 b . Exhaust assembly 1058 may be used to remove gases from exhaust purge port 1080 . Exhaust assembly 1058 contains exhaust outlet 1060 , exhaust nozzle 1062 , and exhaust tube 1064 .
  • reactor system 1000 may contain additional reactors (not shown) besides reactors 1100 a , 1100 b , and 1100 c .
  • a fourth reactor is included in reactor system 1000 .
  • a fifth reactor is included in reactor system 1000 .
  • reactor system 1000 may have 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, or more reactors.
  • reactors 1100 a , 1100 b , and 1100 c or other reactors which are not shown, may contain 1, 2, 3, 4, or more showerhead assemblies in each reactor (not shown).
  • each of the reactors 1100 a , 1100 b , or 1100 c may contain three exhaust assemblies separated by two showerhead assemblies so that any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a third exhaust assembly.
  • each of the reactors 1100 a , 1100 b , or 1100 c may contain three isolator assemblies separated by two showerhead assemblies so that the reactor lid assembly sequentially contain a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a third isolator assembly.
  • each of the reactors 1100 a , 1100 b , or 1100 c may contain two isolator assemblies and one exhaust assembly separated by two showerhead assemblies so that any of the reactor lid assemblies may sequentially contain a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a first exhaust assembly.
  • any of the reactor lid assemblies may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second isolator assembly.
  • any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second isolator assembly.
  • each of the reactors 1100 a , 1100 b , or 1100 c may contain two exhaust assemblies and one isolator assembly separated by two showerhead assemblies so that any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a first isolator assembly.
  • any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second exhaust assembly.
  • any of the reactor lid assemblies may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second exhaust assembly.
  • Reactor 100 , reactor system 1000 , and derivatives of these reactors may be used for a variety of CVD, MOCVD, and/or epitaxial deposition processes to form an assortment of materials on wafers or substrates, as described in embodiments herein.
  • a Group III/V material which contains at least one element of Group III (e.g., boron, aluminum, gallium, or indium) and at least one element of Group V (e.g., nitrogen, phosphorous, arsenic, or antimony) may be formed or deposited on a wafer.
  • Examples of deposited materials may contain gallium nitride, indium phosphide, gallium indium phosphide, gallium arsenide, aluminum gallium arsenide, derivatives thereof, alloys thereof, multi-layers thereof, or combinations thereof.
  • the deposited materials may be epitaxial materials.
  • the deposited material or epitaxial material may contain one layer, but usually contains multiple layers.
  • the epitaxial material contains a layer having gallium arsenide and another layer having aluminum gallium arsenide.
  • the epitaxial material contains a gallium arsenide buffer layer, an aluminum gallium arsenide passivation layer, and a gallium arsenide active layer.
  • the gallium arsenide buffer layer may have a thickness within a range from about 100 nm to about 500 nm, such as about 300 nm
  • the aluminum gallium arsenide passivation layer has a thickness within a range from about 10 nm to about 50 nm, such as about 30 nm
  • the gallium arsenide active layer has a thickness within a range from about 500 nm to about 2,000 nm, such as about 1,000 nm.
  • the epitaxial material further contains a second aluminum gallium arsenide passivation layer.
  • the process gas used in reactor 100 or reactor system 1000 may contain arsine, argon, helium, nitrogen, hydrogen, or mixtures thereof.
  • the process gas contains an arsenic precursor, such as arsine.
  • the first precursor may contain an aluminum precursor, a gallium precursor, an indium precursor, or combinations thereof, and the second precursor may contain a nitrogen precursor, a phosphorus precursor, an arsenic precursor, an antimony precursor or combinations thereof.
  • the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit.
  • a hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance.
  • the stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust, a hydrogen/arsine mixture preheat isolation zone, a gallium arsenide deposition zone, a gallium arsenide exhaust, an aluminum gallium arsenide deposition zone, a gallium arsenide N-layer deposition zone, a gallium arsenide P-layer deposition zone, a phosphorous hydrogen arsine isolation zone, a first phosphorous aluminum gallium arsenide deposition zone, a phosphorous aluminum gallium arsenide exhaust, a second phosphorous aluminum gallium arsenide deposition zone, a hydrogen/arsine mixture cool down isolation zone, a cool down exhaust, and an exit nitrogen isolation zone.
  • the temperature of the substrate traveling through the reactor may be increased while passing the entrance isolation zone, or may be maintained while traveling through the zones, or may be decreased while nearing the arsine cool down isolation zone.
  • the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit.
  • a hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance.
  • the stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust, a hydrogen/arsine mixture preheat isolation zone, an exhaust, a deposition zone, an exhaust, a hydrogen/arsine mixture cool down isolation zone, a cool down exhaust, and an exit nitrogen isolation zone.
  • the temperature of the substrate traveling through the reactor system may be increased as is passes the entrance isolation zone, may be maintained as is travels through the deposition zone, and may be decreased as it nears the arsine cool down isolation zone.
  • the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit.
  • a hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance.
  • the stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust with flow balance restrictor, an active hydrogen/arsine mixture isolation zone, a gallium arsenide deposition zone, an aluminum gallium arsenide deposition zone, a gallium arsenide N-layer deposition zone, a gallium arsenide P-layer deposition zone, a phosphorous aluminum gallium arsenide deposition zone, a cool down exhaust, and an exit nitrogen isolation zone.
  • the temperature of the substrate traveling through the reactor may increase while passing the entrance isolation zone, or may be maintained while traveling through the deposition zones, or may be decreased while nearing the cool down exhaust.
  • the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit.
  • a hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance.
  • the stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust with flow balance restrictor, a gallium arsenide deposition zone, an aluminum gallium arsenide deposition zone, a gallium arsenide N-layer deposition zone, a gallium arsenide P-layer deposition zone, a phosphorous aluminum gallium arsenide deposition zone, a cool down exhaust with flow balance restrictor, and an exit nitrogen isolation zone.
  • the temperature of the substrate traveling through the reactor may be increased while passing the entrance isolation zone, or may be maintained while traveling through the deposition zones, or may be decreased while nearing the cool down exhaust.
  • FIG. 17 illustrates a seventh configuration 800 .
  • the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit.
  • a hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance.
  • the stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust, a deposition zone, a cool down exhaust, and an exit nitrogen isolation zone.
  • the temperature of the substrate traveling through the reactor may be increased while passing the entrance isolation zone, or may be maintained while traveling through the deposition zone, or may be decreased while nearing the cool down exhaust.
  • the CVD reactor may be configured to epitaxially grow a double hetero-structure containing gallium arsenide materials and aluminum gallium arsenide materials, as well as to epitaxially grow a lateral overgrowth sacrificial layer containing aluminum arsenide materials.
  • the gallium arsenide, aluminum gallium arsenide, and aluminum arsenide materials may be deposited at a rate of about 1 ⁇ m/min.
  • the CVD reactor may have a throughput of about 6 wafers per minute to about 10 wafers per minute.
  • the CVD reactor may be configured to provide a deposition rate of one 10 cm by 10 cm substrate per minute. In one embodiment the CVD reactor may be configured to provide a 300 nm gallium arsenide buffer layer. In one embodiment the CVD reactor may be configured to provide a 30 nm aluminum gallium arsenide passivation layer. In one embodiment the CVD reactor may be configured to provide a 1,000 nm gallium arsenide active layer. In one embodiment the CVD reactor may be configured to provide a 30 nm aluminum gallium arsenide passivation layer. In one embodiment the CVD reactor may be configured to provide a dislocation density of less than 1 ⁇ 10 4 per centimeter squared, a photoluminescence efficiency of 99%; and a photoluminescence lifetime of 250 nanoseconds.
  • the CVD reactor may be configured to provide an epitaxial lateral overgrowth layer having a 5 nm deposition + ⁇ 0.5 nm; a etch selectivity greater than 1 ⁇ 10 6 ; zero pinholes; and an aluminum arsenide etch rate greater than 0.2 mm per hour.
  • the CVD reactor may be configured to provide a center to edge temperature non-uniformity of no greater than 10° C. for temperatures above 300° C.; a V-III ratio of no more than 5; and a maximum temperature of 700° C.
  • the CVD reactor may be configured to provide a deposition layers having a 300 nm gallium arsenide buffer layer; a 5 nm aluminum arsenide sacrificial layer; a 10 nm aluminum gallium arsenide window layer; a 700 nm gallium arsenide 1 ⁇ 10 17 Si active layer; a 300 nm aluminum gallium arsenide 1 ⁇ 10 19 C P+ layer; and a 300 nm gallium arsenide 1 ⁇ 10 19 C P+ layer.
  • the CVD reactor may be configured to provide a deposition layers having a 300 nm gallium arsenide buffer layer; a 5 nm aluminum arsenide sacrificial layer; a 10 nm gallium indium phosphide window layer; a 700 nm gallium arsenide 1 ⁇ 10 17 Si active layer; a 100 nm gallium arsenide C P layer; a 300 nm gallium indium phosphide P window layer; a 20 nm gallium indium phosphide 1 ⁇ 10 20 P+ tunnel junction layer; a 20 nm gallium indium phosphide 1 ⁇ 10 20 N+ tunnel junction layer; a 30 nm aluminum gallium arsenide window; a 400 nm gallium indium phosphide N active layer; a 100 nm gallium indium phosphide P active layer; a 30 nm aluminum gallium arsenide P window; and a 300 nm gallium arsenide

Abstract

Embodiments of the invention generally relate to apparatuses for chemical vapor deposition (CVD) processes. In one embodiment, a showerhead assembly is provided which includes a body having a centralized channel extending through upper and lower portions of the body and extending parallel to a central axis of the body. The showerhead assembly contains an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate. Each tube is coupled to and in fluid communication with individual holes of the upper and lower tube plates.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. Provisional Application Nos. 61/160,690, 61/160,694, 61/160,696, 61/160,699, 61/160,700, 61/160,701, and 61/160,703, all of which were filed Mar. 16, 2009, and all of which are hereby incorporated by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to apparatuses and methods for vapor deposition, and more particularly, to chemical vapor deposition systems, reactors, and processes thereof.
  • 2. Description of the Related Art
  • Photovoltaic or solar devices, semiconductor devices, or other electronic devices are usually manufactured by utilizing a variety of fabrication processes to manipulate the surface of a substrate. These fabrication processes may include deposition, annealing, etching, doping, oxidation, nitridation, and many other processes. Epitaxial lift off (ELO) is a less common technique for fabricating thin film devices and materials in which layers of materials are deposited to and then removed from a growth substrate. An epitaxial layer, film, or material is grown or deposited on a sacrificial layer which is disposed on the growth substrate, such as a gallium arsenide wafer, by a chemical vapor deposition (CVD) process or a metallic-organic CVD (MOCVD) process. Subsequently, the sacrificial layer is selectively etched away in a wet acid bath, while the epitaxial material is separated from the growth substrate during the ELO etch process. The isolated epitaxial material may be a thin layer or film which is usually referred to as the ELO film or the epitaxial film. Each epitaxial film generally contains numerous layers of varying compositions relative to the specific device, such as photovoltaic or solar devices, semiconductor devices, or other electronic devices.
  • The CVD process includes growing or depositing the epitaxial film by the reaction of vapor phase chemical precursors. During a MOCVD process, at least one of the chemical precursors is a metallic-organic compound—that is—a compound having a metal atom and at least one ligand containing an organic fragment.
  • There are numerous types of CVD reactors for very different applications. For example, CVD reactors include single or bulk wafer reactors, atmospheric and low pressure reactors, ambient temperature and high temperature reactors, as well as plasma enhanced reactors. These distinct designs address a variety of challenges that are encountered during a CVD process, such as depletion effects, contamination issues, reactor maintenance, throughput, and production costs.
  • Therefore, there is a need for CVD systems, reactors, and processes to grow epitaxial films and materials on substrates more effectively with less contamination, higher throughput, and less expensive than by currently known CVD equipment and processes.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention generally relate to apparatuses and methods for chemical vapor deposition (CVD) processes. In another embodiment, a showerhead assembly for a vapor deposition chamber is provided which includes a body having an upper portion and a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, and an optional diffusion plate having a first plurality of holes and disposed within the centralized channel. The showerhead assembly further has an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • In another embodiment, a showerhead assembly for a vapor deposition chamber is provided which includes a body containing an upper portion and a lower portion, wherein the upper portion contains a flange extending over the lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • In another embodiment, a showerhead assembly for a vapor deposition chamber is provided which includes a body containing an upper portion and a lower portion, wherein the upper portion adjacently extends from a central axis of the body further than the lower portion and the lower portion extends parallel to the central axis further than the upper portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to the central axis, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • In another embodiment, a showerhead assembly for a vapor deposition chamber is provided which includes a body containing an upper portion and a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, and a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate.
  • In another embodiment, a showerhead assembly for a vapor deposition chamber is provided which includes a body containing an upper portion and a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an upper tube plate having a first plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a second plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the first plurality of holes and an individual hole from the second plurality of holes.
  • In some embodiments, the showerhead assembly is a modular showerhead assembly. The upper portion and the lower portion of the body showerhead assembly may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In one example, the upper portion and the lower portion of the body each independently contains stainless steel or alloys thereof.
  • In one embodiment, the showerhead assembly contains a gaseous inlet disposed on the upper portion of the body. A plate may be disposed on an upper surface of the upper portion of the body and the gaseous inlet may be disposed on the plate. The plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In some examples, the plate has an inlet port extending therethrough. The gaseous inlet has an inlet tube extending through the inlet port. A nozzle may be coupled to one end of the inlet tube and disposed above the plate. In another example, the upper surface of the upper portion of the showerhead body has a groove which encompasses the centralized channel. An O-ring may be disposed within the groove. The diffusion plate may be disposed on a ledge or a flange protruding from side surfaces of the body within the centralized channel.
  • In one embodiment, the plurality of tubes may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes. In some examples, each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm. In other examples, each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In some examples, the tubes are hypodermic needles. The tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • In one embodiment, each hole of the first plurality of holes on the diffusion plate has a larger diameter than each hole of the second plurality of holes on the upper tube plate. Further, each hole of the first plurality of holes on the diffusion plate has a larger diameter than each hole of the third plurality of holes on the lower diffusion plate. Also, each hole of the second plurality of holes on the upper tube plate has the same diameter or substantially the same diameter as each hole of the third plurality of holes on the lower tube plate.
  • In one embodiment, the diffusion plate may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. The diffusion plate may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 75 holes, and more preferably, from about 40 holes to about 60 holes. Each hole of the diffusion plate may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In another embodiment, the upper tube plate and/or the lower tube plate may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. The upper tube plate and/or the lower tube plate may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes. Each hole of the upper tube plate and/or the lower tube plate may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • In one example, the upper surface of the upper portion of the body of the showerhead assembly is a metallic plate. In other examples, the showerhead assembly body may have a rectangular geometry or a square geometry. In another embodiment, the body of the showerhead assembly further contains a temperature regulation system. The temperature regulation system may have a fluid passageway extending within the body, and may have an inlet and an outlet coupled to and in fluid communication with the fluid passageway. The inlet and the outlet may be independently coupled to and in fluid communication with a liquid reservoir, a heat exchanger, or multiple heat exchangers.
  • In other embodiments, an exhaust assembly for a vapor deposition chamber is provided which includes a body containing an upper portion disposed on a lower portion, a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body, an exhaust outlet disposed on the upper portion of the body, an optional diffusion plate having a first plurality of holes and disposed within the centralized channel, an upper tube plate having a second plurality of holes and disposed within the centralized channel below the diffusion plate, a lower tube plate having a third plurality of holes and disposed within the centralized channel below the upper tube plate, and a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
  • The exhaust assembly may further contain a plate disposed on an upper surface of the upper portion of the body. The exhaust outlet may be disposed on the plate. The plate may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. The plate usually has an exhaust port extending therethrough. The exhaust outlet may have an exhaust tube extending through the exhaust port. In one example, an exhaust nozzle may be coupled to one end of the exhaust tube and disposed above the plate. In another example, the upper surface of the upper portion of the exhaust assembly body has a groove which encompasses the centralized channel. An O-ring or other spacer may be disposed within the groove. The diffusion plate may be disposed on a ledge or a flange protruding from side surfaces of the body within the centralized channel.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIGS. 1A-1E depict a CVD reactor according to embodiments described herein;
  • FIG. 1F depicts a CVD reactor coupled to a temperature regulation system according to another embodiment described herein;
  • FIGS. 2A-2C depict a reactor lid assembly according to embodiments described herein;
  • FIG. 2D depicts a reactor lid support according to an embodiment described herein;
  • FIG. 3 depicts a reactor body assembly according to embodiments described herein;
  • FIGS. 4A-4E depict a wafer carrier track according to embodiments described herein;
  • FIGS. 5A-5D depict an isolator assembly according to embodiments described herein;
  • FIG. 6 depicts a heating lamp assembly according to embodiments described herein;
  • FIGS. 7A-7D depict a showerhead assembly according to embodiments described herein;
  • FIGS. 8A-8D depict an exhaust assembly according to embodiments described herein;
  • FIGS. 9A-9F depict a CVD system containing multiple CVD reactors according to embodiments described herein;
  • FIGS. 10A-10B depict lamps according to embodiments described herein;
  • FIGS. 11A-11F depict a plurality of lamps according to other embodiments described herein;
  • FIGS. 12A-12B depict a levitating substrate carrier according to another embodiment described herein; and
  • FIGS. 12C-12E depict other levitating substrate carriers according to another embodiment described herein.
  • DETAILED DESCRIPTION
  • Embodiments of the invention generally relate to an apparatus and methods of chemical vapor deposition (CVD), such as metallic-organic CVD (MOCVD) processes. As set forth herein, embodiments of the invention are described as they relate to an atmospheric pressure CVD reactor and metal-organic precursor gases. It is to be noted, however, that aspects of the invention are not limited to use with an atmospheric pressure CVD reactor or metal-organic precursor gases, but are applicable to other types of reactor systems and precursor gases. To better understand the novelty of the apparatuses of the invention and the methods of use thereof, reference is hereafter made to the accompanying drawings.
  • According to one embodiment of the invention, an atmospheric pressure CVD reactor is provided. The CVD reactor may be used to provide multiple epitaxial layers on a substrate, such as a gallium arsenide substrate. These epitaxial layers may include aluminum gallium arsenide, gallium arsenide, and phosphorous gallium arsenide. These epitaxial layers may be grown on the gallium arsenide substrate for later removal so that the substrate may be reused to generate additional materials. In one embodiment, the CVD reactor may be used to provide solar cells. These solar cells may further include single junction, hetero-junction, or other configurations. In one embodiment, the CVD reactor may be configured to develop a 2.5 watt wafer on a 10 centimeter by 10 centimeter substrate. In one embodiment, the CVD reactor may provide a throughput range of about 1 substrate per minute to about 10 substrates per minute.
  • FIGS. 1A-1E depict reactor 100, a CVD reactor or chamber, as described in an embodiment described herein. Reactor 100 contains reactor lid assembly 200 disposed on reactor body assembly 102. Reactor lid assembly 200 and components thereof are further illustrated in FIGS. 2A-2D and reactor body assembly 102 is further illustrated in FIG. 3.
  • Reactor lid assembly 200 contains an injector or isolator, isolator assembly 500, disposed between two showerheads, showerhead assemblies 700. Reactor lid assembly 200 also contains exhaust assembly 800. FIG. 1C depicts reactor 100 containing two deposition stations, such as chamber stations 160, 162. Chamber station 160 contains showerhead assembly 700 and isolator assembly 500 while chamber station 162 contains showerhead assembly 700 and exhaust assembly 800. In one embodiment, isolator assembly 500 may be used to flow gas to separate both showerhead assemblies 700 from each other, while exhaust assembly 800 may be used to isolate the internal environment of reactor 100 from another reactor connected to faceplate 112.
  • In many embodiments described herein, each of the showerhead assemblies 700 may be a modular showerhead assembly, each of the isolator assemblies 500 may be a modular isolator assembly, and each of the exhaust assemblies 800 may be a modular exhaust assembly. Any of the showerhead assemblies 700, the isolator assemblies 500, and/or the exhaust assemblies 800 may be removed from reactor lid assembly 200, and replaced with the same or a different assembly as desired for the particular process conditions. The modular assemblies of the showerhead assemblies 700, the isolator assemblies 500, and/or the exhaust assemblies 800 may independently be configured for positioning within a CVD reactor system.
  • In alternative embodiments described herein, other configurations of reactor 100 are provided, but not illustrated in the drawings. In one embodiment, reactor lid assembly 200 of reactor 100 contains three exhaust assemblies 800 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contain a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a third exhaust assembly. In another embodiment, reactor lid assembly 200 of reactor 100 contains three isolator assemblies 500 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contain a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a third isolator assembly.
  • In another embodiment, reactor lid assembly 200 of reactor 100 contains two isolator assemblies 500 and one exhaust assembly 800 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contains a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a first exhaust assembly. In another example, reactor lid assembly 200 may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second isolator assembly. In another example, reactor lid assembly 200 may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second isolator assembly.
  • In another embodiment, reactor lid assembly 200 of reactor 100 contains two exhaust assemblies 800 and one isolator assembly 500 separated by two showerhead assemblies 700 so that reactor lid assembly 200 sequentially contains a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a first isolator assembly. In another example, reactor lid assembly 200 may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second exhaust assembly. In another example, reactor lid assembly 200 may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second exhaust assembly.
  • Reactor body assembly 102 contains faceplate 110 on one end and faceplate 112 on the opposite end. Faceplates 110 and 112 may each independently be utilized to couple together additional reactors, similar or different than reactor 100, or to couple an end cap, an end plate, a wafer/substrate handler, or another device. In one example, faceplate 110 of reactor 100 may be coupled to faceplate 112 of another reactor (not shown). Similar, faceplate 112 of reactor 100 may be coupled to faceplate 110 of another reactor (not shown). A seal, spacer, or O-ring may be disposed between two joining faceplates. In one embodiment, the seal may contain a metal, such as nickel or a nickel alloy. In one example, the seal is a knife edge metal seal. In another embodiment, the seal contains a polymer or an elastomer, such as a KALREZ® elastomer seal, available from DuPont Performance Elastomers L.L.C. In another embodiment, the seal may be a helix seal or an H-seal. The seal or O-ring should form a gas tight seal to prevent, or greatly reduce ambient gas from entering reactor 100. Reactor 100 may be maintained with little or no oxygen, water, or carbon dioxide during use or production. In one embodiment, reactor 100 may be maintained with an oxygen concentration, a water concentration, and/or a carbon dioxide concentration independently of about 100 ppb (parts per billion) or less, preferably, about 10 ppb or less, more preferably, about 1 ppb or less, and more preferably, about 100 ppt (parts per trillion) or less.
  • Sides 120 and 130 extend along the length of reactor body assembly 102. Side 120 has upper surface 128 and side 130 has upper surface 138. Upper surfaces 114 and 116 of reactor body assembly 102 extend between upper surfaces 128 and 138. Upper surface 114 is on reactor body assembly 102 just inside and parallel to faceplate 110 and upper surface 116 is on reactor body assembly 102 just inside and parallel to faceplate 112. Gas inlet 123 is coupled to and extends from side 120. The levitation gas or carrier gas may be administered into reactor 100 through gas inlet 123. The levitation gas or carrier gas may contain nitrogen, helium, argon, hydrogen, or mixtures thereof.
  • FIG. 1F depicts reactor 100, including reactor body assembly 102 and reactor lid assembly 200, coupled to temperature regulation system 190, according to one embodiment described herein. Temperature regulation system 190 is illustrated in FIG. 1F as having three heat exchangers 180 a, 180 b, and 180 c. However, temperature regulation system 190 may have 1, 2, 3, 4, 5, or more heat exchangers coupled to and in fluid communication with the various portions of reactor 100. Each of the heat exchangers 180 a, 180 b, or 180 c may contain at least one liquid supply 182 and at least one liquid return 184. Each liquid supply 182 may be coupled to and in fluid communication with inlets on reactor 100 by conduit 186 while each liquid return 184 may be coupled to and in fluid communication with outlets on reactor 100 by conduit 186. Conduits 186 may include pipes, tubing, hoses, other hollow lines, or combinations thereof. Valve 188 may be used on each conduit 186 between liquid supply 182 and an inlet or between liquid return 184 and an outlet.
  • Reactor body assembly 102 is coupled to and in fluid communication with at least one heat exchanger as part of the heat regulation system. In some embodiments, reactor body assembly 102 may be coupled to and in fluid communication with two, three, or more heat exchangers. FIG. 1B depicts inlet 118 a and outlet 118 b coupled to and in fluid communication with lower portion 104 of reactor 100 and with the heat regulation system.
  • In one embodiment, inlets 122 a, 122 b, and 122 c, and outlets 126 a, 126 b, and 126 c are coupled to and extend from side 120. At least one heat exchanger is coupled to and in fluid communication with inlets 122 a, 122 b, and 122 c, and outlets 126 a, 126 b, and 126 c. Inlets 122 a, 122 b, and 122 c may receive a liquid from the heat exchangers while outlets 126 a, 126 b, and 126 c send the liquid back to the heat exchanger. In one embodiment, each inlet 122 a, 122 b, or 122 c is positioned in a lower position than each respective outlet 126 a, 126 b, or 126 c, so that flowing liquid from each inlet 122 a, 122 b, or 122 c upwardly flows through each connecting passageway to each respective outlet 126 a, 126 b, or 126 c.
  • In another embodiment, inlets 132 a, 132 b, and 132 c, and outlets 136 a, 136 b, and 136 c are coupled to and extend from side 130. At least one heat exchanger is coupled to and in fluid communication with inlets 132 a, 132 b, and 132 c, and outlets 136 a, 136 b, and 136 c. Inlets 132 a, 132 b, and 132 c may receive a liquid from the heat exchanger while outlets 136 a, 136 b, and 136 c send the liquid back to the heat exchanger.
  • FIGS. 1C-1D illustrate reactor body assembly 102 containing fluid passageways 124 a, 124 b, 124 c, 134 a, 134 b, and 134 c. In one example, fluid passageway 124 a extends within side 120 and along a partial length of reactor body assembly 102. Fluid passageway 124 a is coupled to and in fluid communication with inlet 122 a and outlet 126 a. Also, fluid passageway 134 a extends within side 130 and along a partial length of reactor body assembly 102. Fluid passageway 134 a is coupled to and in fluid communication with inlet 132 a and outlet 136 a.
  • In another example, fluid passageway 124 b extends within the shelf or bracket arm 146 within reactor body assembly 102 and along a partial length of reactor body assembly 102. Fluid passageway 124 b is coupled to and in fluid communication with inlet 122 b and outlet 126 b. Also, fluid passageway 134 b extends within the shelf or bracket arm 146 within reactor body assembly 102 and along a partial length of reactor body assembly 102. Fluid passageway 134 b is coupled to and in fluid communication with inlet 132 b and outlet 136 b.
  • In another example, fluid passageway 124 c extends from side 120, through the width of reactor body assembly 102, and to side 130. Fluid passageway 124 c is coupled to and in fluid communication with inlet 122 c and outlet 132 c. Also, fluid passageway 124 c extends from side 130, through the width of reactor body assembly 102, and to side 130. Fluid passageway 124 c is coupled to and in fluid communication with inlet 126 c and outlet 136 c.
  • In another embodiment, reactor body assembly 102 contains wafer carrier track 400 and heating lamp assembly 600 disposed therein. Heating lamp system may be used to heat wafer carrier track 400, wafer carriers, and wafers 90 disposed above and within reactor 100. Wafer carrier track 400 may be on a shelf, such as bracket arm 146. Generally, wafer carrier track 400 may be disposed between bracket arm 146 and clamp arm 148. Bracket arm 146 may contains fluid passageways 124 b and 134 b traversing therethrough.
  • In one embodiment, a spacer, such as a gasket or an O-ring may be disposed between the lower surface of wafer carrier track 400 and the upper surface of bracket arm 146. Also, another spacer, such as a gasket or an O-ring may be disposed between the upper surface of wafer carrier track 400 and the lower surface of clamp arm 148. The spacers may be used to form space or a gap around wafer carrier track 400, which aids in the thermal management of wafer carrier track 400. In one example, the upper surface of bracket arm 146 may have a groove for containing a spacer. Similarly, the lower surface of clamp arm 148 may have a groove for containing a spacer.
  • FIGS. 2A-2C depict reactor lid assembly 200 according to another embodiment described herein. Reactor lid assembly 200 contains showerhead assembly 700 and isolator assembly 500 (chamber station 160) and showerhead assembly 700 and exhaust assembly 800 (chamber station 162) disposed on lid support 210. FIG. 2D depicts lid support 210 contained within reactor lid assembly 200, as described in one embodiment. Lid support 210 has lower surface 208 and upper surface 212. Flange 220 extends outwardly from lid support 210 and has lower surface 222. Flange 220 helps support reactor lid assembly 200 when disposed on reactor body assembly 102. Lower surface 222 of flange 220 may be in physical contact with upper surfaces 114, 116, 128, and 138 of reactor body assembly 102.
  • In one embodiment, showerhead assemblies 700 may be disposed within showerhead ports 230 and 250 of lid support 210, isolator assembly 500 may be disposed within isolator port 240 of lid support 210, and exhaust assembly 800 may be disposed within exhaust port 260 of lid support 210. The geometry of the gas or exhaust assembly generally matches the geometry of the respective port. Each showerhead assembly 700 and showerhead ports 230 and 250 may independently have a rectangular or square geometry. A process path—such as the path in which levitating wafer carrier 480 travels forward along wafer carrier track 400 during fabrication processes—extends along the length of lid support 210 as well as wafer carrier track 400.
  • Showerhead port 230 has length 232 and width 234 and showerhead port 250 has length 252 and width 254. Isolator assembly 500 and isolator port 240 may independently have a rectangular or square geometry. Isolator port 240 has length 242 and width 244. Exhaust assembly 800 and exhaust port 260 may independently have a rectangular or square geometry. Exhaust port 260 has length 262 and width 264.
  • The process path extends along length 232 of showerhead port 230 and a first showerhead assembly therein, extends along length 242 of isolator port 240 and an isolator assembly therein, extends along length 252 of showerhead port 250 and a second showerhead assembly therein, and extends along length 262 of exhaust port 260 and an exhaust assembly therein. Also, the process path extends perpendicular or substantially perpendicular to width 234 of showerhead port 230 and a first showerhead assembly therein, to width 244 of isolator port 240 and an isolator assembly therein, to width 254 of showerhead port 250 and a second showerhead assembly therein, and to width 264 of exhaust port 260 and an exhaust assembly therein.
  • In some examples, the first showerhead assembly 700, the isolator assembly 500, the second showerhead assembly 700, and the exhaust assembly 800 are consecutively disposed next to each and along a process path which extends along the length of lid support. The isolator assembly 500, as well as the exhaust assembly 800 may each have a width which is substantially the same or greater than the width of the process path. Also, the isolator assembly 500 or the exhaust assembly 800 may independently have a width which is substantially the same or greater than the width of the first and second showerhead assemblies 700.
  • In one embodiment, showerhead assemblies 700 independently have a square geometry and isolator assembly 500 and exhaust assembly 800 have a square geometry. In one example, width 244 of isolator port 240 and the width of isolator assembly 500 may extend across the width of the interior of the chamber. In another example, width 264 of exhaust port 260 and the width of exhaust assembly 800 may extend across the width of the interior of the chamber.
  • In some embodiments, width 234 of showerhead port 230, width 254 of showerhead port 250, and the width of each showerhead assembly 700 may independently be within a range from about 3 inch to about 9 inches, preferably, from about 5 inches to about 7 inches, for example, about 6 inches. Also, length 232 of showerhead port 230, length 252 of showerhead port 250 and the length of each showerhead assembly 700 may independently be within a range from about 3 inch to about 9 inches, preferably, from about 5 inches to about 7 inches, for example, about 6 inches.
  • In other embodiments, width 244 of isolator port 240 and the width of isolator assembly 500 may independently be within a range from about 3 inches to about 12 inches, preferably, from about 4 inches to about 8 inches, and more preferably, from about 5 inches to about 6 inches. Also, length 242 of isolator port 240 and the length of the isolator assembly 500 may independently be within a range from about 0.5 inches to about 5 inches, preferably, from about 1 inch to about 4 inches, from about 1.5 inches to about 2 inches.
  • In other embodiments, width 264 of exhaust port 260 and the width of exhaust assembly 800 may independently be within a range from about 3 inches to about 12 inches, preferably, from about 4 inches to about 8 inches, and more preferably, from about 5 inches to about 6 inches. Also, length 262 of exhaust port 260 and the length of the exhaust assembly 800 may independently be within a range from about 0.5 inches to about 5 inches, preferably, from about 1 inch to about 4 inches, from about 1.5 inches to about 2 inches.
  • Reactor lid assembly 200 may be coupled to and in fluid communication with at least one heat exchanger as part of the heat regulation system. In some embodiments, reactor lid assembly 200 may be coupled to and in fluid communication with two, three, or more heat exchanger.
  • The heat regulation system 190 (FIG. 1F) of reactor lid assembly 200 contains inlets 214 a, 216 a, and 218 a and outlets 214 b, 216 b, and 218 b, as depicted in FIG. 2A. Each pair of the inlet and outlet is coupled to and in fluid communication with a passageway extending throughout reactor lid assembly 200. Inlets 214 a, 216 a, and 218 a may receive a liquid from the heat exchanger while outlets 214 b, 216 b, and 218 b send the liquid back to the heat exchanger, such as heat exchangers 180 a-180 c. In some embodiments, the temperature regulation system 190 utilizes heat exchangers 180 a-180 c to independently maintain reactor body assembly 102 and/or reactor lid assembly 200 at a temperature within a range from about 250° C. to about 350° C., preferably, from about 275° C. to about 325° C., more preferably, from about 290° C. to about 310° C., such as about 300° C.
  • FIGS. 2B-2C illustrate fluid passageways 224, 226, and 228. Fluid passageway 224 is disposed between inlet 214 a and outlet 214 b, which may be coupled to and in fluid communication to a heat exchanger. Fluid passageway 224 is disposed between showerhead assembly 700 and exhaust assembly 800. Also, fluid passageway 226 is disposed between inlet 216 a and outlet 216 b, and fluid passageway 228 is disposed between inlet 218 a and outlet 218 b, which both may independently be coupled to and in fluid communication to a heat exchanger. Fluid passageway 226 is disposed between showerhead assembly 700 and isolator assembly 500, and fluid passageway 228 is disposed between showerhead assembly 700 and isolator assembly 500.
  • Fluid passageway 224 is partially formed between groove 213 and plate 223. Similarly, fluid passageway 226 is partially formed between groove 215 and plate 225, and fluid passageway 228 is partially formed between groove 217 and plate 227. Grooves 213, 215, and 217 may be formed within lower surface 208 of lid support 210. FIG. 2D depicts plates 223, 225, and 227 respectively covering grooves 213, 215, and 217.
  • In one embodiment, a reactor lid assembly 200 for vapor deposition is provided which includes a first showerhead assembly 700 and an isolator assembly 500 disposed next to each other on a lid support 210, and a second showerhead assembly 700 and an exhaust assembly 800 disposed next to each other on the lid support 210, wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700 and the second showerhead assembly 700 is disposed between the isolator assembly 500 and the exhaust assembly 800.
  • In another embodiment, a reactor lid assembly 200 for vapor deposition is provided which includes a chamber station 160 having a first showerhead assembly 700 and an isolator assembly 500 disposed next to each other on a lid support 210, and a chamber station 162 having a second showerhead assembly 700 and an exhaust assembly 800 disposed next to each other on the lid support 210, wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700 and the second showerhead assembly 700 is disposed between the isolator assembly 500 and the exhaust assembly 800.
  • In another embodiment, a reactor lid assembly 200 for vapor deposition is provided which includes a first showerhead assembly 700, an isolator assembly 500, a second showerhead assembly 700, and an exhaust assembly 800 consecutively and linearly disposed next to each other on a lid support 210, wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700 and the second showerhead assembly 700 is disposed between the isolator assembly 500 and the exhaust assembly 800.
  • In another embodiment, a reactor lid assembly 200 for vapor deposition is provided which includes a first showerhead assembly 700, an isolator assembly 500, a second showerhead assembly 700, and an exhaust assembly 800 consecutively and linearly disposed next to each other on a lid support 210, and a temperature regulation system 190 having at least one liquid or fluid passageway, but often may have two, three, or more liquid or fluid passageways, such as fluid passageways 224, 226, and 228, extending throughout the lid support 210. The temperature regulation system 190 further has at least one inlet, such as inlets 214 a, 216 a, and 218 a, and at least one outlet, such as outlets 214 b, 216 b, and 218 b, coupled to and in fluid communication with the fluid passageways 224, 226, and 228. Each of the inlets 214 a, 216 a, and 218 a and outlets 214 b, 216 b, and 218 b may be independently coupled to and in fluid communication with a liquid reservoir, a heat exchanger, or multiple heat exchangers, such as heat exchangers 180 a, 180 b, and 180 c. In one example, the liquid reservoir may contain or be a source of water, alcohols, glycols, glycol ethers, organic solvents, or mixtures thereof.
  • In one example, the first showerhead assembly 700 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200. In another example, the second showerhead assembly 700 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200. In another example, the isolator assembly 500 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200. In another example, the exhaust assembly 800 may be disposed between the two independent fluid passageways of the temperature regulation system 190 which extend through the reactor lid assembly 200.
  • In another embodiment, a reactor lid assembly 200 for vapor deposition is provided which includes a chamber station 160 having a first showerhead assembly 700 and an isolator assembly 500 disposed next to each other on a lid support 210, a chamber station 162 having a second showerhead assembly 700 and an exhaust assembly 800 disposed next to each other on the lid support 210, wherein the isolator assembly 500 is disposed between the first and second showerhead assemblies 700, and the temperature regulation system 190.
  • In one embodiment, the first showerhead assembly 700, the isolator assembly 500, the second showerhead assembly 700, and the exhaust assembly 800 are consecutively disposed next to each and along the length of lid support 210. In some embodiments, the isolator assembly 500 may have a longer width than the first or second showerhead assembly 700. In other embodiments, the isolator assembly 500 may have a shorter length than the first or second showerhead assembly 700. In some embodiments, the exhaust assembly 800 may have a longer width than the first or second showerhead assembly 700. In other embodiments, the exhaust assembly 800 may have a shorter length than the first or second showerhead assembly 700.
  • In some examples, the first showerhead assembly 700, the isolator assembly 500, the second showerhead assembly 700, and the exhaust assembly 800 independently have a rectangular geometry. In other examples, the first showerhead assembly 700 and the second showerhead assembly 700 have a square geometry. The lid support 210 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • Embodiments provide that each of the isolator assembly 500 or the first or second showerhead assemblies 700 independently has a body 502 or 702 containing upper portion 506 or 706 disposed on a lower portion 504 or 704, a centralized channel 516 or 716 extending through the upper portion 506 or 706 and the lower portion 504 or 704, between inner surfaces 509 or 709 of the body 502 or 702, and parallel to a central axis 501 or 701 extending through the body 502 or 702 and an optional diffusion plate 530 or 730 having a first plurality of holes 532 or 732 and disposed within the centralized channel 516 or 716. The isolator assembly 500 or the first or second showerhead assemblies 700 independently have an upper tube plate 540 or 740 having a second plurality of holes 542 or 742 and disposed within the centralized channel 516 or 716 and optionally below the diffusion plate 530 or 730 and a lower tube plate 550 or 750 having a third plurality of holes 552 or 752 and disposed within the centralized channel 516 or 716 below the upper tube plate 540 or 740. Either of the showerhead assemblies 700 or the isolator assembly 500 independently may further have a plurality of gas tubes 580 or 780 extending from the upper tube plate 540 or 740 to the lower tube plate 550 or 750, wherein each of the gas tubes 580 or 780 is coupled to and in fluid communication with an individual hole from the second plurality of holes 542 or 742 and an individual hole from the third plurality of holes 552 or 752.
  • In another embodiment, an exhaust assembly 800 contains a body 802 having an upper portion 806 disposed on a lower portion 804, a centralized channel 816 extending through the upper portion 806 and the lower portion 804, between inner surfaces 809 of the body 802, and parallel to a central axis 801 extending through the body 802, an exhaust outlet 860 disposed on the upper portion 806 of the body 802, an optional diffusion plate 830 having a first plurality of holes 832 and disposed within the centralized channel 816, an upper tube plate 840 having a second plurality of holes 842 and disposed within the centralized channel 816 and optionally below the diffusion plate 830 (if present), a lower tube plate 850 having a third plurality of holes 852 and disposed within the centralized channel 816 below the upper tube plate 840. The exhaust assembly 800 may further contain a plurality of exhaust tubes 880 extending from the upper tube plate 840 to the lower tube plate 850, wherein each of the exhaust tubes 880 is coupled to and in fluid communication with an individual hole from the second plurality of holes 842 and an individual hole from the third plurality of holes 852.
  • FIGS. 4A-4E depict wafer carrier track 400 according to one embodiment described herein. In another embodiment, wafer carrier track 400 for levitating and traversing a substrate susceptor, such as levitating wafer carrier 480 within a vapor deposition reactor system, such as reactor 100, is provided which includes an upper segment 410 of wafer carrier track 400 disposed over a lower segment 412 of wafer carrier track 400. Gas cavity 430 is formed between upper segment 410 and lower segment 412 of wafer carrier track 400. Two side surfaces 416 extend along upper segment 410 of wafer carrier track 400 and parallel to each other. Guide path 420 extends between the two side surfaces 416 and along upper surface 418 of upper segment 410. A plurality of gas holes 438 is disposed within guide path 420 and extend from upper surface 418 of upper segment 410, through upper segment 410, and into gas cavity 430.
  • In another embodiment, upper lap joint 440 is disposed at one end of wafer carrier track 400 and lower lap joint 450 is disposed at the opposite end of wafer carrier track 400, wherein upper lap joint 440 extends along a portion of guide path 420 and side surfaces 416. Upper lap joint 440 has lower surface 442 extending further than lower segment 412. Lower lap joint 450 has upper surface 452 extending further than guide path 420 and side surfaces 416 of wafer carrier track 400.
  • Generally, upper segment 410 and/or lower segment 412 of wafer carrier track 400 may independently contain quartz. In some examples, lower segment 412 of wafer carrier track 400 may be a quartz plate. Upper segment 410 and lower segment 412 of wafer carrier track 400 may be fused together. In one specific example, upper segment 410 and lower segment 412 both contain quartz and are fused together forming gas cavity therebetween. The quartz contained in upper segment 410 and/or lower segment 412 of wafer carrier track 400 is usually transparent, but in some embodiments, portions of wafer carrier track 400 may contain quartz that is opaque.
  • In another embodiment, gas port 434 extends from side surface 402 of wafer carrier track 400 and into gas cavity 430. In one example, gas port 434 extends through upper segment 410. The plurality of gas holes 438 may number from about 10 holes to about 50 holes, preferably, from about 20 holes to about 40 holes. Each of the gas holes 438 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • In other embodiments, a wafer carrier track system may contain two or more wafer carrier tracks 400 disposed end to end in series, as depicted in FIGS. 4D-4E. In one embodiment, the wafer carrier track system is provided which includes an upper lap joint 440 of a first wafer carrier track 400 disposed over a lower lap joint 450 of a second wafer carrier track 400, an exhaust port formed between the upper lap joint 440 of the first wafer carrier track 400 and the lower lap joint 450 of the second wafer carrier track 400, and a first guide path on an upper surface of the first wafer carrier track 400 aligned with a second guide path on an upper surface of the second wafer carrier track 400. In some examples, an upper lap joint 440 of the second wafer carrier track 400 may be disposed over a lower lap joint 450 of a third wafer carrier track 400 (not shown).
  • In another embodiment, wafer carrier track 400 for levitating and traversing levitating wafer carrier 480 within a vapor deposition reactor system, such as reactor 100, is provided which includes wafer carrier track 400 having gas cavity 430 formed within, guide path 420 extending along an upper surface of wafer carrier track 400, a plurality of gas holes 438 within guide path 420 and extending from the upper surface of wafer carrier track 400 and into gas cavity 430, and an upper lap joint 440 disposed at one end of wafer carrier track 400 and a lower lap joint 450 disposed at the opposite end of wafer carrier track 400, wherein the upper lap joint 440 extends a portion of guide path 420 and the lower lap joint 450 has an upper surface extending further than guide path 420 of wafer carrier track 400.
  • At least one side surface may be disposed on wafer carrier track 400 and extends along and above guide path 420. In some examples, two side surfaces 416 are disposed on wafer carrier track 400 and extend along and above guide path 420. Guide path 420 may extend between the two side surfaces 416. In one embodiment, an upper segment 410 of wafer carrier track 400 may be disposed over a lower segment 412 of wafer carrier track 400. Upper segment 410 of wafer carrier track 400 may have guide path 420 extending along the upper surface. Gas cavity 430 may be formed between upper segment 410 and lower segment 412 of wafer carrier track 400. In some examples, upper segment 410 and lower segment 412 of wafer carrier track 400 may be fused together. In some embodiments, wafer carrier track 400 contains quartz. Upper segment 410 and lower segment 412 of wafer carrier track 400 may independently contain quartz. In one example, lower segment 412 of wafer carrier track 400 is a quartz plate.
  • In other embodiments, gas port 434 extends from a side surface of wafer carrier track 400 and into gas cavity 430. Gas port 434 may be utilized to flow the levitating gas through the side surface of wafer carrier track 400, into gas cavity 430 and out from the plurality of gas holes 438 on the upper surface of wafer carrier track 400. The plurality of gas holes 438 may number from about 10 holes to about 50 holes, preferably, from about 20 holes to about 40 holes. Each gas hole 438 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • In another embodiment, FIGS. 12A-12E depict levitating wafer carrier 480 which may be used to carry a substrate through a variety of processing chambers including the CVD reactors as described herein, as well as other processing chambers used for deposition or etching. Levitating wafer carrier 480 has short sides 471, long sides 473, an upper surface 472, and a lower surface 474. Levitating wafer carrier 480 is illustrated with a rectangular geometry, but may also have a square geometry, a circular geometry, or other geometries. Levitating wafer carrier 480 may contain or be formed from graphite or other materials. Levitating wafer carrier 480 usually travels through the CVD reactor with the short sides 471 facing forward while the long sides 473 face towards the sides of the CVD reactor.
  • FIGS. 12A-12B depict levitating wafer carrier 480 according to one embodiment described herein. FIG. 12A illustrates a top view of levitating wafer carrier 480 containing 3 indentations 475 on the upper surface 472. Wafers or substrates may be positioned within the indentations 475 while being transferred through the CVD reactor during a process. Although illustrated with 3 indentations 475, the upper surface 472 may have more or less indentations, including no indentations. For example, the upper surface 472 of levitating wafer carrier 480 may contain 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 12, or more indentations for containing wafers or substrates. In some example, one wafer/substrate or multiple wafers/substrates may be disposed directly on the upper surface 472 which does not have an indentation.
  • FIG. 12B illustrates a bottom view of levitating wafer carrier 480 containing the indentation 478 on the lower surface 474, as described in one embodiment herein. The indentation 478 may be used to help levitate levitating wafer carrier 480 upon the introduction of a gas cushion under levitating wafer carrier 480. A gas flow may be directed at the indentation 478, which accumulates gas to form the gas cushion. The lower surface 474 of levitating wafer carrier 480 may have no indentations, or may have one indentation 478 (FIG. 12B), two indentations 478 (FIGS. 12C-12E), three indentations 478 (not shown) or more. Each of the indentations 478 may have straight or tapered sides. In one example, each indentation 478 has tapered sides such that the sides 476 are steeper or more abrupt than the sides 477 which have more of a gradual change of angle. The sides 477 within the indentation 478 may be tapered to compensate for a thermal gradient across levitating wafer carrier 480. Also, the sides 477 may be tapered or angled to help form a gas pocket and to maintain the gas pocket under levitating wafer carrier 480 while lifting and moving/traversing levitating wafer carrier 480 along wafer carrier track 400. In another example, the indentation 478 has straight or substantially straight sides and tapered sides such that the sides 476 are straight or substantially straight and the sides 477 have a taper/angle or the sides 477 are straight or substantially straight and the sides 476 have a taper/angle. Alternatively, the indentation 478 may have all straight sides such that the sides 476 and 477 are straight or substantially straight.
  • In another embodiment, FIGS. 12C-12E illustrate bottom views of levitating wafer carrier 480 containing two indentations 478 on the lower surface 474. The two indentations 478 help levitate levitating wafer carrier 480 upon the introduction of a gas cushion under levitating wafer carrier 480. A gas flow may be directed at the indentations 478, which accumulates gas to form the gas cushion. The indentations 478 may have straight or tapered sides. In one example, as illustrated in FIG. 10E, the indentations 478 have all straight sides such that the sides 476 and 477 are straight, e.g., perpendicular to the plane of the lower surface 474. In another example, as illustrated in FIG. 10F, the indentations 478 have all tapered sides such that the sides 476 are steeper or more abrupt than the sides 477 which have more of a gradual change of angle. The sides 477 within the indentations 478 may be tapered to compensate for a thermal gradient across levitating wafer carrier 480. Alternatively, the indentations 478 may have a combination of straight sides and tapered sides such that the sides 476 are straight and the sides 477 have a taper or the sides 477 are straight and the sides 476 have a taper.
  • Levitating wafer carrier 480 contains a heat flux which extends from the lower surface 474 to the upper surface 472 and to any substrates disposed thereon. The heat flux may be controlled by both the internal pressure and length of the processing system. The profile of levitating wafer carrier 480 may be tapered to compensate the heat loses from other sources. During a process, heat is lost through the edges of levitating wafer carrier 480, such as the short sides 471 and the long sides 473. However, the heat lost may be compensated by allowing more heat flux into the edges of levitating wafer carrier 480 by reducing the gap of the channel in the levitation.
  • In another embodiment, wafer carrier track 400 contains levitating wafer carrier 480 disposed on guide path 420. In some examples, levitating wafer carrier 480 has at least one indentation pocket disposed within a lower surface. In other examples, levitating wafer carrier 480 has at least two indentation pockets disposed within a lower surface.
  • FIGS. 5A-5D depict isolator assembly 500 for a vapor deposition chamber, such as reactor 100, according embodiments described herein. In one embodiment, isolator assembly 500 includes body 502 having upper portion 506 and lower portion 504, and centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502. Upper portion 506 contains upper surface 507. Centralized channel 516 extends between inner surfaces 509 of body 502, and parallel to central axis 501 extending through body 502. Diffusion plate 530 contains a plurality of gas holes 532 and is disposed within centralized channel 516. In one example, diffusion plate 530 is disposed on a flange or ledge 510. In another example, isolator assembly 500 does not contain diffusion plate 530 disposed therein.
  • Isolator assembly 500 further contains upper tube plate 540 having a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530. Isolator assembly 500 also contains lower tube plate 550 having a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540. A plurality of gas tubes 580 extend from upper tube plate 540 to lower tube plate 550, wherein each tube is coupled to and in fluid communication with an individual hole from the plurality of gas holes 542 and an individual hole from plurality of gas holes 552. Each of the gas tubes 580 extends parallel or substantially parallel to each other as well as to central axis 501 in many embodiments described herein. In an alternative embodiment, not shown, each of the gas tubes 580 may extend at a predetermined angle relative to central axis 501, such as within a range from about 1° to about 15° or greater.
  • Isolator assembly 500 may be used to disperse gases, such as purge gases, precursor gases, and/or carrier gases, by providing a flow path through inlet port 522 and into cavities 538, 548, and 558. Cavity 538 is formed between upper plate 520 and diffusion plate 530 within centralized channel 516. Cavity 548 is formed between diffusion plate 530 and upper tube plate 540 within centralized channel 516. Cavity 558 is formed between upper tube plate 540 and lower tube plate 550 within centralized channel 516.
  • In another embodiment, isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504, wherein upper portion 506 contains a flange extending over lower portion 504, centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502, between inner surfaces 509 of body 502, and parallel to central axis 501 extending through body 502, diffusion plate 530 containing a plurality of gas holes 532 and disposed within centralized channel 516, upper tube plate 540 containing a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530, lower tube plate 550 containing a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540, and plurality of gas tubes 580 extending from upper tube plate 540 to lower tube plate 550, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 542 and an individual hole from plurality of gas holes 552.
  • In another embodiment, isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504, wherein upper portion 506 adjacently extends from central axis 501 of body 502 further than lower portion 504 and lower portion 504 extends parallel to central axis 501 further than upper portion 506, centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502, between inner surfaces 509 of body 502, and parallel to central axis 501, diffusion plate 530 containing a plurality of gas holes 532 and disposed within centralized channel 516, upper tube plate 540 containing a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530, lower tube plate 550 containing a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540, and plurality of gas tubes 580 extending from upper tube plate 540 to lower tube plate 550, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 542 and an individual hole from plurality of gas holes 552.
  • In another embodiment, isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504, centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502, between inner surfaces 509 of body 502, and parallel to central axis 501 extending through body 502, diffusion plate 530 containing a plurality of gas holes 532 and disposed within centralized channel 516, upper tube plate 540 containing a plurality of gas holes 542 and disposed within centralized channel 516 below diffusion plate 530, and lower tube plate 550 containing a plurality of gas holes 552 and disposed within centralized channel 516 below upper tube plate 540.
  • In another embodiment, isolator assembly 500 includes body 502 containing upper portion 506 and lower portion 504, centralized channel 516 extending through upper portion 506 and lower portion 504 of body 502, between inner surfaces 509 of body 502, and parallel to central axis 501 extending through body 502, upper tube plate 540 containing a plurality of gas holes 532 and disposed within centralized channel 516 below diffusion plate 530, lower tube plate 550 containing a plurality of gas holes 542 and disposed within centralized channel 516 below upper tube plate 540, and plurality of gas tubes 580 extending from upper tube plate 540 to lower tube plate 550, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 532 and an individual hole from plurality of gas holes 542.
  • In some embodiments, isolator assembly 500 is a modular showerhead assembly. Upper portion 506 and lower portion 504 of body 502 may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In one example, upper portion 506 and lower portion 504 of body 502 each independently contains stainless steel or alloys thereof.
  • In one embodiment, isolator assembly 500 contains gaseous inlet 560 disposed on upper portion 506 of body 502. Upper plate 520 may be disposed on an upper surface of upper portion 506 of body 502 and gaseous inlet 560 may be disposed on the plate. The plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In some examples, the plate has inlet port 522 extending therethrough. Gaseous inlet 560 has inlet tube 564 extending through inlet port 522. Inlet nozzle 562 may be coupled to one end of inlet tube 564 and disposed above the plate. In another example, the upper surface of upper portion 506 of the showerhead body has groove 508 which encompasses centralized channel 516. An O-ring may be disposed within groove 508. Diffusion plate 530 may be disposed on a ledge or a flange protruding from side surfaces of body 502 within centralized channel 516.
  • In one embodiment, plurality of gas tubes 580 may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes, for example, about 900 tubes. In some examples, each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm. In other examples, each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In some examples, the tubes are hypodermic needles. The tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • In one embodiment, each hole of plurality of gas holes 532 on diffusion plate 530 has a larger diameter than each hole of plurality of gas holes 542 on upper tube plate 540. Further, each hole of plurality of gas holes 532 on diffusion plate 530 has a larger diameter than each hole of plurality of gas holes 552 on the lower diffusion plate. Also, each hole of plurality of gas holes 542 on upper tube plate 540 has the same diameter or substantially the same diameter as each hole of plurality of gas holes 552 on lower tube plate 550.
  • In one embodiment, diffusion plate 530 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. Diffusion plate 530 may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 55 holes, and more preferably, from about 40 holes to about 60 holes. Each hole of diffusion plate 530 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In another embodiment, upper tube plate 540 and/or lower tube plate 550 may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. Upper tube plate 540 and/or lower tube plate 550 may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes. Each hole of upper tube plate 540 and/or lower tube plate 550 may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In another embodiment, isolator assembly 500 may have a gaseous hole density and/or number of tubes within a range from about 10 holes/in2 (holes per square inch) to about 60 holes/in2, preferably, from about 15 holes/in2 to about 45 holes/in2, and more preferably, from about 20 holes/in2 to about 36 holes/in2.
  • In one example, the upper surface of upper portion 506 of body 502 of isolator assembly 500 is a metallic plate. In other examples, isolator assembly 500 may have a rectangular geometry or a square geometry. In another embodiment, body 502 of isolator assembly 500 further contains a temperature regulation system. The temperature regulation system, such as temperature regulation system 190, may contain fluid passageway 518 extending within body 502, and may have inlet 514 a and outlet 514 b coupled to and in fluid communication with fluid passageway 518. Inlet 514 a and outlet 514 b may be independently coupled to and in fluid communication with a liquid reservoir or at least one heat exchanger, such as heat exchangers 180 a, 180 b, or 180 c within temperature regulation system 190, as depicted in FIG. 1F.
  • FIG. 6 depicts heating lamp assembly 600, which may be utilized to heat wafers or substrates, as well as wafer carriers or substrate supports within a vapor deposition reactor system, as described in embodiments herein. In one embodiment, heating lamp assembly 600 is provided which includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp 624 has a split filament or a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 is disposed between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a first plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp of the first plurality has a split filament, a second plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp of the second plurality has a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a first plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp of the first plurality has a split filament, a second plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp of the second plurality has a non-split filament, and the first plurality of lamps 624 are sequentially or alternately disposed between the second plurality of lamps 624 while extending between the first and second lamp holders. Also, reflector 650 may be disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein the plurality of lamps 624 contain a first group of lamps and a second group of lamps sequentially or alternately disposed between each other, each lamp of the first group of lamps contains a split filament, and each lamp of the second group of lamps contains a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b, a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp has a split filament or a non-split filament, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b, a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp has a split filament or a non-split filament, and each lamp has a first end disposed between two posts 622 on first lamp holder 620 a and a second end disposed between two posts 622 on second lamp holder 620 b, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b, a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, wherein each lamp has a first end disposed between two posts 622 on first lamp holder 620 a and a second end disposed between two posts 622 on second lamp holder 620 b, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a plurality of posts 622 disposed on first lamp holder 620 a and second lamp holder 620 b, a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In another embodiment, heating lamp assembly 600 for a vapor deposition reactor system is provided which includes lamp housing 610 disposed on upper surface 606 of support base 602 and containing first lamp holder 620 a and second lamp holder 620 b, a plurality of lamps 624 extending from first lamp holder 620 a to second lamp holder 620 b, and reflector 650 disposed on upper surface 606 of support base 602 between first lamp holder 620 a and second lamp holder 620 b.
  • In one embodiment, heating lamp assembly 600 contains reflector 650 and/or the upper surface of reflector 650 contains a reflective metal, such as gold, silver, copper, aluminum, nickel, chromium, alloys thereof, or combinations thereof. In many examples, reflector 650 and/or the upper surface of reflector 650 contains gold or a gold alloy. The lower surface of wafer carrier track 400 may be exposed to radiation emitted from lamps 624 within heating lamp assembly 600 and reflected from reflector 650, the upper surface of reflector 650, and/or each mirror 652. The emitted radiation is absorbed by wafer carrier track 400, levitating wafer carrier 460, and wafers 90 within reactor 100. In some embodiments of processes described herein, wafer carrier track 400, levitating wafer carrier 460, and/or wafers 90 may each be independently heated by the emitted radiation to a temperature within a range from about 250° C. to about 350° C., preferably, from about 275° C. to about 325° C., preferably, from about 290° C. to about 310° C., such as about 300° C.
  • Heating lamp assembly 600 may contain at least one mirror 652 which extends along upper surface 606 of support base 602 and may be perpendicular or substantially perpendicular to upper surface 606 of support base 602. In some examples, mirror 652 may be the inner side surfaces of each lamp holder 620 a or 620 b having a reflective coating deposited or otherwise disposed thereon. In other examples, mirror 652 may be a prefabricated or modular mirror or reflective material which is attached or adhered to the inner side surfaces of each lamp holder 620 a or 620 b. The at least one mirror 652 is generally positioned to face towards reflector 650 at an angle of about 90° relative to the plane of surface 606. Preferably, in another embodiment described herein, heating lamp assembly 600 contains two mirrors 652 extending along upper surface 606 of support base 602. Both mirrors may be perpendicular or substantially perpendicular to upper surface 606 of support base 602 and both mirrors 652 may face towards each other with reflector 650 therebetween. Each of the two mirrors 652 faces towards reflector 650 at an angle of about 90° relative to the plane of surface 606. Each mirror and/or the upper surface of each mirror 652 contains a reflective metal, such as gold, silver, copper, aluminum, nickel, chromium, alloys thereof, or combinations thereof. In many examples, each mirror 652 and/or the upper surface of each mirror 652 contains gold or a gold alloy.
  • In alternative embodiments, not shown, each mirror 652 may be positioned to slightly face away from reflector 650 at an angle of greater than 90° relative to the plane of surface 606, such at an angle within a range from greater than 90° to about 135°. Mirror 652 positioned at an angle of greater than 90° may be utilized to direct energy towards wafer carrier track 400, levitating wafer carrier 460, or other parts or surfaces within reactor 100. In alternative embodiments, heating lamp assembly 600 may contain three or more mirrors 652 along upper surface 606 of support base 602.
  • The plurality of lamps 624 within heating lamp assembly 600 may number from about 10 lamps to about 100 lamps, preferably, from about 20 lamps to about 50 lamps, and more preferably, from about 30 lamps to about 40 lamps. In one example, heating lamp assembly 600 contains about 34 lamps. Embodiments provide that each lamp may be in electrical contact with a power source, an independent switch, and a controller. The controller may be used to independently control power to each lamp.
  • In other embodiments, support base 602 and each lamp holder 620 a or 620 b within heating lamp assembly 600 may independently contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In some examples, first lamp holder 620 a or second lamp holder 620 b may independently contain or be made from stainless steel or alloys thereof. First lamp holder 620 a or second lamp holder 620 b independently may have a cooling coefficient within a range from about 2,000 W/m2-K to about 3,000 W/m2-K, preferably, from about 2,300 W/m2-K to about 2,700 W/m2-K. In one example, the cooling coefficient is about 2,500 W/m2-K. In other embodiments, first lamp holder 620 a and second lamp holder 620 b each have a thickness within a range from about 0.001 inches to about 0.1 inches.
  • FIG. 10A depicts a non-split filament lamp 670 and FIG. 10B depicts a split filament lamp 680 according to multiple embodiments described herein. Non-split filament lamp 670 contains bulb 672 and non-split filament 674, while split filament lamp 680 contains bulb 682 and non-split filament 684. The plurality of lamps 624, as described throughout embodiments herein, generally contain non-split filament lamps 670, split filament lamps 680, or mixtures of non-split filament lamps 670 and split filament lamps 680.
  • FIGS. 11A-11F depict different pluralities of lamps which may be lamps 624 and utilized to adjust a heat profile on a wafer carrier track, such as wafer carrier track 400, a wafer carrier or substrate support, such as levitating wafer carrier 480, and/or a wafer or a substrate, such as wafers 90, within a vapor deposition reactor, such as reactor 100, as described in embodiments herein. In one embodiment, FIG. 11A illustrates a plurality of lamps containing all non-split filament lamps 670 and FIG. 11B illustrates a plurality of lamps containing all split filament lamps 680. In another embodiment, FIG. 11C illustrates a plurality of lamps sequentially or alternatively containing non-split filament lamps 670 and split filament lamps 680. In other embodiments, FIG. 11D illustrates a plurality of lamps containing a split filament lamp 680 between every two non-split filament lamps 670, while FIG. 11E illustrates a plurality of lamps containing a non-split filament lamp 670 between every two split filament lamps 680. FIG. 11F illustrates a plurality of lamps sequentially or alternatively containing non-split filament lamps 670 and split filament lamps 680, however, each lamp is spaced further apart from each other than the lamps in FIGS. 11A-11E.
  • In other embodiments, a method for heating a substrate or a substrate susceptor, such as levitating wafer carrier 480, within a vapor deposition reactor system, such as reactor 100, by heating lamp assembly 600 is provided which includes exposing a lower surface of a substrate susceptor to energy emitted from heating lamp assembly 600, and heating the substrate susceptor to a predetermined temperature, wherein heating lamp assembly 600 contains lamp housing 610 disposed on upper surface 606 of support base 602 and containing at least one lamp holder 620 a or 620 b, a plurality of lamps 624 extending from at least one of the lamp holders, and reflector 650 disposed on upper surface 606 of support base 602, next to the lamp holder, and below the lamps.
  • Embodiments of the method further provide that heating lamp assembly 600 contains lamps which have split filament lamp 680, a non-split filament, or a mixture of lamps which contain either split or non-split filaments. In one embodiment, each of the lamps has split filament lamp 680. Split filament lamp 680 may have a center between a first end and a second end. The first and second ends of split filament lamps 680 may be maintained warmer than the centers of split filament lamps 680. Therefore, outer edges of the substrate susceptor may be maintained warmer than a center point of the substrate susceptor.
  • In another embodiment, each of the lamps has non-split filament lamp 670. Non-split filament lamp 670 may have a center between a first end and a second end. The centers of non-split filament lamps 670 may be maintained warmer than the first and second ends of non-split filament lamps 670. Therefore, a center point of the substrate susceptor may be maintained warmer than the outer edges of the substrate susceptor.
  • In another embodiment, the plurality of lamps 624 have split filament lamps and non-split filament lamps. In one embodiment, split filament lamps 680 and non-split filament lamps 670 are sequentially disposed between each other. Each lamp may independently be in electric contact to a power source and a controller. The method further includes independently adjusting the amount of electricity flowing to each lamp. In one example, split filament lamp 680 may have a center between a first end and a second end. The first and second ends of split filament lamps 680 may be maintained warmer than the centers of split filament lamps 680. Therefore, the outer edges of the substrate susceptor may be maintained warmer than a center point of the substrate susceptor. In another example, non-split filament lamp 670 may have a center between a first end and a second end. The centers of non-split filament lamps 670 may be maintained warmer than the first and second ends of non-split filament lamps 670. Therefore, the center point of the substrate susceptor may be maintained warmer than the outer edges of the substrate susceptor.
  • In various embodiments, the method provides that the substrate susceptor may be a substrate carrier or a wafer carrier. Lamp housing 610 may have first lamp holder 620 a and second lamp holder 620 b. First lamp holder 620 a and second lamp holder 620 b may be parallel or substantially parallel to each other. In one example, reflector 650 may be disposed between first lamp holder 620 a and second lamp holder 620 b. First lamp holder 620 a and second lamp holder 620 b each have a thickness within a range from about 0.001 inches to about 0.1 inches. The predetermined thickness of the lamp holders helps maintain a constant temperature of the lamp holders. Therefore, first lamp holder 620 a and second lamp holder 620 b may each independently be maintained at a temperature within a range from about 275° C. to about 375° C., preferably, from about 300° C. to about 350° C.
  • FIGS. 7A-7D depict showerhead assembly 700 for a vapor deposition chamber, such as reactor 100, according embodiments described herein. In one embodiment, showerhead assembly 700 includes body 702 having upper portion 706 and lower portion 704, and centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702. Upper portion 706 contains upper surface 707. Centralized channel 716 extends between inner surfaces 709 of body 702, and parallel to central axis 701 extending through body 702. Diffusion plate 730 contains a plurality of gas holes 732 and is disposed within centralized channel 716. In one example, diffusion plate 730 is disposed on a flange or ledge 710. In another example, showerhead assembly 700 does not contain optional diffusion plate 730 disposed therein.
  • Showerhead assembly 700 further contains upper tube plate 740 having a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730. Showerhead assembly 700 also contains lower tube plate 750 having a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740. A plurality of gas tubes 780 extend from upper tube plate 740 to lower tube plate 750, wherein each tube is coupled to and in fluid communication with an individual hole from the plurality of gas holes 742 and an individual hole from plurality of gas holes 752. Each of the gas tubes 780 extends parallel or substantially parallel to each other as well as to central axis 701 in many embodiments described herein. In an alternative embodiment, not shown, each of the gas tubes 780 may extend at a predetermined angle relative to central axis 701, such as within a range from about 1° to about 15° or greater.
  • Showerhead assembly 700 may be used to disperse gases, such as purge gases, precursor gases, and/or carrier gases, by providing a flow path through inlet port 722 and into cavities 738, 748, and 758. Cavity 738 is formed between upper plate 720 and diffusion plate 730 within centralized channel 716. Cavity 748 is formed between diffusion plate 730 and upper tube plate 740 within centralized channel 716. Cavity 758 is formed between upper tube plate 740 and lower tube plate 750 within centralized channel 716.
  • In another embodiment, showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704, wherein upper portion 706 contains a flange extending over lower portion 704, centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702, between inner surfaces 709 of body 702, and parallel to central axis 701 extending through body 702, diffusion plate 730 containing a plurality of gas holes 732 and disposed within centralized channel 716, upper tube plate 740 containing a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730, lower tube plate 750 containing a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740, and plurality of gas tubes 780 extending from upper tube plate 740 to lower tube plate 750, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 742 and an individual hole from plurality of gas holes 752.
  • In another embodiment, showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704, wherein upper portion 706 adjacently extends from central axis 701 of body 702 further than lower portion 704 and lower portion 704 extends parallel to central axis 701 further than upper portion 706, centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702, between inner surfaces 709 of body 702, and parallel to central axis 701, diffusion plate 730 containing a plurality of gas holes 732 and disposed within centralized channel 716, upper tube plate 740 containing a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730, lower tube plate 750 containing a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740, and plurality of gas tubes 780 extending from upper tube plate 740 to lower tube plate 750, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 742 and an individual hole from plurality of gas holes 752.
  • In another embodiment, showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704, centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702, between inner surfaces 709 of body 702, and parallel to central axis 701 extending through body 702, diffusion plate 730 containing a plurality of gas holes 732 and disposed within centralized channel 716, upper tube plate 740 containing a plurality of gas holes 742 and disposed within centralized channel 716 below diffusion plate 730, and lower tube plate 750 containing a plurality of gas holes 752 and disposed within centralized channel 716 below upper tube plate 740.
  • In another embodiment, showerhead assembly 700 includes body 702 containing upper portion 706 and lower portion 704, centralized channel 716 extending through upper portion 706 and lower portion 704 of body 702, between inner surfaces 709 of body 702, and parallel to central axis 701 extending through body 702, upper tube plate 740 containing a plurality of gas holes 732 and disposed within centralized channel 716 below diffusion plate 730, lower tube plate 750 containing a plurality of gas holes 742 and disposed within centralized channel 716 below upper tube plate 740, and plurality of gas tubes 780 extending from upper tube plate 740 to lower tube plate 750, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 732 and an individual hole from plurality of gas holes 742.
  • In some embodiments, showerhead assembly 700 is a modular showerhead assembly. Upper portion 706 and lower portion 704 of body 702 may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In one example, upper portion 706 and lower portion 704 of body 702 each independently contains stainless steel or alloys thereof.
  • In one embodiment, showerhead assembly 700 contains gaseous inlet 760 disposed on upper portion 706 of body 702. Upper plate 720 may be disposed on an upper surface of upper portion 706 of body 702 and gaseous inlet 760 may be disposed on the plate. The plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In some examples, the plate has inlet port 722 extending therethrough. Gaseous inlet 760 has inlet tube 764 extending through inlet port 722. Inlet nozzle 762 may be coupled to one end of inlet tube 764 and disposed above the plate. In another example, the upper surface of upper portion 706 of the showerhead body has groove 708 which encompasses centralized channel 716. An O-ring may be disposed within groove 708. Diffusion plate 730 may be disposed on a ledge or a flange protruding from side surfaces of body 702 within centralized channel 716.
  • In one embodiment, plurality of gas tubes 780 may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes, for example, about 900 tubes. In some examples, each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm. In other examples, each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In some examples, the tubes are hypodermic needles. The tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • In one embodiment, each hole of plurality of gas holes 732 on diffusion plate 730 has a larger diameter than each hole of plurality of gas holes 742 on upper tube plate 740. Further, each hole of plurality of gas holes 732 on diffusion plate 730 has a larger diameter than each hole of plurality of gas holes 752 on the lower diffusion plate. Also, each hole of plurality of gas holes 742 on upper tube plate 740 has the same diameter or substantially the same diameter as each hole of plurality of gas holes 752 on lower tube plate 750.
  • In one embodiment, diffusion plate 730 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. Diffusion plate 730 may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 75 holes, and more preferably, from about 40 holes to about 60 holes. Each hole of diffusion plate 730 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In another embodiment, upper tube plate 740 and/or lower tube plate 750 may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. Upper tube plate 740 and/or lower tube plate 750 may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes. Each hole of upper tube plate 740 and/or lower tube plate 750 may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches. In another embodiment, showerhead assembly 700 may have a gaseous hole density and/or number of tubes within a range from about 10 holes/in2 (holes per square inch) to about 60 holes/in2, preferably, from about 15 holes/in2 to about 45 holes/in2, and more preferably, from about 20 holes/in2 to about 36 holes/in2.
  • In one example, the upper surface of upper portion 706 of body 702 of showerhead assembly 700 is a metallic plate. In other examples, showerhead assembly 700 may have a rectangular geometry or a square geometry. In another embodiment, body 702 of showerhead assembly 700 further contains a temperature regulation system. The temperature regulation system, such as temperature regulation system 190, may contain liquid or fluid passageway 718 extending within body 702, and may have inlet 714 a and outlet 714 b coupled to and in fluid communication with fluid passageway 718. Inlet 714 a and outlet 714 b may be independently coupled to and in fluid communication with a liquid reservoir or at least one heat exchanger, such as heat exchangers 180 a, 180 b, or 180 c within temperature regulation system 190, as depicted in FIG. 1F.
  • FIGS. 8A-8D depict exhaust assembly 800 for a vapor deposition chamber, such as reactor 100, according embodiments described herein. In one embodiment, exhaust assembly 800 includes body 802 having upper portion 806 and lower portion 804, and centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802. Upper portion 806 contains upper surface 807. Centralized channel 816 extends between inner surfaces 809 of body 802, and parallel to central axis 801 extending through body 802. Diffusion plate 830 contains a plurality of gas holes 832 and is disposed within centralized channel 816. In one example, diffusion plate 830 is disposed on a flange or ledge 810. In another example, exhaust assembly 800 does not contain optional diffusion plate 830 disposed therein.
  • Exhaust assembly 800 further contains upper tube plate 840 having a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830. Exhaust assembly 800 also contains lower tube plate 850 having a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840. A plurality of exhaust tubes 880 extend from upper tube plate 840 to lower tube plate 850, wherein each tube is coupled to and in fluid communication with an individual hole from the plurality of gas holes 842 and an individual hole from plurality of gas holes 854. Each of the exhaust tubes 880 extends parallel or substantially parallel to each other as well as to central axis 801 in many embodiments described herein. In an alternative embodiment, each of the exhaust tubes 880 may extend at a predetermined angle relative to central axis 801, such as within a range from about 1° to about 15° or greater.
  • Exhaust assembly 800 pulls a vacuum or reduces internal pressure though exhaust port 822 and cavities 838, 848, and 858. Cavity 838 is formed between upper plate 820 and diffusion plate 830 within centralized channel 816. Cavity 848 is formed between diffusion plate 830 and upper tube plate 840 within centralized channel 816. Cavity 858 is formed between upper tube plate 840 and lower tube plate 850 within centralized channel 816.
  • In another embodiment, exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804, wherein upper portion 806 contains a flange extending over lower portion 804, centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802, between inner surfaces 809 of body 802, and parallel to central axis 801 extending through body 802, diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816, upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830, lower tube plate 850 containing a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840, and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 842 and an individual hole from plurality of gas holes 854.
  • In another embodiment, exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804, wherein upper portion 806 adjacently extends from central axis 801 of body 802 further than lower portion 804 and lower portion 804 extends parallel to central axis 801 further than upper portion 806, centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802, between inner surfaces 809 of body 802, and parallel to central axis 801, diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816, upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830, lower tube plate 850 containing a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840, and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 842 and an individual hole from plurality of gas holes 854.
  • In another embodiment, exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804, centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802, between inner surfaces 809 of body 802, and parallel to central axis 801 extending through body 802, diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816, upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830, and lower tube plate 850 containing a plurality of gas holes 854 and disposed within centralized channel 816 below upper tube plate 840.
  • In another embodiment, exhaust assembly 800 includes body 802 containing upper portion 806 and lower portion 804, centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802, between inner surfaces 809 of body 802, and parallel to central axis 801 extending through body 802, upper tube plate 840 containing a plurality of gas holes 832 and disposed within centralized channel 816 below diffusion plate 830, lower tube plate 850 containing a plurality of gas holes 842 and disposed within centralized channel 816 below upper tube plate 840, and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 832 and an individual hole from plurality of gas holes 842.
  • In some embodiments, exhaust assembly 800 is a modular showerhead assembly. Upper portion 806 and lower portion 804 of body 802 may independently contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In one example, upper portion 806 and lower portion 804 of body 802 each independently contains stainless steel or alloys thereof.
  • In one embodiment, exhaust assembly 800 contains exhaust outlet 860 disposed on upper portion 806 of body 802. Upper plate 820 may be disposed on an upper surface of upper portion 806 of body 802 and exhaust outlet 860 may be disposed on the plate. The plate may contain a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In some examples, the plate has exhaust port 822 extending therethrough. Exhaust outlet 860 has exhaust outlet tube 864 extending through exhaust port 822. Exhaust nozzle 862 may be coupled to one end of exhaust outlet tube 864 and disposed above the plate. In another example, the upper surface of upper portion 806 of the showerhead body has groove 808 which encompasses centralized channel 816. An O-ring may be disposed within groove 808. Diffusion plate 830 may be disposed on a ledge or a flange protruding from side surfaces of body 802 within centralized channel 816.
  • In one embodiment, plurality of exhaust tubes 880 may have tubes numbering within a range from about 5 tubes to about 50 tubes, preferably, from about 7 tubes to about 30 tubes, and more preferably, from about 10 tubes to about 20 tubes, for example, about 14 tubes. In some examples, each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm. In other examples, each tube may have a diameter within a range from about 0.1 inches to about 0.4 inches, preferably, from about 0.2 inches to about 0.3 inches, for example, about 0.23 inches. In one example, exhaust assembly 800 contains a single row of tubes and holes.
  • In another embodiment, plurality of exhaust tubes 880 may have tubes numbering within a range from about 500 tubes to about 1,500 tubes, preferably, from about 700 tubes to about 1,200 tubes, and more preferably, from about 800 tubes to about 1,000 tubes, for example, about 900 tubes. In some examples, each tube may have a length within a range from about 0.5 cm to about 2 cm, preferably, from about 0.8 cm to about 1.2 cm, for example, about 1 cm. In other examples, each tube may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • In some examples, the tubes are hypodermic needles. The tubes may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof.
  • In one embodiment, each hole of plurality of gas holes 832 on diffusion plate 830 has a larger diameter than each hole of plurality of gas holes 842 on upper tube plate 840. Further, each hole of plurality of gas holes 832 on diffusion plate 830 has a larger diameter than each hole of plurality of gas holes 854 on the lower diffusion plate. Also, each hole of plurality of gas holes 842 on upper tube plate 840 has the same diameter or substantially the same diameter as each hole of plurality of gas holes 854 on lower tube plate 850.
  • In one embodiment, diffusion plate 830 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In another embodiment, diffusion plate 830 may contain holes numbering within a range from about 5 holes to about 50 holes, preferably, from about 7 holes to about 30 holes, and more preferably, from about 10 holes to about 20 holes, for example, about 14 holes. Each hole of diffusion plate 830 may have a diameter within a range from about 0.1 inches to about 0.4 inches, preferably, from about 0.2 inches to about 0.3 inches, for example, about 0.23 inches. In one example, diffusion plate 830 contains a single row of holes. In another embodiment, diffusion plate 830 may contain holes numbering within a range from about 20 holes to about 200 holes, preferably, from about 25 holes to about 55 holes, and more preferably, from about 40 holes to about 60 holes. Each hole of diffusion plate 830 may have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • In another embodiment, upper tube plate 840 and/or lower tube plate 850 may independently contain or be independently made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. In one embodiment, upper tube plate 840 and/or lower tube plate 850 may independently have holes numbering within a range from about 5 holes to about 50 holes, preferably, from about 7 holes to about 30 holes, and more preferably, from about 10 holes to about 20 holes, for example, about 14 holes. Each hole of upper tube plate 840 and/or lower tube plate 850 may independently have a diameter within a range from about 0.1 inches to about 0.4 inches, preferably, from about 0.2 inches to about 0.3 inches, for example, about 0.23 inches. In another embodiment, exhaust assembly 800 may have a gaseous hole density and/or number of tubes within a range from about 5 holes/in2 (holes per square inch) to about 30 holes/in2, preferably, from about 8 holes/in2 to about 25 holes/in2, and more preferably, from about 10 holes/in2 to about 20 holes/in2.
  • In another embodiment, upper tube plate 840 and/or lower tube plate 850 may independently have from about 500 holes to about 1,500 holes, preferably, from about 700 holes to about 1,200 holes, and more preferably, from about 800 holes to about 1,000 holes. Each hole of upper tube plate 840 and/or lower tube plate 850 may independently have a diameter within a range from about 0.005 inches to about 0.05 inches, preferably, from about 0.01 inches to about 0.03 inches.
  • In one example, the upper surface of upper portion 806 of body 802 of exhaust assembly 800 is a metallic plate. In other examples, exhaust assembly 800 may have a rectangular geometry or a square geometry. In another embodiment, body 802 of exhaust assembly 800 further contains a temperature regulation system. The temperature regulation system, such as temperature regulation system 190, may contain liquid or fluid passageway 818 extending within body 802, and may have inlet 814 a and outlet 814 b coupled to and in fluid communication with fluid passageway 818. Inlet 814 a and outlet 814 b may be independently coupled to and in fluid communication with a liquid reservoir or at least one heat exchanger, such as heat exchangers 180 a, 180 b, or 180 c within temperature regulation system 190, as depicted in FIG. 1F.
  • In other embodiments, exhaust assembly 800, which may be utilized in a vapor deposition chamber, has body 802 containing upper portion 806 disposed on lower portion 804, centralized channel 816 extending through upper portion 806 and lower portion 804 of body 802, between inner surfaces 809 of body 802, and parallel to central axis 801 extending through body 802, exhaust outlet 860 disposed on upper portion 806 of body 802, diffusion plate 830 containing a plurality of gas holes 832 and disposed within centralized channel 816, upper tube plate 840 containing a plurality of gas holes 842 and disposed within centralized channel 816 below diffusion plate 830, lower tube plate 850 containing a plurality of gas holes 852 and disposed within centralized channel 816 below upper tube plate 840, and plurality of exhaust tubes 880 extending from upper tube plate 840 to lower tube plate 850, wherein each tube is coupled to and in fluid communication with an individual hole from plurality of gas holes 842 and an individual hole from plurality of gas holes 852.
  • Exhaust assembly 800 may further contain upper plate 820 disposed on an upper surface of upper portion 806 of body 802. Exhaust outlet 860 may be disposed on upper plate 820. Upper plate 820 may contain or be made from a material such as steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, or combinations thereof. Upper plate 820 usually has an exhaust port extending therethrough. Exhaust outlet 860 may have exhaust outlet tube 864 extending through exhaust port 822. In one example, exhaust nozzle 862 may be coupled to one end of exhaust outlet tube 864 and disposed above upper plate 820. In another example, the upper surface of upper portion 806 of the exhaust assembly body has groove 808 which encompasses centralized channel 816. An O-ring may be disposed within groove 808. Diffusion plate 830 may be disposed on a ledge or a flange protruding from side surfaces of body 802 within centralized channel 816.
  • FIGS. 9A-9F depict reactor system 1000, a CVD system, containing multiple reactors 1100 a, 1100 b, and 1100 c, as described by embodiments herein. Reactors 1100 a, 1100 b, and 1100 c may be the same reactors as reactor 100 or may be a modified derivative of reactor 100. In one embodiment, reactor 1100 a is coupled to reactor 1100 b, which is coupled to reactor 1100 c, as illustrated in FIGS. 9A-9C. One end of reactor 1100 a is coupled to end cap 1050 at interface 1012, while the other end of reactor 1100 a is coupled to one end of reactor 1100 b at interface 1014. The other end of reactor 1100 b is coupled to one end of reactor 1100 c at interface 1016, while the other end of reactor 1100 c is coupled to end plate 1002 at interface 1016.
  • FIGS. 9D-9F depicts a close-up view of portions of interface 1018 between reactors 1100 b and 1100 c. In another embodiment, reactor 1100 b contains wafer carrier track 1400 which has lower lap joint 1450 and reactor 1100 c contains wafer carrier track 1400 which has upper lap joint 1440.
  • Exhaust purge port 1080 may be disposed between wafer carrier track 1400 within reactor 1100 b and wafer carrier track 1400 within reactor 1100 c. Exhaust purge port 1080 is in fluid communication with passageway 1460, which extends from exhaust purge port 1080 to below wafer carrier tracks 1400. Exhaust assembly 1058, similar to exhaust assembly 800, is disposed on the reactor lid assembly of reactor 1100 b. Exhaust assembly 1058 may be used to remove gases from exhaust purge port 1080. Exhaust assembly 1058 contains exhaust outlet 1060, exhaust nozzle 1062, and exhaust tube 1064.
  • In another embodiment, reactor system 1000 may contain additional reactors (not shown) besides reactors 1100 a, 1100 b, and 1100 c. In one example, a fourth reactor is included in reactor system 1000. In another example, a fifth reactor is included in reactor system 1000. In different configurations and embodiments, reactor system 1000 may have 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, or more reactors. In other embodiments, reactors 1100 a, 1100 b, and 1100 c or other reactors which are not shown, may contain 1, 2, 3, 4, or more showerhead assemblies in each reactor (not shown).
  • In alternative embodiments described herein, other configurations of reactors 1100 a, 1100 b, and 1100 c are provided, but not illustrated in the drawings. In one embodiment, each of the reactors 1100 a, 1100 b, or 1100 c may contain three exhaust assemblies separated by two showerhead assemblies so that any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a third exhaust assembly. In another embodiment, each of the reactors 1100 a, 1100 b, or 1100 c may contain three isolator assemblies separated by two showerhead assemblies so that the reactor lid assembly sequentially contain a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a third isolator assembly.
  • In another embodiment, each of the reactors 1100 a, 1100 b, or 1100 c may contain two isolator assemblies and one exhaust assembly separated by two showerhead assemblies so that any of the reactor lid assemblies may sequentially contain a first isolator assembly, a first showerhead assembly, a second isolator assembly, a second showerhead assembly, and a first exhaust assembly. In another example, any of the reactor lid assemblies may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second isolator assembly. In another example, any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second isolator assembly.
  • In another embodiment, each of the reactors 1100 a, 1100 b, or 1100 c may contain two exhaust assemblies and one isolator assembly separated by two showerhead assemblies so that any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a second exhaust assembly, a second showerhead assembly, and a first isolator assembly. In another example, any of the reactor lid assemblies may sequentially contain a first exhaust assembly, a first showerhead assembly, a first isolator assembly, a second showerhead assembly, and a second exhaust assembly. In another example, any of the reactor lid assemblies may sequentially contain a first isolator assembly, a first showerhead assembly, a first exhaust assembly, a second showerhead assembly, and a second exhaust assembly.
  • Reactor 100, reactor system 1000, and derivatives of these reactors may be used for a variety of CVD, MOCVD, and/or epitaxial deposition processes to form an assortment of materials on wafers or substrates, as described in embodiments herein. In one embodiment, a Group III/V material—which contains at least one element of Group III (e.g., boron, aluminum, gallium, or indium) and at least one element of Group V (e.g., nitrogen, phosphorous, arsenic, or antimony) may be formed or deposited on a wafer. Examples of deposited materials may contain gallium nitride, indium phosphide, gallium indium phosphide, gallium arsenide, aluminum gallium arsenide, derivatives thereof, alloys thereof, multi-layers thereof, or combinations thereof. In some embodiments herein, the deposited materials may be epitaxial materials. The deposited material or epitaxial material may contain one layer, but usually contains multiple layers. In some examples, the epitaxial material contains a layer having gallium arsenide and another layer having aluminum gallium arsenide. In another example, the epitaxial material contains a gallium arsenide buffer layer, an aluminum gallium arsenide passivation layer, and a gallium arsenide active layer. The gallium arsenide buffer layer may have a thickness within a range from about 100 nm to about 500 nm, such as about 300 nm, the aluminum gallium arsenide passivation layer has a thickness within a range from about 10 nm to about 50 nm, such as about 30 nm, and the gallium arsenide active layer has a thickness within a range from about 500 nm to about 2,000 nm, such as about 1,000 nm. In some examples, the epitaxial material further contains a second aluminum gallium arsenide passivation layer.
  • In one embodiment, the process gas used in reactor 100 or reactor system 1000 may contain arsine, argon, helium, nitrogen, hydrogen, or mixtures thereof. In one example, the process gas contains an arsenic precursor, such as arsine. In other embodiments, the first precursor may contain an aluminum precursor, a gallium precursor, an indium precursor, or combinations thereof, and the second precursor may contain a nitrogen precursor, a phosphorus precursor, an arsenic precursor, an antimony precursor or combinations thereof.
  • In one embodiment, the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit. A hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance. The stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust, a hydrogen/arsine mixture preheat isolation zone, a gallium arsenide deposition zone, a gallium arsenide exhaust, an aluminum gallium arsenide deposition zone, a gallium arsenide N-layer deposition zone, a gallium arsenide P-layer deposition zone, a phosphorous hydrogen arsine isolation zone, a first phosphorous aluminum gallium arsenide deposition zone, a phosphorous aluminum gallium arsenide exhaust, a second phosphorous aluminum gallium arsenide deposition zone, a hydrogen/arsine mixture cool down isolation zone, a cool down exhaust, and an exit nitrogen isolation zone. The temperature of the substrate traveling through the reactor may be increased while passing the entrance isolation zone, or may be maintained while traveling through the zones, or may be decreased while nearing the arsine cool down isolation zone.
  • In another embodiment, the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit. A hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance. The stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust, a hydrogen/arsine mixture preheat isolation zone, an exhaust, a deposition zone, an exhaust, a hydrogen/arsine mixture cool down isolation zone, a cool down exhaust, and an exit nitrogen isolation zone. The temperature of the substrate traveling through the reactor system may be increased as is passes the entrance isolation zone, may be maintained as is travels through the deposition zone, and may be decreased as it nears the arsine cool down isolation zone.
  • In another embodiment, the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit. A hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance. The stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust with flow balance restrictor, an active hydrogen/arsine mixture isolation zone, a gallium arsenide deposition zone, an aluminum gallium arsenide deposition zone, a gallium arsenide N-layer deposition zone, a gallium arsenide P-layer deposition zone, a phosphorous aluminum gallium arsenide deposition zone, a cool down exhaust, and an exit nitrogen isolation zone. The temperature of the substrate traveling through the reactor may increase while passing the entrance isolation zone, or may be maintained while traveling through the deposition zones, or may be decreased while nearing the cool down exhaust.
  • In another embodiment, the CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit. A hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance. The stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust with flow balance restrictor, a gallium arsenide deposition zone, an aluminum gallium arsenide deposition zone, a gallium arsenide N-layer deposition zone, a gallium arsenide P-layer deposition zone, a phosphorous aluminum gallium arsenide deposition zone, a cool down exhaust with flow balance restrictor, and an exit nitrogen isolation zone. The temperature of the substrate traveling through the reactor may be increased while passing the entrance isolation zone, or may be maintained while traveling through the deposition zones, or may be decreased while nearing the cool down exhaust.
  • FIG. 17 illustrates a seventh configuration 800. The CVD reactor may be configured to supply nitrogen to the reactor to float the substrate along the track of the reactor at the entrance and the exit. A hydrogen/arsine mixture may also be used to float the substrate along the track of the CVD reactor between the exit and entrance. The stages along the track may include an entrance nitrogen isolation zone, a preheat exhaust, a deposition zone, a cool down exhaust, and an exit nitrogen isolation zone. The temperature of the substrate traveling through the reactor may be increased while passing the entrance isolation zone, or may be maintained while traveling through the deposition zone, or may be decreased while nearing the cool down exhaust.
  • In one embodiment, the CVD reactor may be configured to epitaxially grow a double hetero-structure containing gallium arsenide materials and aluminum gallium arsenide materials, as well as to epitaxially grow a lateral overgrowth sacrificial layer containing aluminum arsenide materials. In some examples, the gallium arsenide, aluminum gallium arsenide, and aluminum arsenide materials may be deposited at a rate of about 1 μm/min. In some embodiments, the CVD reactor may have a throughput of about 6 wafers per minute to about 10 wafers per minute.
  • In an embodiment, the CVD reactor may be configured to provide a deposition rate of one 10 cm by 10 cm substrate per minute. In one embodiment the CVD reactor may be configured to provide a 300 nm gallium arsenide buffer layer. In one embodiment the CVD reactor may be configured to provide a 30 nm aluminum gallium arsenide passivation layer. In one embodiment the CVD reactor may be configured to provide a 1,000 nm gallium arsenide active layer. In one embodiment the CVD reactor may be configured to provide a 30 nm aluminum gallium arsenide passivation layer. In one embodiment the CVD reactor may be configured to provide a dislocation density of less than 1×104 per centimeter squared, a photoluminescence efficiency of 99%; and a photoluminescence lifetime of 250 nanoseconds.
  • In one embodiment the CVD reactor may be configured to provide an epitaxial lateral overgrowth layer having a 5 nm deposition +−0.5 nm; a etch selectivity greater than 1×106; zero pinholes; and an aluminum arsenide etch rate greater than 0.2 mm per hour.
  • In one embodiment the CVD reactor may be configured to provide a center to edge temperature non-uniformity of no greater than 10° C. for temperatures above 300° C.; a V-III ratio of no more than 5; and a maximum temperature of 700° C.
  • In one embodiment the CVD reactor may be configured to provide a deposition layers having a 300 nm gallium arsenide buffer layer; a 5 nm aluminum arsenide sacrificial layer; a 10 nm aluminum gallium arsenide window layer; a 700 nm gallium arsenide 1×1017 Si active layer; a 300 nm aluminum gallium arsenide 1×1019 C P+ layer; and a 300 nm gallium arsenide 1×1019 C P+ layer.
  • In one embodiment the CVD reactor may be configured to provide a deposition layers having a 300 nm gallium arsenide buffer layer; a 5 nm aluminum arsenide sacrificial layer; a 10 nm gallium indium phosphide window layer; a 700 nm gallium arsenide 1×1017 Si active layer; a 100 nm gallium arsenide C P layer; a 300 nm gallium indium phosphide P window layer; a 20 nm gallium indium phosphide 1×1020 P+ tunnel junction layer; a 20 nm gallium indium phosphide 1×1020 N+ tunnel junction layer; a 30 nm aluminum gallium arsenide window; a 400 nm gallium indium phosphide N active layer; a 100 nm gallium indium phosphide P active layer; a 30 nm aluminum gallium arsenide P window; and a 300 nm gallium arsenide P+ contact layer.
  • While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (52)

1. A showerhead assembly for a vapor deposition chamber, comprising:
a body comprising an upper portion and a lower portion;
a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body;
a diffusion plate comprising a first plurality of holes and disposed within the centralized channel;
an upper tube plate comprising a second plurality of holes and disposed within the centralized channel below the diffusion plate;
a lower tube plate comprising a third plurality of holes and disposed within the centralized channel below the upper tube plate; and
a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
2. The showerhead assembly of claim 1, wherein the upper portion and the lower portion of the body each independently comprises at least one material selected from the group consisting of steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, and combinations thereof.
3. The showerhead assembly of claim 2, wherein the upper portion and the lower portion of the body each independently comprises stainless steel or alloys thereof.
4. The showerhead assembly of claim 1, further comprising a gaseous inlet disposed on the upper portion of the body.
5. The showerhead assembly of claim 4, further comprising a plate disposed on an upper surface of the upper portion of the body, and the gaseous inlet is disposed on the plate.
6. The showerhead assembly of claim 5, wherein the plate comprises a material selected from the group consisting of steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, and combinations thereof.
7. The showerhead assembly of claim 5, wherein the plate comprises an inlet port extending through the plate.
8. The showerhead assembly of claim 7, wherein the gaseous inlet comprises an inlet tube extending through the inlet port, and a nozzle is coupled to one end of the inlet tube and disposed above the plate.
9. The showerhead assembly of claim 1, wherein an upper surface of the upper portion of the body comprises a groove which encompasses the centralized channel, and the groove comprises an O-ring.
10. The showerhead assembly of claim 1, wherein the diffusion plate is disposed on a ledge protruding from side surfaces of the body within the centralized channel.
11. The showerhead assembly of claim 1, wherein the plurality of tubes comprises from about 500 tubes to about 1,500 tubes.
12. The showerhead assembly of claim 11, wherein the plurality of tubes comprises from about 800 tubes to about 1,000 tubes.
13. The showerhead assembly of claim 1, wherein each tube has a length within a range from about 0.5 cm to about 2 cm.
14. The showerhead assembly of claim 13, wherein the length is within a range from about 0.8 cm to about 1.2 cm.
15. The showerhead assembly of claim 1, wherein each tube comprises a diameter within a range from about 0.005 inches to about 0.05 inches.
16. The showerhead assembly of claim 15, wherein the diameter is within a range from about 0.01 inches to about 0.03 inches.
17. The showerhead assembly of claim 1, wherein the tubes are hypodermic needles.
18. The showerhead assembly of claim 1, wherein the tubes comprise a material selected from the group consisting of steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, and combinations thereof.
19. The showerhead assembly of claim 18, wherein the upper portion and the lower portion of the body each independently comprises stainless steel or alloys thereof.
20. The showerhead assembly of claim 1, wherein each hole of the first plurality of holes has a larger diameter than each hole of the second plurality of holes or each hole of the third plurality of holes.
21. The showerhead assembly of claim 1, wherein each hole of the second plurality of holes has the same diameter or substantially the same diameter as each hole of the third plurality of holes.
22. The showerhead assembly of claim 1, wherein the diffusion plate comprises a material selected from the group consisting of steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, and combinations thereof.
23. The showerhead assembly of claim 22, wherein the diffusion plate comprises from about 20 holes to about 200 holes.
24. The showerhead assembly of claim 23, wherein the diffusion plate comprises from about 40 holes to about 60 holes.
25. The showerhead assembly of claim 23, wherein each hole of the diffusion plate comprises a diameter within a range from about 0.005 inches to about 0.05 inches.
26. The showerhead assembly of claim 25, wherein the diameter is within a range from about 0.01 inches to about 0.03 inches.
27. The showerhead assembly of claim 1, wherein each of the upper tube plate and the lower tube plate independently comprises a material selected from the group consisting of steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, and combinations thereof.
28. The showerhead assembly of claim 27, wherein each of the upper tube plate and the lower tube plate independently comprises from about 500 holes to about 1,500 holes.
29. The showerhead assembly of claim 28, wherein each of the upper tube plate and the lower tube plate independently comprises from about 800 holes to about 1,000 holes.
30. The showerhead assembly of claim 28, wherein each hole of the upper tube plate or the lower tube plate independently comprises a diameter within a range from about 0.005 inches to about 0.05 inches.
31. The showerhead assembly of claim 30, wherein the diameter is within a range from about 0.01 inches to about 0.03 inches.
32. The showerhead assembly of claim 1, wherein the upper tube plate and the lower tube plate each independently comprises a gaseous hole density within a range from about 10 holes/in2 to about 60 holes/in2.
33. The showerhead assembly of claim 1, wherein an upper surface of the upper portion of the body is a metallic plate.
34. The showerhead assembly of claim 1, further comprising a temperature regulation system, wherein the temperature regulation system comprises a fluid passageway extending within the body, the temperature regulation system comprises an inlet and an outlet coupled to and in fluid communication with the fluid passageway, and the inlet and the outlet are independently coupled to and in fluid communication with a heat exchanger.
35. The showerhead assembly of claim 1, wherein the showerhead assembly is a modular showerhead assembly configured to be positioned within a CVD reactor system.
36. The showerhead assembly of claim 1, wherein the body has a rectangular geometry or a square geometry.
37. The showerhead assembly of claim 1, wherein the upper portion of the body comprises a flange extending over the lower portion of the body.
38. A showerhead assembly for a vapor deposition chamber, comprising:
a body comprising an upper portion and a lower portion;
a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body;
an upper tube plate comprising a first plurality of holes and disposed within the centralized channel below the diffusion plate;
a lower tube plate comprising a second plurality of holes and disposed within the centralized channel below the upper tube plate; and
a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the first plurality of holes and an individual hole from the second plurality of holes.
39. An exhaust assembly for a vapor deposition chamber, comprising:
a body comprising an upper portion disposed on a lower portion;
a centralized channel extending through the upper and lower portions of the body, between inner surfaces of the body, and parallel to a central axis extending through the body;
an exhaust outlet disposed on the upper portion of the body;
a diffusion plate comprising a first plurality of holes and disposed within the centralized channel;
an upper tube plate comprising a second plurality of holes and disposed within the centralized channel below the diffusion plate;
a lower tube plate comprising a third plurality of holes and disposed within the centralized channel below the upper tube plate; and
a plurality of tubes extending from the upper tube plate to the lower tube plate, wherein each tube is coupled to and in fluid communication with an individual hole from the second plurality of holes and an individual hole from the third plurality of holes.
40. The exhaust assembly of claim 39, further comprising a plate disposed on an upper surface of the upper portion of the body, and the exhaust outlet is disposed on the plate.
41. The exhaust assembly of claim 40, wherein the plate comprises a material selected from the group consisting of steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, and combinations thereof.
42. The exhaust assembly of claim 40, wherein the plate comprises an exhaust port extending through the plate.
43. The exhaust assembly of claim 42, wherein the exhaust outlet comprises an exhaust tube extending through the exhaust port.
44. The exhaust assembly of claim 43, wherein an exhaust nozzle is coupled to one end of the exhaust tube and disposed above the plate.
45. The exhaust assembly of claim 39, wherein an upper surface of the upper portion of the body comprises a groove which encompasses the centralized channel, and the groove comprises an O-ring.
46. The exhaust assembly of claim 39, wherein the diffusion plate is disposed on a ledge protruding from side surfaces of the body within the centralized channel.
47. The exhaust assembly of claim 39, wherein the upper tube plate and the lower tube plate each independently comprises a material selected from the group consisting of steel, stainless steel, 300 series stainless steel, iron, nickel, chromium, molybdenum, aluminum, alloys thereof, and combinations thereof.
48. The exhaust assembly of claim 39, wherein the upper tube plate and the lower tube plate each independently comprises from about 5 holes to about 50 holes.
49. The exhaust assembly of claim 48, wherein the upper tube plate and the lower tube plate each independently comprises from about 10 holes to about 20 holes.
50. The exhaust assembly of claim 48, wherein each hole of the upper tube plate and each hole of the lower tube plate independently comprises a diameter within a range from about 0.1 inches to about 0.4 inches.
51. The exhaust assembly of claim 39, wherein the upper tube plate and the lower tube plate each independently comprises a gaseous hole density within a range from about 5 holes/in2 to about 30 holes/in2.
52. The exhaust assembly of claim 39, wherein the showerhead assembly is a modular showerhead assembly configured to be positioned within a CVD reactor system.
US12/725,326 2009-03-16 2010-03-16 Showerhead for vapor deposition Abandoned US20100229793A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/725,326 US20100229793A1 (en) 2009-03-16 2010-03-16 Showerhead for vapor deposition

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US16069009P 2009-03-16 2009-03-16
US16070009P 2009-03-16 2009-03-16
US16069909P 2009-03-16 2009-03-16
US16070109P 2009-03-16 2009-03-16
US16069609P 2009-03-16 2009-03-16
US16070309P 2009-03-16 2009-03-16
US16069409P 2009-03-16 2009-03-16
US12/725,326 US20100229793A1 (en) 2009-03-16 2010-03-16 Showerhead for vapor deposition

Publications (1)

Publication Number Publication Date
US20100229793A1 true US20100229793A1 (en) 2010-09-16

Family

ID=42729639

Family Applications (5)

Application Number Title Priority Date Filing Date
US12/725,326 Abandoned US20100229793A1 (en) 2009-03-16 2010-03-16 Showerhead for vapor deposition
US13/257,275 Abandoned US20120067282A1 (en) 2009-03-16 2010-03-16 Reactor lid assembly for vapor deposition
US13/257,264 Abandoned US20120067286A1 (en) 2009-03-16 2010-03-16 Vapor deposition reactor system and methods thereof
US13/257,269 Active 2032-04-05 US8985911B2 (en) 2009-03-16 2010-03-16 Wafer carrier track
US13/257,273 Abandoned US20120106935A1 (en) 2009-03-16 2010-03-16 Heating lamp system and methods thereof

Family Applications After (4)

Application Number Title Priority Date Filing Date
US13/257,275 Abandoned US20120067282A1 (en) 2009-03-16 2010-03-16 Reactor lid assembly for vapor deposition
US13/257,264 Abandoned US20120067286A1 (en) 2009-03-16 2010-03-16 Vapor deposition reactor system and methods thereof
US13/257,269 Active 2032-04-05 US8985911B2 (en) 2009-03-16 2010-03-16 Wafer carrier track
US13/257,273 Abandoned US20120106935A1 (en) 2009-03-16 2010-03-16 Heating lamp system and methods thereof

Country Status (7)

Country Link
US (5) US20100229793A1 (en)
EP (5) EP2409324A4 (en)
JP (5) JP2012521093A (en)
KR (5) KR20110128932A (en)
CN (6) CN102422390B (en)
TW (5) TW201042075A (en)
WO (5) WO2010107842A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100092668A1 (en) * 2008-10-10 2010-04-15 Alta Devices, Inc. Concentric Showerhead For Vapor Deposition
US20100209620A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Method for vapor deposition
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US9105286B2 (en) 2013-07-30 2015-08-11 HGST Netherlands B.V. Method using epitaxial transfer to integrate HAMR photonic integrated circuit (PIC) into recording head wafer
TWI496944B (en) * 2012-10-26 2015-08-21
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US20170067156A1 (en) * 2015-09-04 2017-03-09 Lam Research Corporation Plasma Excitation for Spatial Atomic Layer Deposition (ALD) Reactors
US9644268B2 (en) 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US20170304849A1 (en) * 2016-04-26 2017-10-26 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
WO2017216065A1 (en) * 2016-06-13 2017-12-21 Aixtron Se Device and method for sequentially depositing a multiplicity of layers on substrates, and receiving unit for use in a depositing device
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
CN109196141A (en) * 2016-06-02 2019-01-11 应用材料公司 Continuous chemical vapour deposition (CVD) multizone process kit
CN111370498A (en) * 2020-03-23 2020-07-03 中国科学院长春光学精密机械与物理研究所 Small permanent high-vacuum cavity of detector and preparation method
EP3937219A1 (en) * 2020-07-06 2022-01-12 Siltronic AG Method for generating a gas curtain from purge gas in a slit valve tunnel and slit valve tunnel

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130209076A1 (en) * 2005-05-18 2013-08-15 Judco Manufacturing, Inc. Handheld heater
US9635713B2 (en) 2005-05-18 2017-04-25 Judco Manufacturing, Inc. Cordless handheld heater
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
US20100209082A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Heating lamp system
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
TWI502096B (en) 2013-06-17 2015-10-01 Ind Tech Res Inst Reaction device and manufacture method for chemical vapor deposition
WO2015081072A1 (en) * 2013-11-26 2015-06-04 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
CN104911565B (en) * 2014-03-11 2017-12-22 中微半导体设备(上海)有限公司 A kind of chemical vapor deposition unit
US10332770B2 (en) * 2014-09-24 2019-06-25 Sandisk Technologies Llc Wafer transfer system
US10932323B2 (en) 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor
CN108321105A (en) 2018-03-23 2018-07-24 北京创昱科技有限公司 A kind of heating component
US10612136B2 (en) * 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102192369B1 (en) * 2019-05-03 2020-12-17 주성엔지니어링(주) Substrate processing apparatus

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4316430A (en) * 1980-09-30 1982-02-23 Rca Corporation Vapor phase deposition apparatus
US4963713A (en) * 1988-01-20 1990-10-16 Tokyo Electron Limited Cooling of a plasma electrode system for an etching apparatus
JPH05152208A (en) * 1991-11-29 1993-06-18 Fujitsu Ltd Semiconductor production device
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5888907A (en) * 1996-04-26 1999-03-30 Tokyo Electron Limited Plasma processing method
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6251188B1 (en) * 1997-03-04 2001-06-26 Tokyo Electron Limited Apparatus for forming laminated thin films or layers
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US20020017243A1 (en) * 2000-06-15 2002-02-14 Pyo Sung Gyu Showerhead in chemical-enhanced chemical vapor deposition equipment
JP2002155364A (en) * 2000-09-08 2002-05-31 Tokyo Electron Ltd Shower head structure, device and method for film formation, and method for cleaning
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US20030205328A1 (en) * 2000-04-26 2003-11-06 Kinnard David W. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20050255241A1 (en) * 2000-06-21 2005-11-17 Tokyo Electron Limited Gas supply device and treating device
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor
US20060249077A1 (en) * 2005-05-09 2006-11-09 Kim Daeyoun Multiple inlet atomic layer deposition reactor
US20060263522A1 (en) * 2005-05-19 2006-11-23 Piezonics Co., Ltd. Apparatus for chemical vapor deposition (CVD) with showerhead and method thereof
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20090324379A1 (en) * 2008-05-30 2009-12-31 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US20100092668A1 (en) * 2008-10-10 2010-04-15 Alta Devices, Inc. Concentric Showerhead For Vapor Deposition
US8008174B2 (en) * 2008-10-10 2011-08-30 Alta Devices, Inc. Continuous feed chemical vapor deposition

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
US4074474A (en) * 1975-10-08 1978-02-21 Cristy Nicholas G Floor support arrangement
US4545327A (en) 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
US4908495A (en) * 1988-12-20 1990-03-13 Texas Instruments Incorporated Heating lamp assembly for ccvd reactors
US4941429A (en) 1988-12-20 1990-07-17 Texas Instruments Incorporated Semiconductor wafer carrier guide tracks
US5105762A (en) * 1988-12-20 1992-04-21 Texas Instruments Incorporated Support and seal structure for CCVD reactor
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4928626A (en) * 1989-05-19 1990-05-29 Applied Materials, Inc. Reactant gas injection for IC processing
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
WO1992009103A1 (en) * 1990-11-16 1992-05-29 Kabushiki-Kaisha Watanabe Shoko Device and method for carrying thin plate-like substrate
US5863337A (en) * 1993-02-16 1999-01-26 Ppg Industries, Inc. Apparatus for coating a moving glass substrate
US5413671A (en) * 1993-08-09 1995-05-09 Advanced Micro Devices, Inc. Apparatus and method for removing deposits from an APCVD system
JPH07228346A (en) * 1993-12-22 1995-08-29 Hitachi Ltd Conveyer, conveying processor and processed object conveyance processing method
US5776254A (en) * 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
JPH08176826A (en) * 1994-12-28 1996-07-09 Mitsubishi Electric Corp Thin film depositing device by cvd, deposition method and cvd material and liquid material vessel used in the device or method
JP2845773B2 (en) * 1995-04-27 1999-01-13 山形日本電気株式会社 Atmospheric pressure CVD equipment
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
JPH108402A (en) 1996-06-26 1998-01-13 Ishikawajima Harima Heavy Ind Co Ltd Track traveling device
US5863843A (en) * 1996-07-31 1999-01-26 Lucent Technologies Inc. Wafer holder for thermal processing apparatus
KR100492258B1 (en) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US5792273A (en) * 1997-05-27 1998-08-11 Memc Electric Materials, Inc. Secondary edge reflector for horizontal reactor
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
KR19990043658A (en) 1997-11-29 1999-06-15 윤종용 Thin Film Formation Method of Semiconductor Device Using Chemical Vapor Deposition Method
JP2000068222A (en) * 1998-08-21 2000-03-03 Dainippon Screen Mfg Co Ltd Substrate heat treatment device
JP2000072251A (en) * 1998-08-31 2000-03-07 Watanabe Shoko:Kk Flotation carrier device and flotation carrier system
US6244572B1 (en) 1998-10-05 2001-06-12 Robert Delsole Carburetor float bowl drain screw and recovery system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000159342A (en) 1998-11-20 2000-06-13 Daiichi Shisetsu Kogyo Kk Conveying apparatus for plate-shaped member
US6254687B1 (en) * 1999-03-26 2001-07-03 Japan Process Engineering, Ltd. Chemical vapor deposition system with reduced material deposition on chamber wall surfaces
JP3911902B2 (en) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 Processing apparatus and surface treatment method for metal parts
JP2000306905A (en) * 1999-04-22 2000-11-02 Sony Corp Thin-film forming device
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
KR100378871B1 (en) * 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6891131B2 (en) * 2000-04-20 2005-05-10 Tokyo Electron Limited Thermal processing system
JP4021125B2 (en) 2000-06-02 2007-12-12 東京エレクトロン株式会社 Rail straightness holding device used when connecting equipment unit of wafer transfer equipment
US6805466B1 (en) * 2000-06-16 2004-10-19 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
US6562141B2 (en) * 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
TW200819555A (en) * 2000-09-08 2008-05-01 Tokyo Electron Ltd Shower head structure, device and method for film formation, and method for cleaning
JP2002129334A (en) * 2000-10-26 2002-05-09 Applied Materials Inc Method for cleaning vapor-phase deposition apparatus and vapor-phase deposition apparatus
JP4948701B2 (en) * 2000-12-28 2012-06-06 東京エレクトロン株式会社 Heating apparatus, heat treatment apparatus having the heating apparatus, and heat treatment control method
JP4765169B2 (en) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP2002261089A (en) * 2001-03-06 2002-09-13 Sony Corp Semiconductor manufacturing device
US6656838B2 (en) * 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
KR100423954B1 (en) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 Chemical Vapor Deposition Method
JP2003007622A (en) * 2001-06-21 2003-01-10 Hitachi Kokusai Electric Inc Substrate-processing device
US20030066603A1 (en) * 2001-08-20 2003-04-10 Lord Corporation Adhesive composition for bonding an elastomer to a substrate and a method for the bonding thereof
JP2003121023A (en) * 2001-10-10 2003-04-23 Tokyo Electron Ltd Heating medium circulation device and heat treatment equipment using this
KR20030038396A (en) * 2001-11-01 2003-05-16 에이에스엠엘 유에스, 인코포레이티드 System and method for preferential chemical vapor deposition
JP3982402B2 (en) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 Processing apparatus and processing method
KR20030078454A (en) 2002-03-29 2003-10-08 주식회사 엘지이아이 Surface treatment device, surface treatment method, surface treated prouct
US6705457B2 (en) * 2002-04-01 2004-03-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Transport device and method of transporting to-be-processed elements through a high-temperature zone
JP4873820B2 (en) * 2002-04-01 2012-02-08 株式会社エフティーエル Semiconductor device manufacturing equipment
JP2004055595A (en) 2002-07-16 2004-02-19 Sharp Corp Vapor deposition device
KR100509231B1 (en) * 2003-01-03 2005-08-22 주식회사 아이피에스 Apparatus for depositing thin film on wafer
WO2005024928A1 (en) * 2003-09-03 2005-03-17 Tokyo Electron Limited Gas treatment device and heat readiting method
US7918939B2 (en) 2004-01-30 2011-04-05 Sharp Kabushiki Kaisha Semiconductor manufacturing apparatus and semiconductor manufacturing method using the same
JP4404303B2 (en) * 2004-02-03 2010-01-27 株式会社アルバック Plasma CVD apparatus and film forming method
US6888104B1 (en) * 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US8658945B2 (en) * 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
JP5291281B2 (en) * 2004-06-28 2013-09-18 株式会社渡辺商行 Levitation conveyance device and levitation conveyance method
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
KR20060076714A (en) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus
JP2006279008A (en) * 2005-03-02 2006-10-12 Ushio Inc Heater and heating apparatus having the same
JP4869612B2 (en) * 2005-03-25 2012-02-08 東京エレクトロン株式会社 Substrate transport system and substrate transport method
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US20090161713A1 (en) 2005-06-08 2009-06-25 Firecomms Limited Surface emitting optical devices
EP1734202A1 (en) * 2005-06-14 2006-12-20 Tarkett SAS Panel provided with a repositionable adhesive, in particular to cover floors, walls or ceilings
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US7691204B2 (en) * 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
JP2007182304A (en) 2006-01-06 2007-07-19 Tokyo Electron Ltd Substrate carrying device and its method, and computer program
JP4594241B2 (en) * 2006-01-06 2010-12-08 東京エレクトロン株式会社 Substrate transport apparatus, substrate transport method, and computer program
KR100752622B1 (en) * 2006-02-17 2007-08-30 한양대학교 산학협력단 Apparatus for generating remote plasma
JP4709662B2 (en) 2006-02-28 2011-06-22 三菱重工業株式会社 Method for forming transparent electrode film and method for manufacturing solar cell
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
JP4916802B2 (en) * 2006-07-20 2012-04-18 大日本スクリーン製造株式会社 Heat treatment equipment
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
JP2008255386A (en) * 2007-04-02 2008-10-23 Hitachi Kokusai Electric Inc Substrate treatment device
JP2008270670A (en) * 2007-04-24 2008-11-06 Oki Electric Ind Co Ltd Thin film forming apparatus and thin film forming method
EP2162587A1 (en) * 2007-05-25 2010-03-17 New Zealand Heavy Engineering Research Association Incorporated Panels
US20080302052A1 (en) * 2007-06-08 2008-12-11 Kelly Gibson Panelling system formed from panels defined by tongue and groove strips
JP5076697B2 (en) * 2007-07-17 2012-11-21 株式会社Ihi Thin plate transfer device, thin plate processing transfer system, and thin plate transfer method
US20090071403A1 (en) * 2007-09-19 2009-03-19 Soo Young Choi Pecvd process chamber with cooled backing plate
US20090133631A1 (en) * 2007-11-23 2009-05-28 Applied Materials Inc. Coating device and method of producing an electrode assembly
CN201151852Y (en) * 2007-12-13 2008-11-19 张秀福 Railway track gap longitudinal connecting structure
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
CN201190181Y (en) * 2008-04-23 2009-02-04 吴明鸿 Multi-sheet movable electroplating carriage table of chemical vapor deposition system
US8859042B2 (en) * 2008-05-30 2014-10-14 Alta Devices, Inc. Methods for heating with lamps
US9169554B2 (en) * 2008-05-30 2015-10-27 Alta Devices, Inc. Wafer carrier track
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5276387B2 (en) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, film forming method, and recording medium recording program for executing this film forming method
US8652259B2 (en) * 2008-10-09 2014-02-18 Silevo, Inc. Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition

Patent Citations (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4316430A (en) * 1980-09-30 1982-02-23 Rca Corporation Vapor phase deposition apparatus
US4963713A (en) * 1988-01-20 1990-10-16 Tokyo Electron Limited Cooling of a plasma electrode system for an etching apparatus
JPH05152208A (en) * 1991-11-29 1993-06-18 Fujitsu Ltd Semiconductor production device
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5888907A (en) * 1996-04-26 1999-03-30 Tokyo Electron Limited Plasma processing method
US6251188B1 (en) * 1997-03-04 2001-06-26 Tokyo Electron Limited Apparatus for forming laminated thin films or layers
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20030205328A1 (en) * 2000-04-26 2003-11-06 Kinnard David W. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US20020017243A1 (en) * 2000-06-15 2002-02-14 Pyo Sung Gyu Showerhead in chemical-enhanced chemical vapor deposition equipment
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
US20050255241A1 (en) * 2000-06-21 2005-11-17 Tokyo Electron Limited Gas supply device and treating device
JP2002155364A (en) * 2000-09-08 2002-05-31 Tokyo Electron Ltd Shower head structure, device and method for film formation, and method for cleaning
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060128160A1 (en) * 2004-12-10 2006-06-15 Yoo Woo S Photoresist strip using solvent vapor
US20060249077A1 (en) * 2005-05-09 2006-11-09 Kim Daeyoun Multiple inlet atomic layer deposition reactor
US20060263522A1 (en) * 2005-05-19 2006-11-23 Piezonics Co., Ltd. Apparatus for chemical vapor deposition (CVD) with showerhead and method thereof
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US20090324379A1 (en) * 2008-05-30 2009-12-31 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US20090325367A1 (en) * 2008-05-30 2009-12-31 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
US20100092668A1 (en) * 2008-10-10 2010-04-15 Alta Devices, Inc. Concentric Showerhead For Vapor Deposition
US8008174B2 (en) * 2008-10-10 2011-08-30 Alta Devices, Inc. Continuous feed chemical vapor deposition

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"Tube Fittings and Tube Adapters" Swagelok. 3/2007 *

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100209620A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Method for vapor deposition
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8852696B2 (en) 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
US20100092668A1 (en) * 2008-10-10 2010-04-15 Alta Devices, Inc. Concentric Showerhead For Vapor Deposition
US9121096B2 (en) 2008-10-10 2015-09-01 Alta Devices, Inc. Concentric showerhead for vapor deposition
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
US9644268B2 (en) 2011-08-31 2017-05-09 Alta Devices, Inc. Thermal bridge for chemical vapor deposition reactors
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
TWI496944B (en) * 2012-10-26 2015-08-21
US9105286B2 (en) 2013-07-30 2015-08-11 HGST Netherlands B.V. Method using epitaxial transfer to integrate HAMR photonic integrated circuit (PIC) into recording head wafer
US9613647B2 (en) 2013-07-30 2017-04-04 Western Digital Technologies, Inc. Method using epitaxial transfer to integrate HAMR photonic integrated circuit (PIC) into recording head wafer
US20170067156A1 (en) * 2015-09-04 2017-03-09 Lam Research Corporation Plasma Excitation for Spatial Atomic Layer Deposition (ALD) Reactors
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US20170304849A1 (en) * 2016-04-26 2017-10-26 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
CN109196141A (en) * 2016-06-02 2019-01-11 应用材料公司 Continuous chemical vapour deposition (CVD) multizone process kit
EP3464677A4 (en) * 2016-06-02 2020-02-19 Applied Materials, Inc. Continuous chemical vapor depositioin (cvd) multi-zone process kit
WO2017216065A1 (en) * 2016-06-13 2017-12-21 Aixtron Se Device and method for sequentially depositing a multiplicity of layers on substrates, and receiving unit for use in a depositing device
CN111370498A (en) * 2020-03-23 2020-07-03 中国科学院长春光学精密机械与物理研究所 Small permanent high-vacuum cavity of detector and preparation method
EP3937219A1 (en) * 2020-07-06 2022-01-12 Siltronic AG Method for generating a gas curtain from purge gas in a slit valve tunnel and slit valve tunnel
WO2022008209A1 (en) * 2020-07-06 2022-01-13 Siltronic Ag Method for producing a gas curtain of purge gas in a slit valve tunnel, and slit valve tunnel

Also Published As

Publication number Publication date
CN102422407B (en) 2014-10-15
JP2012521095A (en) 2012-09-10
US20120090548A1 (en) 2012-04-19
KR20110131290A (en) 2011-12-06
EP2409324A2 (en) 2012-01-25
KR20110131292A (en) 2011-12-06
WO2010107843A2 (en) 2010-09-23
US8985911B2 (en) 2015-03-24
CN102422392A (en) 2012-04-18
WO2010107842A3 (en) 2011-01-13
EP2409321A2 (en) 2012-01-25
US20120106935A1 (en) 2012-05-03
CN104962879A (en) 2015-10-07
JP2012521094A (en) 2012-09-10
TW201043724A (en) 2010-12-16
WO2010107835A2 (en) 2010-09-23
WO2010107837A2 (en) 2010-09-23
CN102422394B (en) 2015-10-14
CN102422392B (en) 2016-08-31
EP2409324A4 (en) 2013-05-15
EP2409320A2 (en) 2012-01-25
JP2012521096A (en) 2012-09-10
WO2010107842A2 (en) 2010-09-23
CN102422390B (en) 2015-05-13
WO2010107839A3 (en) 2011-01-13
WO2010107835A3 (en) 2011-01-13
CN102422394A (en) 2012-04-18
KR20110128932A (en) 2011-11-30
CN102422390A (en) 2012-04-18
WO2010107843A3 (en) 2011-01-13
JP2012521097A (en) 2012-09-10
KR20120003455A (en) 2012-01-10
CN102422407A (en) 2012-04-18
EP2409321A4 (en) 2013-07-24
EP2409320A4 (en) 2013-07-24
TW201042075A (en) 2010-12-01
US20120067282A1 (en) 2012-03-22
WO2010107839A2 (en) 2010-09-23
CN102422393A (en) 2012-04-18
EP2409319A2 (en) 2012-01-25
TW201037100A (en) 2010-10-16
JP2012521093A (en) 2012-09-10
US20120067286A1 (en) 2012-03-22
WO2010107837A3 (en) 2011-01-13
TW201038764A (en) 2010-11-01
TW201043727A (en) 2010-12-16
EP2409318A2 (en) 2012-01-25
EP2409319A4 (en) 2013-07-24
KR20110131291A (en) 2011-12-06

Similar Documents

Publication Publication Date Title
US8985911B2 (en) Wafer carrier track
US8859042B2 (en) Methods for heating with lamps
US9169554B2 (en) Wafer carrier track
US20160130724A1 (en) Heating lamp system
US8852696B2 (en) Method for vapor deposition
US20100206229A1 (en) Vapor deposition reactor system
US20100212591A1 (en) Reactor lid assembly for vapor deposition
US8602707B2 (en) Methods and apparatus for a chemical vapor deposition reactor
US20100092668A1 (en) Concentric Showerhead For Vapor Deposition

Legal Events

Date Code Title Description
AS Assignment

Owner name: ALTA DEVICES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HE, GANG;HIGASHI, GREGG;SORABJI, KHURSHED;AND OTHERS;SIGNING DATES FROM 20100413 TO 20100426;REEL/FRAME:024327/0932

AS Assignment

Owner name: SILICON VALLEY BANK, AS AGENT, CALIFORNIA

Free format text: SECURITY AGREEMENT;ASSIGNOR:ALTA DEVICES, INC.;REEL/FRAME:030192/0391

Effective date: 20130404

AS Assignment

Owner name: AWBSCQEMGK, INC. (F/K/A ALTA DEVICES, INC.), CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:SILICON VALLEY BANK, AS COLLATERAL AGENT;REEL/FRAME:034775/0973

Effective date: 20150114

Owner name: AWBSCQEMGK, INC. (F/K/A ALTA DEVICES, INC.), CALIF

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:SILICON VALLEY BANK, AS COLLATERAL AGENT;REEL/FRAME:034775/0973

Effective date: 20150114

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: HANERGY GLOBAL INVESTMENT AND SALES PTE. LTD., SINGAPORE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ALTA DEVICES, INC.;REEL/FRAME:038004/0078

Effective date: 20140707

Owner name: HANERGY GLOBAL INVESTMENT AND SALES PTE. LTD., SIN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ALTA DEVICES, INC.;REEL/FRAME:038004/0078

Effective date: 20140707

Owner name: ALTA DEVICES, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:HANERGY ACQUISITION SUB INC.;REEL/FRAME:038006/0457

Effective date: 20140707

Owner name: AWBSCQEMGK, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:ALTA DEVICES, INC.;REEL/FRAME:038005/0990

Effective date: 20140707

AS Assignment

Owner name: ALTA DEVICES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HANERGY GLOBAL INVESTMENT AND SALES PTE. LTD;REEL/FRAME:038066/0958

Effective date: 20141110