US20100320081A1 - Apparatus for wetting pretreatment for enhanced damascene metal filling - Google Patents

Apparatus for wetting pretreatment for enhanced damascene metal filling Download PDF

Info

Publication number
US20100320081A1
US20100320081A1 US12/684,792 US68479210A US2010320081A1 US 20100320081 A1 US20100320081 A1 US 20100320081A1 US 68479210 A US68479210 A US 68479210A US 2010320081 A1 US2010320081 A1 US 2010320081A1
Authority
US
United States
Prior art keywords
wetting
wafer
wafer substrate
wetting fluid
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/684,792
Inventor
Steven T. Mayer
David W. Porter
Mark J. Willey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US12/684,792 priority Critical patent/US20100320081A1/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAYER, STEVEN T., PORTER, DAVID W., WILLEY, MARK J.
Assigned to NOVELLUS SYSTEMS, INC. reassignment NOVELLUS SYSTEMS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MAYER, STEVEN T., WILLEY, MARK J., PORTER, DAVID W., RASH, ROBERT
Priority to TW104118528A priority patent/TWI575116B/en
Priority to TW099119625A priority patent/TWI499695B/en
Priority to KR1020107026340A priority patent/KR101265416B1/en
Priority to PCT/US2010/038901 priority patent/WO2010148147A2/en
Priority to CN201080026847.7A priority patent/CN102804343B/en
Priority to CN201510239529.3A priority patent/CN104966671B/en
Publication of US20100320081A1 publication Critical patent/US20100320081A1/en
Priority to US13/775,987 priority patent/US9455139B2/en
Priority to US14/102,239 priority patent/US9677188B2/en
Priority to US14/326,899 priority patent/US9721800B2/en
Priority to US15/181,150 priority patent/US9828688B2/en
Priority to US15/724,120 priority patent/US10301738B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/04Removal of gases or vapours ; Gas or pressure control
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/003Electroplating using gases, e.g. pressure influence
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/34Pretreatment of metallic surfaces to be electroplated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Definitions

  • inventions disclosed herein relate to pre-wetting apparatus designs and methods. More specifically, embodiments relate to pre-wetting apparatus designs and methods for pre-wetting a semiconductor wafer prior to depositing electrically conductive materials on the wafer for integrated circuit manufacturing.
  • Wetting is a property of a liquid/solid interface governed by adhesive forces between the liquid and solid and cohesive forces in the liquid. Adhesive forces between the liquid and solid cause the liquid to spread across the solid surface. Cohesive forces in the liquid cause the liquid to minimize contact with the solid surface.
  • the wetting of a solid surface by a liquid is important in many industrial processes where a liquid interacts with a solid surface. Electroplating (a cathodic process), including electroplating in integrated circuit manufacturing, is one such industrial process. Wetting is also important in anodic processes, including eletroetching and electropolishing.
  • a conductive material such as copper
  • a conductive material is often deposited by electroplating onto a seed layer of metal deposited onto the wafer surface by a physical vapor deposition (PVD) or a chemical vapor deposition (CVD) method.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • Electroplating is a method of choice for depositing metal into the vias and trenches of the wafer during damascene and dual damascene processing.
  • Damascene processing is a method for forming interconnections on integrated circuits (ICs). It is especially suitable for manufacturing integrated circuits, which employ copper as a conductive material. Damascene processing involves formation of inlaid metal lines in trenches and vias formed in a dielectric layer (inter-metal dielectric). In a typical damascene process, a pattern of trenches and vias is etched in the dielectric layer of a semiconductor wafer substrate.
  • a thin layer of an adherent metal diffusion-barrier film such as tantalum, tantalum nitride, or a TaN/Ta bilayer is then deposited onto the wafer surface by a PVD method, followed by deposition of an electroplate-able metal seed layer (e.g., copper, nickel, cobalt, ruthenium, etc.) on top of the diffusion-barrier layer.
  • an electroplate-able metal seed layer e.g., copper, nickel, cobalt, ruthenium, etc.
  • an apparatus for pre-wetting a wafer substrate prior to electrolytically processing the wafer substrate includes a degasser configured for removing one or more dissolved gases from a pre-wetting fluid prior to pre-wetting and a process chamber having an inlet for admitting the pre-wetting fluid.
  • the process chamber is configured for pre-wetting the wafer substrate with a degassed pre-wetting fluid at a sub-atmospheric pressure.
  • a wafer holder positioned and configured to hold the wafer substrate during the pre-wetting process.
  • an apparatus for pre-wetting a wafer substrate prior to electrolytically processing the wafer substrate includes a process chamber having an inlet for admitting a pre-wetting fluid.
  • the process chamber is configured for operating at a higher than atmospheric pressure during or after pre-wetting, to facilitate the removal of bubbles.
  • a wafer holder positioned and configured to hold the wafer substrate during the pre-wetting process.
  • FIG. 1 depicts a plot of bubble dissolution time versus feature size.
  • FIG. 2 depicts a plot of bubble dissolution time versus the dissolved gas pressure.
  • FIG. 3 depicts a schematic layout of one embodiment of a pre-wetting apparatus.
  • FIG. 4 depicts an embodiment of a pre-wetting chamber.
  • FIG. 5 depicts an isometric view of an embodiment of a pre-wetting chamber.
  • FIG. 6 depicts an embodiment of a pre-wetting chamber configured for a condensation pre-wetting process.
  • FIG. 7 depicts an embodiment of a pre-wetting chamber configured for an immersion pre-wetting process.
  • FIG. 8 depicts another embodiment of a pre-wetting chamber configured for an immersion pre-wetting process.
  • FIG. 9 depicts an embodiment of an apparatus in which the pre-wetting process is performed in a plating cell.
  • FIG. 10 depicts an embodiment of an electroplating system.
  • FIGS. 11 a and 11 b are flow diagrams for embodiments of a pre-wetting process.
  • FIG. 12 is a flow diagram for an embodiment of an electroplating process for electroplating a layer of metal on a wafer substrate.
  • FIG. 13 depicts a wafer substrate with a feature filled with pre-wetting fluid.
  • the pre-wetting process in accordance with embodiments provided herein, can be performed in the electroplating chamber or in a separate pre-wetting station of a module which includes a pre-wetting station and an electroplating station. In some embodiments pre-wetting and electroplating are performed in separate apparatuses.
  • the substrate typically is a semiconductor wafer which has a layer of conductive material residing thereon (e.g., a seed layer comprising copper or copper alloy).
  • a layer of conductive material residing thereon (e.g., a seed layer comprising copper or copper alloy).
  • a plating solution containing a metal salt (e.g., copper sulfate, a copper alkylsulfonate, or a mixture of salts), which is reduced at the wafer cathode resulting in metal deposition on the wafer.
  • the substrate contains one or more recessed features (e.g., vias and/or trenches), which need to be filled by the electroplating process.
  • the plating solution in addition to metal salts, may also contain an acid, and typically contains one or more additives such as halides (e.g., chloride, bromide, etc.), accelerators, levelers, and suppressors, used to modulate electrodeposition rates on various surfaces of the substrate.
  • halides e.g., chloride, bromide, etc.
  • accelerators, levelers, and suppressors used to modulate electrodeposition rates on various surfaces of the substrate.
  • the disclosed processes and associated apparatus designs are particularly applicable and necessary for electrofilling wider (e.g., typically greater than 5 ⁇ m) and deeper (e.g., typically greater than 10 ⁇ m) damascene structures (vias), such as those commonly found in emerging copper through silicon via (TSV) electrofill structures.
  • trench trench
  • Through silicon via structures are further described in U.S. patent application Ser. No. 12/193,644, filed Aug. 18, 2008, which is herein incorporated by reference. Gas bubbles, trapped or otherwise residing on the surface or within a feature, will interfere with the field and feature plating process either by blocking the feature surface with the non-conducting gas, or by creating an impediment to the free passage of current.
  • the disclosed processes and associated apparatus designs enable void-free copper electrofilling.
  • Electroplating and electrofill of TSV interconnections present a number of challenges. These include long plating times due to very large and/or deep structures, and formation of side wall voids due to seed layer corrosion reactions with plating electrolyte solutions and due to insufficient coverage of lower sidewalls by PVD-deposited seed layer. Further, it is important to ensure that the interior of all recessed features are filled with liquid and that there are no trapped gasses inside the features that prevents plating therein. It is also advantageous to simultaneously maintain strong wall and field plating growth-suppression while removing plating resistance selectively at the bottom of the feature.
  • pre-wetting apparatus designs and methods described herein are generally described with respect to electroplating (a cathodic process) a metal, specifically copper. However, the pre-wetting apparatus designs and methods described herein are generally applicable to all electrolytic processes, including eletroetching and electropolishing, both of which are anodic processes.
  • the concentration of dissolved gas at the bubble interface with the liquid is related to internal bubble pressure by Henry's law, one form of which can be expressed as:
  • C is the concentration of a component of dissolved gas molecules in the liquid phase at the bubble interface (e.g., nitrogen, oxygen, etc., each in moles/l)
  • x i is the mole fraction of that component in the gas phase inside the bubble itself
  • H i is the Henry's law constant
  • P i is the pressure inside the bubble. This equation can be written for each molecular component of the gas in a mixture of gases (e.g., one for oxygen, one for nitrogen, etc.).
  • V is the bubble gas volume
  • t time
  • D is the diffusion coefficient of the gas in the solution
  • h is the distance from the top of the trapped bubble to the edge of the boundary layer thickness, which resides at a distance ⁇ above the upper wafer plane
  • subscript b corresponds to conditions in the bulk of the solution at the diffusion boundary layer interface.
  • Trapped gas held within a small damascene feature can exhibit a very large internal pressure, due to strong internal capillary forces.
  • the total internal capillary pressure is proportional to the contact angle and the surface tension, and inversely proportional to radius of curvature of the bubble,
  • P i is the total internal pressure within the bubble
  • P ext is the external pressure of the fluid (typically about 1 atmosphere)
  • a is the liquid/gas surface tension
  • is the solid/liquid/gas contact angle
  • r is the radius of curvature. Note that the radius of curvature r cannot significantly differ from the feature width, so one can often substitute the radius of the via as an approximation for a bubble's radius of curvature. With a small via, the total internal pressure (and hence the partial pressure of each component) can become very large, exceeding several atmospheres or more.
  • Vi is the initial volume of the bubble, under 1 atmosphere of pressure (i.e., only 50% of each via is filled with a bubble for generating these plots).
  • the pressure on the fluid is still one atmosphere, but the partial pressure of dissolved gas in the bulk of the liquid is only equivalent to that in equilibrium with 0.2 atmospheres of gas pressure.
  • This condition could be achieved, for example, by having a trapped bubble form by flooding the surface with a degassed fluid with a pressure of 0.2 atmospheres while the pressure of gas over the fluid is 1 atmosphere.
  • the amount of dissolved gas in the liquid is equal to that in equilibrium with 1 atmosphere of pressure, but the pressure on the liquid and bubble is equal to 3 atmospheres of pressure.
  • This condition could be achieved, for example, by having a trapped bubble form by flooding the surface with atmospheric-saturated liquid, and then applying an external pressure over that via/liquid/wafer of 3 atmospheres. In this case, the bubble immediately shrinks in size to 1/3 its original volume.
  • Curves A and F of FIG. 1 show that the time of dissolution of a bubble inside a via where the solution is saturated with air changes more than 5 orders of magnitude between a via 0.2 ⁇ m in size versus 50 ⁇ m in size.
  • bubbles are unstable and dissolve quickly, but in larger features, bubbles will persist for very long times.
  • calculations indicate that a relatively large front end of the line structure 1 [ tm in diameter and 4 ⁇ m in depth completely filled with gas will have that gas completely dissolved in less than a 4 seconds.
  • a 0.25 ⁇ m feature, 1 ⁇ m deep is so unstable that it would dissolve in less than 0.4 seconds, and smaller structures essentially dissolve instantaneously.
  • Removing gas from the pre-wetting fluid reduces the time to dissolve a trapped bubble.
  • the right term of the driving force (x b P b in equation 2) is diminished by stripping the gas from the solution, for example by reducing the partial pressure of the gas exposed to the pre-wetting fluid in a degassing unit under partial vacuum (i.e., by driving down the magnitude of this product in the gas side of the degassing unit, gas is driven out of the fluid).
  • the gas in the trapped bubble is at approximately 1 atmosphere of pressure or more (when there is significant capillary pressure).
  • the concentration of gas will be at near equilibrium with that of the same 1 atmosphere or more or pressure, but in the solution, the concentration as a whole, due to the degassing operation, is at a much lower concentration. This creates a significant concentration driving force and degree of sub-saturation of the gas in the solution (chemical “capacity”) to enable rapid dissolving the bubble.
  • This procedure at first may appear to be appealing, but may suffer from two limitations.
  • Second, since the amount of gas in the solution can never be less than zero, the magnitude of the driving force for dissolution is limited to be no more than approximately Hx i P (P 1 atmosphere). Comparing curves B and C to A and F of FIG. 1 , the rate of dissolution of large features (e.g., 50 ⁇ m) decreases by a more than one order of magnitude over the non-degassed gas, but the dissolution time is generally still unacceptably long (e.g., at least 5-10 minutes). Note that the rate of dissolution of smaller features are not significantly affected by the use of a degassed solution, because the process is dominated by the large excess internal bubble pressure compared to the increase in 1 atmosphere of dissolve gas driving force.
  • FIG. 2 shows the bubble dissolution time for various feature dimensions (at 90 rpm rotation, 60 dyne/cm), where the amount of dissolved gas is the independent parameter.
  • the bubble is initially 50% of the via size, and there is an external pressure of 1 atmosphere on the fluid and bubble, though the dissolved partial pressure changes as a function of the x axis.
  • the concentration of dissolved gas corresponds to the dissolved gas pressure on the x-axis, related by Henry's law. These partial pressure would be obtained, for example, by degassing the contacting fluid to the extent of the x-axis parameter. Bubbles in smaller, less deep features dissolve more quickly, the rate aided by the large internal capillary pressure.
  • the apparatus designs and methods described herein avoid the formation of a bubble within a recessed feature (e.g., a via) on a wafer substrate by first removing gas, primarily all non-condensable gasses (e.g., nitrogen and oxygen), from within the feature before pre-wetting the surface and feature with a fluid.
  • gas primarily all non-condensable gasses (e.g., nitrogen and oxygen)
  • the wafer with the recessed features is placed in a vessel that is suitable for both holding the wafer and removing the gas from the wafer surfaces (e.g., a vacuum vessel).
  • a mechanism for removing the gas e.g., a line connected to a vacuum source such as a pump
  • a mechanism for depositing liquid onto the surface while the vacuum conditions are maintained are needed.
  • a pre-wetting apparatus includes various elements.
  • a pre-wetting apparatus includes a pre-wetting fluid storage and return tank, including liquid mixing devices and liquid level controllers and sensors.
  • the apparatus includes a pre-wetting fluid degassing flow loop.
  • Such a degassing flow loop includes a circulating pump, routing/diverting valves, a liquid degassing element, and a connection between the liquid degassing element and the system vacuum pump (used to pump down and apply vacuum to various liquid degassing elements on the tool and the pre-wetting chamber), in some embodiments.
  • a pre-wetting apparatus also includes a pre-wetting chamber.
  • a pre-wetting chamber in some embodiments, includes a two position (open/closed) vacuum wafer access door or lid for access to the chamber and a combined door or lid and splash shield that prevents liquid from hitting and subsequently dropping from the upper walls or door onto the wafer surface.
  • inside the chamber is a wafer holder for supporting and rotating the wafer within the chamber.
  • the chamber includes an air-dome chamber-heater, used to prevent liquid condensation on the walls of the chamber that would otherwise reside above the wafer and the vacuum wafer access door and potentially drip onto the wafer.
  • Pre-wetting chambers typically include an inlet port for pre-wetting fluid to enter the chamber and to direct pre-wetting fluid to land on the upper surface of the rotating wafer and an inlet line and chamber port for drawing and releasing vacuum on the chamber, the inlet line containing a particle filtration device and the inlet port containing a flow diffuser configured to disperse incoming gas flow and minimize chamber flow turbulence.
  • the chamber includes liquid level sensors for monitoring an empty/ready and overflow/over-full condition.
  • Pre-wetting chambers also typically include a drain for removing liquid from the chamber and directing the drained fluid back to the storage tank.
  • Embodiments described herein overcome the deleterious effects of trapped bubbles, particular those bubbles which can be formed in larger vias or trenches in a wafer, by: (1) avoiding trapping gas in the via during pre-wetting altogether by removing substantially all of the atmospheric non-condensable gasses above the wafer and from within the via, and then pre-wetting the wafer with pre-wetting fluid; and/or (2) significantly increasing the rate a bubble will dissolve by applying a large external pressure on the fluid, thereby driving the bubble to dissolve in the fluid by creating a large supersaturated condition at the bubble interface.
  • plating is performed in a plating solution that is maintained in a degassed state, and in other embodiments, the plating solution is degassed in the line just prior to being exposed to the wafer surface.
  • pre-wetting within an electroplating cell, where the pre-wetting fluid has the same composition as the plating solution.
  • pre-wetting including vacuum feature-backfilled pre-wetting
  • the composition of the pre-wetting fluid can be selected.
  • the pre-wetting fluid may have the same, or very similar, composition as that subsequently used for plating the wafer.
  • the pre-wetting fluid may include all the elements of the plating bath (e.g., the same solvent(s) and same dissolved metal ions, acids, cation, additives and halides, at the same or very similar concentrations as in the plating solution). Such a pre-wetting fluid may work in some embodiments. Alternatively, in other embodiments, a pre-wetting fluid that is very different from the plating solution may be used.
  • a pre-wetting fluid of 1) water, 2) a fluid with a substantially higher metal ion concentration than that of the plating solution, 3) a fluid having either a lower, different combination of, or no dissolved halides, 4) a fluid substantially free of one, a few, or all of the plating additives, or 5) water-miscible solvents may be used as pre-wetting fluids.
  • Such pre-wetting fluids are further described herein.
  • a number of factors should be considered when selecting a pre-wetting fluid composition including the possibilities of: a) corroding the metal layers on a wafer substrate before initiation of plating; b) inhibiting the plating process (i.e., slowing down or inhibiting altogether the feature metal-filling process); c) the loss of pre-wetting fluid to subsequent pre-wetting fluid reuse; and d) altering (by adding, diluting, or concentrating) various critical species concentrations within the plating bath over time.
  • the latter process may alter the metal ion concentrations, halide concentrations, organic additives, etc., in the plating bath.
  • FIG. 3 depicts a schematic layout of one embodiment of a pre-wetting apparatus (i.e., chamber 301 and associated hardware).
  • the chamber 301 is connected to vacuum pump 303 though an outlet in the chamber and through a three-way valve connection 305 .
  • degassing loop 306 On the other side of the three-way valve is degassing loop 306 that includes a pre-wetting fluid tank 307 , a degassing device 309 , and a pump 311 for circulating the pre-wetting fluid around the degassing loop.
  • the pre-wetting fluid feed line and the vacuum line are not connected except at the chamber, and each has its own valve (i.e., there is no three-way valve).
  • the chamber has an inlet for admitting pre-wetting fluid and an outlet adapted for connection with a vacuum pump.
  • the position of the pump 311 can after the degassing element, if it is desired to drive the fluid into the chamber by the pump rather than by being sucked into the chamber by a pressure differential between the pre-wetting fluid tank 307 and the chamber 301 .
  • the area in the pre-wetting fluid holding tank 307 gasses by applying a vacuum to the holding tank using a vacuum pump (not shown) so that a minimum amount of dissolved gas is achieved.
  • the rate or removal of the gas from the pre-wetting fluid can also be increased by increasing the exposed surface of the fluid to the vacuum, for example, by having the fluid re-enter the chamber from the circulation loop in a spray or through a spray column. In the embodiment of the system shown in FIG.
  • pre-wetting fluid is circulated though the degassing device 309 (e.g., in some embodiments, a membrane contact degasser) for removing one or more dissolved gases (e.g., both O 2 and N 2 ) from the pre-wetting fluid prior to pre-wetting.
  • the degassing device 309 e.g., in some embodiments, a membrane contact degasser
  • one or more dissolved gases e.g., both O 2 and N 2
  • dissolved gases e.g., both O 2 and N 2
  • Examples of commercially available degassing devices include the Liquid-CelTM from Membrana of Charlotte, N.C. and the pHasorTM from Entegris of Chaska, Minn.
  • the amount of dissolved gas can be monitored with an appropriate meter (e.g., a commercial dissolved oxygen meter (not shown)).
  • the removal of the dissolved gas prior to the pre-wetting fluid entering chamber 301 can improve the pre-wetting process, as is described herein.
  • the valve 315 between the vacuum side of the degassing chamber 309 and the vacuum pump 303 is closed (this prevents gas initially in the chamber from becoming dissolved in the degassed pre-wetting fluid; in some embodiments, separate pumps can be used for these two functions).
  • a via bottom is a location of negative curvature, and it is believed that this location is a particularly susceptible to nucleating a bubble and releasing gas from the pre-wetting fluid. If this occurs, bubbles will be formed from the pre-wetting fluid containing dissolved gas because it is supersaturated with gas under the pre-wetting conditions (e.g., vacuum in the chamber).
  • the pre-wetting fluid used in the pre-wetting process is a degassed pre-wetting fluid.
  • the degassed pre-wetting fluid may be a plating solution, and the pre-wetting methods described herein may be preformed in the same chamber as the plating chamber itself. If a separate pre-wetting chamber and apparatus are employed, but the pre-wetting fluid is not degassed, then intermittent and unreliable filling results may be observed.
  • a combination of a degassed pre-wetting fluid with pre-wetting under vacuum is further combined with plating in a plating solution that is degassed.
  • the plating solution may be degassed only in the initial stages of plating (e.g., for only about the first 10 minutes of the plating process), or remain degassed for the entire plating process (e.g., if the plating time is greater). Experiments performed under these conditions produced vias that were void free.
  • the three-way valve 305 to the vacuum pump location is switched to connect to the line from the degassing loop 306 , and the three-way valve 313 of the degasser loop is set to allow fluid to be directed into the vacuum chamber 301 .
  • the subatmospheric pressure is about equal to that of the boiling pressure of the pre-wetting fluid at the temperature of operations, which for water at ambient temperature is about 20 torr.
  • the subatmospheric pressure is about 50 torr.
  • the pressure of 50 torr is maintained while pre-wetting the wafer substrate.
  • the pre-wetting system is configured to initiate introduction of the pre-wetting fluid into the chamber and onto the wafer substrate after the pressure in the chamber has been reduced to less than about 50 Torr.
  • the pre-wetting fluid tank 307 is at atmospheric pressure, liquid is drawn into the chamber 301 by the pressure differential between the vacuum chamber and the pre-wetting fluid tank.
  • the pre-wetting fluid wets the device side of the wafer surface of a wafer in the chamber 301 .
  • Needle valve 317 can be used to meter the flow of the pre-wetting fluid into chamber 301 .
  • Chamber 301 is a pressure chamber configured to apply an external pressure to increase the rate of bubble dissolution, as described herein.
  • the pre-wetting apparatus includes a transfer mechanism configured for transferring the wafer substrate from the pre-wetting chamber to an electroplating apparatus.
  • the pre-wetting fluid is cooled prior to injection into the pre-wetting chamber (e.g., 0° C. for water, or ⁇ 10° C. for suitable electrolytes).
  • the degasser is configured for cooling the pre-wetting fluid to a temperature of less than about 20° C.
  • Other examples of methods for cooling the pre-wetting fluid include passing the fluid over a heat exchanger in the pre-wetting fluid holding tank or though a in-line cooler (both not shown in FIG. 3 ). Cooling the pre-wetting fluid reduces the partial vapor pressure of the solvent of the pre-wetting fluid, which allows for greater applied vacuum, for example, to the degassing device.
  • Lowering the temperature of the pre-wetting fluid can also be effective in increasing both the surface tension and viscosity of the pre-wetting fluid, which tends to make the phenomena of degassing device “blow through” or “weeping” less prevalent. Weeping can be a particularly difficult problem when dealing with salt containing pre-wetting fluids, because weeping salt laden fluids tend to dry and destroy the pores of the degassing device.
  • Using lower temperature fluids reduces the tendency of salt laden electrolyte to evaporate and flow, avoiding this known source of degassing device failure.
  • the vapor pressure of water (with a small amount of salt) is about 2.7 to at ⁇ 10° C. versus 17.5 torr at 20° C.
  • the pre-wetting fluid is cooled to a temperature of less than 20° C., for example 0° C. or less, while it is degassed and before it enters the processing chamber. Also, reducing the temperature of the pre-wetting fluid reduces the rate of metal corrosion in the pre-wetting system.
  • the surface of a wafer is wetted with a pre-wetting fluid followed by the application of an external pressure to the fluid.
  • the wafer surface is first contacted with the fluid using an appropriate mechanism, usually immersing the wafer in a pre-wetting fluid (described herein).
  • the pre-wetting chamber includes an inlet for admitting pre-wetting fluid and the chamber is configured for operating at a higher than atmospheric pressure during or after pre-wetting. The application of an external pressure to the fluid facilitates the removal of bubbles.
  • the pre-wetting fluid is preconditioned so that it is substantially free not just of oxygen (e.g., to minimize corrosion of the metal on the wafer), but of all dissolved non-condensable gasses, such as nitrogen and carbon dioxide, prior to the pre-wetting of the surface, to accelerate the dissolution rate of any trapped bubble in a recessed feature.
  • Exposure of a wafer to deoxygenated processing fluid for use in the treatment of a semiconductor wafer is described in U.S. Pat. Nos. 6,021, 791 and 6,146,468, which are incorporated herein by reference.
  • the region around the wafer e.g., a pressure chamber
  • Pressure may be applied pneumatically (e.g., introducing high pressure gas into the chamber in the area over the fluid), or hydraulically (e.g., with the chamber substantially free of non-dissolved gas and using a hydraulic piston or other suitable device to apply external pressure to the fluid).
  • pneumatic (gas) pressure to compress the trapped bubble, it may be important to avoid dissolving substantial amounts of gas into the pre-wetting fluid, particularly in the vicinity of the bubble.
  • a stagnant, relatively thick layer of fluid for example, greater than 1 cm in thickness
  • the pneumatic pressure is applied to the chamber though a long tube with a substantial resistance for dissolution of gas from reaching the interface so that the gas that contacts the liquid does so over a relatively small surface area and has a relatively long diffusion path, limiting the amount of gas that can dissolve in the fluid over a period of time.
  • the driving force for dissolution of the trapped bubble will increase with applied pressure.
  • the driving force for dissolution will be approximately equal to the product of the initial mole fraction of the particular gas component in the bubble and the difference in applied pressure to the chamber and the initial partial pressure of dissolved gas in the fluid. This later quantity will vary depending on the extent of degassing performed on the pre-wetting fluid.
  • pressure can be applied either pneumatically or hydraulically, in pre-wetting embodiments that are not immersion embodiments, but rather coverage of a wafer with a thin layer of pre-wetting fluid
  • the pneumatically applied external pressure will potentially allow gas to redissolve quickly into a (e.g., degassed) thin layer of pre-wetting fluid.
  • gas uptake from the external pressurized gas source versus gas dissolution into the liquid from the bubble. Therefore, a relatively thick layer of pre-wetting fluid should be used for non-immersion pre-wetting operations.
  • the bubble When pressure is applied, with the gas partial pressure in the bubble exceeding that in the pre-wetting fluid, the bubble will begin to dissolve. Eventually the bubble will completely dissolve, the total time for which depends on parameters such as its initial size, the applied pressure, and the original depth of the bubble inside the feature. After the bubble has completely dissolved, some time should generally be allowed to pass before the pressure is released, so that any excess dissolved gas (beyond that which will be soluble at 1 atmosphere) can equilibrate into the pre-wetting fluid as a whole. This avoids the possibility of re-nucleating a bubble inside the feature. When this is procedure is followed, the bubble will be removed from the feature and not reform upon release of the excess external pressure.
  • curves D and E (90 versus 12 rpm rotation in a plating bath respectively) are calculated for the rates of bubble dissolution as discussed above, but in this case a) with the amount of initial gas dissolved in the solution equal to that in equilibrium with 1 atmosphere air (same as condition A, i.e., no degassing of the contacting fluid) and b) with an external applied pressure of 3 atmospheres.
  • the total pressure of dissolved gas in the bulk fluid is equal to air at 1 atmosphere, and at the interface of the bubble, in equilibrium with 3 atmospheres of pressure.
  • g is the acceleration of gravity and ⁇ is the pre-wetting fluids kinematic viscosity (fluid viscosity divided by fluid density).
  • centripetal force could be applied by spinning the wafer with the wafer opening pointed towards the center of rotation, helping to drive the bubble inwards.
  • Equations 4 and 5 underestimate the actual bubble rise time when the bubble diameter is close to the size of the via. This underestimation becomes a factor when the assumption of the bubble rising in an infinite media becomes fundamentally incorrect (i.e., for bubble diameters greater than about 1 ⁇ 4 the feature diameter size). The shear flow stresses between the movement of the rising bubble and the via wall begin to dominate under such circumstances. Still, the conditions that satisfy the assumptions can be achieved simply by applying more pressure to the system (shrinking the bubble further), or by simply accounting for the expected longer bubble rise/clearing time when the bubble diameter is close to the via diameter.
  • FIG. 4 One embodiment of a pre-wetting chamber is shown in FIG. 4 .
  • the pre-wetting chamber is configured for delivering the pre-wetting fluid onto the wafer substrate in a liquid form.
  • the pre-wetting chamber may also be configured for spraying or streaming the pre-wetting fluid onto the wafer substrate for a period of time.
  • a wafer 401 is held face-up in pre-wetting chamber 403 with wafer holder 402 .
  • the wafer holder is configured to hold the wafer substrate in substantially a horizontal orientation (i.e., parallel to the Earth's horizon), during the pre-wetting process.
  • the wafer holder is configured to hold the wafer substrate in substantially a vertical orientation during the pre-wetting process.
  • vacuum is first pulled on chamber 403 though vacuum port 409 , which is connected to a vacuum system (not shown). This reduces the pressure in the chamber to a subatmospheric pressure.
  • pre-wetting fluid is delivered onto the wafer surface from the nozzle 405 or other mechanism.
  • the pre-wetting fluid is degassed prior to contacting the wafer surface, again, to avoid gas being released as the pre-wetting fluid enters the vacuum environment.
  • the wafer may be rotated with motor 407 during the pre-wetting fluid delivery process to insure complete wetting and exposure of the wafer.
  • the pre-wetting chamber is configured to deliver the pre-wetting fluid onto the wafer substrate.
  • the pre-wetting fluid is liquid.
  • the pre-wetting fluid (a liquid) first contacts the rotating wafer substrate within about 3 cm of the center of the wafer substrate. After pre-wetting, the wafer is spun at a low rpm with motor 407 to remove entrained pre-wetting fluid, but leaving a thin layer of fluid on the wafer surface. Excess pre-wetting fluid is drained and exits the vacuum chamber through port 411 . The wafer is then transferred to a standard plating cell such as a Novellus clamshell cell for plating with a thin layer of pre-wetting fluid retained by surface tension on its surface and within its features.
  • a standard plating cell such as a Novellus clamshell cell for plating with a thin layer of pre-wetting fluid retained by surface tension on its surface and within its features.
  • FIG. 5 depicts an isometric view of an embodiment of a pre-wetting chamber suitable for performing pre-wetting process described herein.
  • FIG. 5 is a detailed schematic of a pre-wetting chamber similar to the embodiment shown in FIG. 4 .
  • Pre-wetting chamber 501 includes a motor 503 for rotating the wafer during processing is anchored below the chamber via the chuck to chamber base 504 by a motor-and-bearing supporting member 505 , both of which also create a fluid seal between the bearing 507 and the underside of the chamber and the bearing.
  • the bearing is a commercially available vacuum-pass-through central shaft rotary bearing.
  • the motor is attached via a coupling 509 to a drive shaft 511 that passes though a vacuum isolating bearing to the chuck base 513 .
  • the chuck has three arms ( 515 is one arm) to support the wafer (wafer not shown), confinement pins, and other alignment apparatus 517 as appropriate.
  • a drain 519 for removing excess pre-wetting fluid that may accumulate there after it is applied to the rotating wafer.
  • the fluid is flung out toward the chamber walls and drops to the chamber base.
  • a wafer peripheral “fluid defector shield” (not shown) is positioned approximately in the plane of the wafer to deflect fluid emanating from the wafer edge downward before hitting the chamber wall.
  • the deflector shield may be moveable, or the wafer and wafer chuck plane may be adjusted by appropriate vertical moving mechanisms and seals.
  • a vacuum inlet and vacuum release line 521 housed in a fluid protecting shield 523 is some embodiments.
  • This shield helps prevent surges of gasses from unnecessarily disturbing fluids within the chamber as well as minimizing the amount of liquid drawn into the vacuum line by isolating the two.
  • the vacuum line (and shield) can be located in the upper section of the chamber, it is advantageous to draw vacuum from below the wafer so as to minimize the propensity of any particles falling onto the wafer and forming defects. This can occur if particles or other materials enter the chamber during back filling the chamber with a gas or from the ambient environment while the chamber door is open.
  • the chamber is typically backfilled with a particle-filtered inert gas such as nitrogen, carbon dioxide, or argon, and a slight positive pressure of clean particle free gas is feed to the chamber while the door is open.
  • the backfill gas is typically filtered and the entering fluid enters a flow diffuser mounted on the wall of the chamber, so as to avoid a gas flow jet that might dry the wafer or disturb any chamber contents unnecessarily.
  • a pre-wetting fluid nozzle 525 is located above and to the side but not over the centrally located wafer and wafer chuck, oriented and configured to spray or stream fluid to reach the wafer central regions.
  • the pre-wetting fluid nozzle is attached to a movable arm which can be positioned over the wafer.
  • the chamber vacuum door 527 is located along the walls of the chamber, and configured to seal to the chamber itself. It can be moved away from the chamber as well as downwards (or upwards) so a wafer can enter the chamber freely, and then be repositioned to the sealing position after a wafer is placed onto the wafer holding chuck.
  • the doors and other elements that potentially could hold entrained fluid should be designed such that the fluid may not drip onto the wafer.
  • the door's retracted position and associated hardware may be positioned below the plane that the wafer creates on insertion into the chamber, so as to avoid dripping fluid of otherwise contaminating the wafer during transit in or out of the chamber.
  • the upper section of the chamber are heated above the temperature of the wafer that is to be pre-wetted.
  • This heating is useful in avoiding liquid from dropping from the ceiling of the chamber onto the wafer before vacuum conditions are established, potentially trapping an air bubble inside a via where the drop fell, circumventing the desired process of putting a pre-wetting fluid onto the wafer only when air is first removed from the vias.
  • a vertically moveable and automatable splash shield is positioned peripheral to the wafer and chuck and inside the chamber.
  • the splash shield can be moved upwards during the application of fluid or at other times as suited to minimize and avoid, among other things, liquid from contacting the chamber door or upper walls.
  • the wafer chuck can be moved downwards deeper into the chamber and below the plane of the vacuum door after wafer insertion, accomplishing the same purpose.
  • the wafer is immersed in or otherwise covered with a pre-wetting fluid (e.g., by condensation) while vacuum conditions are maintained above the fluid and wafer. Since the creation of a vacuum within the chamber creates conditions where there is substantially no non-condensable gas in the chamber, the pre-wetting fluid is not impeded from entering a via. Put another way, the liquid does not need to displace any gas located within a via during pre-wetting, since the gas has been removed in a separate operation (pulling vacuum) prior to the pre-wetting operation.
  • a pre-wetting fluid e.g., by condensation
  • a condensable fluid vapor is created within the chamber or introduced to the chamber (e.g., vapors of water (e.g., low pressure steam), methyl alcohol, dimethylcarbonate, diethylcarbonate, isopropyl alcohol, dimethyl sulfoxide, and dimethyl formamide, or other liquid used as the subsequent plating electrolyte, easily dissolvable in a subsequent rinse, or soluble in the subsequent plating electrolyte).
  • FIG. 6 depicts an embodiment of a pre-wetting chamber that is configured for such a condensation pre-wetting process.
  • chamber 601 that has a moveable vacuum lid (alternatively an access door) 609 allowing access to the chamber, a line to a vacuum source 611 , a vacuum release line 613 , and a condensable fluid inlet 615 .
  • Vacuum seal 617 seals the lower vacuum containment vessel 619 from the rest of the chamber.
  • the wafer 603 sits on a wafer cooling element (chiller) 605 that is part of the wafer holding fixture (chuck) 607 .
  • the wafer cooling element 605 reduces the wafer substrate surface temperature to a temperature below the condensation temperature of the pre-wetting fluid that flows into the chamber thorough inlet 615 as a vapor.
  • water is simply heated and allowed to vaporize (i.e., boil) in the chamber, and allowed to condense on the surfaces, including and preferentially on the cooler wafer 603 , inside the chamber.
  • a small amount of water in the lower section 619 of the chamber could be heated and allowed to flash simultaneously while vacuum is pulled inside the chamber.
  • the connection to the vacuum can be removed (closed) at some point during the process.
  • FIG. 7 depicts an embodiment of a pre-wetting chamber configured for such an immersion pre-wetting process.
  • the wafer 701 is held in wafer holder 702 in a chamber 703 .
  • Chamber 703 has an inlet 711 for admitting pre-wetting fluid.
  • the wafer is held in the wafer holder face up, and held by an appropriate mechanism that still allows fluid to reach wafer from the peripheral edge. Vacuum is pulled on chamber 703 though vacuum port 707 , which is connected to a vacuum system (not shown).
  • the wafer is wetted with a pre-wetting fluid by, for example, either 1) the wafer and wafer holder moving down into the pre-wetting fluid 713 or 2) the pre-wetting fluid level rising by fluid entering through inlet 711 .
  • the wafer may be slowly rotated with motor 705 .
  • the liquid level is lowered, or the wafer raised, and the wafer is spun at low rpm with motor 705 to remove excess entrained fluid, leaving a thin pre-wetting fluid layer.
  • a flow of nitrogen gas through port 709 may also be used to dry the backside of the wafer while the frontside of the wafer remains wetted.
  • the wafer is then transferred to a standard clamshell for plating.
  • the wafer can be held in a face down position.
  • the pre-wetting apparatus is configured to initiate immersion of the wafer into the pre-wetting fluid after the pressure in the chamber has been reduced to less than about 50 Torr.
  • the pre-wetting chamber 703 shown in FIG. 7 can be used in embodiments in which an external pressure is applied to dissolve bubbles, as described herein. The chamber and other components would need to withstand internal pressures instead of or in addition to vacuum.
  • FIG. 8 depicts another embodiment of a pre-wetting chamber configured for an immersion pre-wetting process.
  • FIG. 8 shows pre-wetting chamber 801 , wafer 809 , and fluid 813 or wafer holder 803 moving relative to each other.
  • the chamber and wafer holder 803 can be tilted for precise control of the pre-wetting front and complete liquid removal from the chamber.
  • the gap between the wafer 809 and the bottom of the chamber is small.
  • pre-wetting fluid in FIG. 8 may enter/exit though port 811 and a vacuum may be pulled on chamber 801 though vacuum port 807 , which is connected to a vacuum system (not shown).
  • Excess entrained fluid may be removed from the wafer surface by spinning it at a low rpm with motor 805 .
  • the embodiment shown in FIG. 8 is particularly useful when pre-wetting the wafer substrate surface with a high-cost pre-wetting fluid, or when it is otherwise desirable to use a minimal amount of pre-wetting fluid (e.g., so the level of dissolved gas can be maintained at low levels).
  • the wafer is transferred to a standard clamshell for plating.
  • a similar design of a narrow-gap, tilted surface pre-wetting apparatus, but without a mechanism for applying a vacuum during the pre-wetting operations, is described in U.S. patent application Ser. No. 11/200,338, filed Aug. 9, 2005, herein incorporated by reference.
  • the chamber shown in FIG. 8 can also be used in the embodiment in which an external pressure is applied, as described above.
  • the chamber and other equipment is designed or modified to be able to withstand and maintain internal positive pressures.
  • FIG. 9 An embodiment of an apparatus in which the pre-wetting process is performed in a plating cell is shown in FIG. 9 .
  • the pre-wetting chamber is configured to both pre-wet a wafer substrate and to electroplate a layer of metal on the pre-wetted wafer substrate.
  • chamber 901 is a plating cell, with a vacuum sealing surface being a section of the cell wall 903 .
  • Wafer holding fixture 905 holds wafer 915 .
  • the plating cell contains an ionically resistive ionically permeable high resistance virtual anode (HRVA) 907 and a separated anode chamber (SAC) region 909 .
  • HRVA ionically resistive ionically permeable high resistance virtual anode
  • SAC separated anode chamber
  • this fluid is pre-wetting fluid, and in other embodiments, this fluid is a plating solution.
  • the fluid is degassed prior to contacting the wafer surface. Since there is no gas in the chamber, the fact that the wafer is face down does not lead to any trapped gas-containing bubbles below the surface or inside the vias. After the pre-wetting is complete, the vacuum can be released.
  • Electroplating a metal (in some embodiments, copper) on wafer 915 can then begin. It is generally simpler (mechanically and processing conditions) to perform plating at ambient pressures, with or without wafer rotation. Alternatively, the vacuum can be held throughout the electroplating process. Again, it is advantageous in this and other embodiments to have the fluid degassed prior to performing the pre-wetting operations. Otherwise the fluid may release dissolved gas, forming bubble inside the features or on the surface as gas is driven out of the liquid by the lower pressure.
  • FIG. 10 depicts an embodiment of an electroplating system/module 1001 for processing wafers.
  • the particular tool layout shown contains two separate wafer handling robots, 1003 which moves a “dry” wafer from a cassette stationed in a front FOUP (front opening unified pods) loader 1005 to an aligner module/transfer station (not shown) and a transfer chamber robot 1004 .
  • the aligner module ensures that the wafer is properly aligned on a transfer chamber robot 1004 arm for precise delivery to other chambers/modules of the system.
  • the aligner module both aligns the wafer azimuthally (so called “wafer notch aligning”) as well as in the vertical and horizontal planes to a particular location (i.e., fixes the wafer's x, y, and z position registry).
  • a back end robot (not shown) may contain two or more arms, each with a single or multiple “end-effectors” to grip the wafer. Some “end-effectors” grip the wafer at the bottom of the wafer with a vacuum “wand”, and others may hold the wafer only at is peripheral edge.
  • one robot wafer handling arm end-effectors is used only for handling a wafer that has a wet surface, and the others reserved for handling only fully dry wafers, thereby minimizing contamination.
  • a pre-wetting apparatus is a station in a module, the module further including an electroplating station configured to electroplate the wafer with a metal, the metal being copper in some embodiments), the various embodiments of which are described herein.
  • system 1001 is configured for an anodic process.
  • the module further includes a station configured for an anodic process, such as electroetching or electropolishing.
  • the pre-wetting chamber 1013 is either configured to pre-wet a wafer under vacuum or to apply pressure to a wetted wafer, and in some embodiments, both.
  • a pre-wetting chamber configured to pre-wet a wafer under vacuum as an example, ambient air is removed from the chamber while the wafer is spun. Once vacuum is achieved, the device side of the wafer is exposed to degassed pre-wetting fluid (degassed in module 1015 with a degassing flow loop). After wetting is complete, excess fluid is removed, gas is reintroduced to the chamber to atmospheric pressure, and the chamber is opened to allow the wafer to be extracted by the robot or other transfer mechanism.
  • the transfer mechanism is configured to transfer the pre-wetted wafer substrate from the pre-wetting station to an electroplating station in less than about one minute.
  • the wafer is then placed into an aligner (not shown), such as a notch aligner.
  • an aligner such as a notch aligner.
  • the plating cell may be specially designed to have a seal that traverses the notch area.
  • Plating and feature filling i.e., a layer of metal is electroplated on the wafer substrate
  • the plating solution is a degassed solution.
  • the metal is copper.
  • the electroplating stations are configured to immerse the wafer in a degassed plating electrolyte in the electroplating station.
  • an electroplating station is configured to cathodically polarize the wafer substrate before immersing the wafer substrate in a degassed plating electrolyte.
  • the plating solution can be recycled though a separate degassing loop different from a flow loop between a main plating bath and the plating sell, or by passing though the degassing element in the same loop as the bath/plating cell loop, being degassed just prior to entering the plating cell.
  • the wafer is rinsed with water above the plating cell and spun to remove excess entrained fluid, the wafer holding clamshell apparatus is opened releasing the edge seal and allowing wafer extraction.
  • the wafer is then picked-up from the plating cell and transported in to a metal removing isotropic etching module (ITE module) 1031 .
  • ITE module is a wet etching module used to remove metal from the top of the wafer primarily in the field region over the feature of the plated wafer, while leaving at least some metal inside the recessed features.
  • suitable equipment, etching processes, and etching chemical formulations are described in U.S. Pat. Nos.
  • metal at the edge of the wafer is removed in the ITE module 1031 . Because a wafer is often held in an edge excluding clamshell apparatus, only a thin layer of seed metal exists at the outermost periphery (the original seed layer) prior to the top side global etching performed here. Therefore, after processing here, it is common for the extreme edge of the wafer to be completely bare of metal, while the more central, non-plating protected and edge excluded region may have some metal remaining (however, in other cases, the metal is removed from that region as well).
  • This module can therefore perform both the global etch removal of metal from the wafer as a whole, as well as remove metal from the outer periphery edge and outer peripheral bottom of the wafer, often eliminating the need to perform a more complex edge specific etch process, edge bevel removal (EBR), as described in, for example, U.S. Pat. No. 6,309,981, incorporated herein by reference.
  • EBR edge bevel removal
  • the progress of the etching process and the thickness distribution of the film is monitored in the etching module, for example, by measuring the cross wafer sheet resistance with an eddy current meter, or the reflection of an acoustic signal.
  • the thickness after etching can be measured in the transfer station in the dry state later in the process, and the process results monitored or modified as appropriate to minimize any wafer-to-wafer performance drift.
  • the wafer can be either rinsed and dried in the etching module, or moved to a separate module, a wafer rinsing, cleaning and drying station 1041 .
  • any oxide film that may have formed in the process sequence is removed or reduced (e.g., by applying a dilute acid solution to the surface), any residual chemical not removed by a more cursory rinse in the etching station is removed (both front and back of the wafer), and an edge bevel removal operation is performed as desired (see, for example, U.S. Pat. No. 6,309,981).
  • After rinsing the wafer with water it is spun dry and then removed to a transfer station, where the front end robot re-deposits it into the wafer holder cassette.
  • Dewetting may be described as a physical draining and coagulation of the pre-wetting fluid from the surface (i.e., rather than a drying of the surface), leaving one section of the surface with a thicker film of pre-wetting fluid, and another section with no pre-wetting fluid thereupon. This characteristic behavior is generally associated with a highly hydrophobic surface with respect to the pre-wetting fluid.
  • wetting agents can be added to the pre-wetting fluid to avoid the pooling of the fluid into puddles.
  • oxides, surface contaminants, and other deposited materials on the wafer surface that are exposed to air and humidity may be very hydrophobic.
  • thin copper metal seed layered wafers exposed to air and water vapor will form a thin cuprous oxide layer, which is hydrophobic with respect to water.
  • the oxide film can be removed in certain embodiments by, for example, by adding a small amount of acid (e.g., H 2 SO 4 , H 3 PO 4 ) at a pH where the oxide is no longer stable, to the pre-wetting fluid used in the pre-wetting process.
  • the acid will react with the oxide to form water and the metal salt.
  • the pre-wetting fluid can also contain small amounts of surface tension and contact angle lowering wetting agents (e.g., surfactants, alcohols) which also avoid the phenomena.
  • Pre-wetting fluid chemistry is discussed further herein.
  • the pre-wetting fluid may contain a small amount of metal ions, for example, to aid in avoiding the formation of bacteria in the system or modifying the oxide removal properties.
  • a metal oxide suitable reducing agent may be added to the wetting solution, such as formaldehyde, glyoxylic acid or dimethyl-amine borane, or with a metal ion complexing additive (for copper, examples might include ammonia, glycine, ethylene diamine).
  • the surface oxide or other contaminants can be removed by treating the wafer in a reducing atmosphere (e.g., forming gas or hydrogen in argon), with or without heating, prior to the pre-wetting operation.
  • the temperature of the pre-wetting fluid and the wafer surface can also be increased or decreased from ambient conditions to optimize the retention of fluid on the surface.
  • operations in a pre-wetting chamber or a pre-wetting chamber that is part of an electroplating system are controlled by a computer system.
  • the computer includes a controller including program instructions.
  • the program instructions may include instructions to perform all of the operations needed to pre-wet a wafer substrate.
  • the instructions are for reducing pressure in the process chamber to a subatmospheric pressure and subsequently contacting the wafer substrate with the pre-wetting fluid at a subatmospheric pressure to form a wetting layer on the substrate surface.
  • the wafer substrate may be rotated at a first rotation rate during delivery of a liquid pre-wetting fluid onto the wafer substrate at a subatmospheric pressure, the fluid delivery being performed for between about 10 to 120 seconds.
  • the wafer substrate is rotated at a second rotation rate to remove excess surface entrained pre-wetting fluid from the wafer substrate.
  • the vacuum in the process chamber is released after the delivery of the pre-wetting fluid is stopped and prior to removal of the excess entrained pre-wetting fluid. In alternative embodiments, the vacuum is released after removal of the excess entrained pre-wetting fluid.
  • the wafer may be rotated at different rates in different embodiments.
  • the first rotation rate during delivery of a liquid pre-wetting fluid onto the wafer substrate is less than about 300 rpm and the second rotation rate to remove excess entrained pre-wetting fluid from the wafer substrate is at least about 300 rpm. In other embodiments, the first rotation rate is about 100 rpm or less, and the second rotation rate is at least about 500 rpm.
  • the pre-wetting apparatus is configured for removing excess entrained pre-wetting fluid from the wafer substrate by a method selected from the group consisting of centrifugal spinning, air-knife drying, and wiping and the controller includes program instructions for performing these operations.
  • a vacuum is first created in the environment around the wafer. Then, the wafer surface is sprayed with, streamed with, covered with, or immersed in sufficient (in some embodiments, degassed) pre-wetting fluid, eventually exposing the entire wafer to a sufficiently thick liquid layer.
  • the layer may not cover the entire surface at all times, until later in the process.
  • the wafer surface is then left immersed or otherwise exposed to a pre-wetting fluid layer for a period of time (e.g., by continuing to spray, stream, cover, or immerse the surface with additional fluid) until adsorption (or reaction) of any pre-wetting fluid constituents at the wafer surface have substantially reached completion/equilibrium and a favorable/uniform wetting character (hydrophilicity, low contact angle) is achieved.
  • adsorption (or reaction) of any pre-wetting fluid constituents at the wafer surface have substantially reached completion/equilibrium and a favorable/uniform wetting character (hydrophilicity, low contact angle) is achieved.
  • the spraying, streaming, or covering of the wafer with pre-wetting fluid is stopped.
  • vacuum is released and then excess entrained fluid is removed from the (now) completely hydrophilic surface (e.g., by centrifugal spinning, air-knife drying, squeegee wiping, etc.), leaving a thin uniform adherent layer of pre-wetting fluid on the surface.
  • excess entrained fluid is removed before releasing vacuum.
  • the wafer is transferred to plating cell to plate the wafer.
  • a hydrophobic surface/fluid combination can lead to the fluid receding from, and uncovering a portion of, the wafer surface, for example, starting from the wafer edges. This de-wetting may cause the fluid to be drawn out from within any recessed features within the wafer substrate, possibly leading to gas being trapped within the feature on immersion into the plating bath.
  • Hydrophobic surfaces particularly those that have completely de-wetted in some regions, have non-uniform fluid pre-wetting layer thickness over the wafer substrate.
  • the pre-wetting fluid in use has a different composition than the plating bath, the subsequent immersion of the pre-wetted wafer into the plating solution will not allow for a uniformly wetted surface if the pre-wetting fluid has not wetted the wafer properly.
  • the non-uniformly wetted wafer will cause the diffusion times and concentrations various components to be different across the wafer's surface due to the thickness of the wetted layer.
  • the pre-wetting fluid should create a uniform, small contact angle with respect to the entire wafer surface, for example, a contact angle of about 45 degrees or less, if possible.
  • a contact angle of about 45 degrees or less
  • this transformation specifically when occurring under vacuum and with a degassed pre-wetting fluid, leads to particularly favorable low defectivity when combined with the subsequent plating operation.
  • sufficient time to pass is allowed to pass (e.g., 5 seconds to 1 minute) while the surface is immersed in, sprayed with, streamed with, covered with, or otherwise treated with a surface-tension-lowering pre-wetting fluid, the surface can undergo a conversion from a hydrophobic to a hydrophilic state.
  • suitable stable wetting behavior can be obtained.
  • agents in the pre-wetting fluid may react to slightly roughen the surface and/or remove thin surface layers such as surface oxides, nitrides, or carbonates.
  • a slightly acidic oxide removing solution such as DI water containing a small amount of either dissolved acid (e.g., sulfuric, methane sulfonic, or acetic acid, resulting in a pH of between about 2 to 4), with or without dissolved metal ions and salts, a small amount of metal (e.g., copper) complexing agent (e.g., citrate, pH of between about 3 to 6, glycine or ethylene diamine, pH of between about 6 to 12) or to a solution containing an appropriate metal oxide reducing agent/compound (e.g., formaldehyde, glycolic acid, dimethylamine borane), is effective in removing the surface oxide and transforming a hydrophobic interface to a hydrophilic interface.
  • a slightly acidic oxide removing solution such as DI water containing a small amount of either dissolved acid (e.g., sulfuric, methane sulfonic, or acetic acid, resulting in a pH of between about 2 to 4), with or
  • a thin oxide surface layer of cuprous and cupric oxide on copper is formed almost immediately and continues to grow in thickness over time, simply as a result of exposure of the sputtered copper surface to atmospheric air, particularly moist air (i.e., air with humidity).
  • the oxide can be converted/removed by exposure to an appropriate removal agent (such as those listed herein), but it is important to consider complete oxidation of the copper layer (also, e.g., within the feature).
  • Subsequent removal of the metal oxide layer by use of an oxide removal process (as opposed to an oxide reducing process) may inhibit subsequent film growth for a fully oxidized copper layer.
  • the wetting conversion process are chemical reactions having finite reaction rates.
  • exposing the wafer to the oxide removing pre-wetting fluid or plating bath will begin to form a layer of hydrophilic surface at the point of fluid contact. Areas with longer exposure to the pre-wetting (e.g., oxide removing) fluid may prevent other areas of the wafer from becoming wetted in the process. The hydrophilic areas that may be created can tend to channel fluid flow thereupon, preventing the wetting of other areas.
  • One objective is a modification of the contact angle, wetting properties, and general wetting process to enable the entire surface to become eventually uniformly covered with liquid, both macroscopically and microscopically.
  • the impediment of simultaneously expanding, flushing, or otherwise removing trapped gas from the surface is substantially eliminated, and so the impediment of exposing areas of the wafer that are still hydrophobic due to no or limited previous exposure to the pre-wetting fluid may be reduced.
  • pre-wetting process performed under vacuum ensures that, as long as a particular part of the wafer has been exposed to pre-wetting fluid (state 1) for sufficient time, the particular part of the wafer will eventually become hydrophilic.
  • a high fluid velocity pre-wetting fluid stream is not required to “flush away” trapped bubbles.
  • bubble flushing is not 100% effective, and will often lead to bubble fragmentation, leaving a large number of smaller, hard to remove bubble behind.
  • pre-wetting under vacuum is a much more reliable low defect process over simply spraying, covering, or immersing the wafer into a pre-wetting fluid under atmosphere.
  • FIG. 11 a is a flow diagram for a general embodiment of a pre-wetting process ( 1100 ).
  • a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber ( 1105 ).
  • the pressure in the process chamber is then reduced to a subatmospheric pressure ( 1110 ).
  • the wafer substrate is then contacted with a pre-wetting fluid at a subatmospheric pressure to form a wetting layer on the wafer substrate surface ( 1115 ).
  • a pre-wetting process can be preformed in the pre-wetting apparatus designs described herein.
  • the wafer substrate has different features in different embodiments.
  • the wafer substrate may have at least one recessed feature.
  • the recessed feature may be a damascene feature, which are formed by damascene patterning processes.
  • a damascene plating process is a process in which a recess in a dielectric layer of a semiconductor wafer formed by a damascene patterning process is filled with a metal film.
  • a recessed feature may also be a though-mask feature.
  • the pre-wetting fluid is substantially free of dissolved gases. In some embodiments, one or more dissolved gases are removed from the pre-wetting fluid prior to contacting the wafer with the pre-wetting fluid. To aid in removal of dissolved gases, in some embodiments, the pre-wetting fluid is cooled to less than about 20° C. during removal of the gases.
  • a pre-wetting fluid treatment tank has the pre-wetting fluid circulating for a specific time period (typically 1 ⁇ 2 an hour, depending on the capabilities and capacity of the degasser) though a degassing loop before contacting the wafer substrate with pre-wetting fluid.
  • a specific time period typically 1 ⁇ 2 an hour, depending on the capabilities and capacity of the degasser
  • the valve connecting the degasser and to the pre-wetting tank to the pump is open. This ensures that the pre-wetting fluid that is subsequently applied to the wafer surface is substantially free of dissolved gases.
  • Measurements of a system so designed shows residual levels of dissolved oxygen reaching as little as about 1-2% or less of that saturated with oxygen from air.
  • dome and wall heaters on the process chamber may be turned on, set to a temperature of about 10° C., and in some instances about 20° C. or greater, than that of the pre-wetting fluid temperature. For example, if the fluid temperature is about 20° C., a wall temperature of about 40 to 50° C. is appropriate. Dome and wall heaters avoid condensation on the surfaces and the potential for liquid droplets falling onto the exposed surface prior to pre-wetting under vacuum. A purge of the chamber surfaces can be accomplished by bringing the chamber to vacuum with the door closed and the walls at the target heated temperature.
  • the chamber is brought to vacuum and remains at vacuum for about 10 minutes or more, so as to remove any liquid which might have accumulated on the chamber ceiling and upper walls.
  • the vacuum can be removed by backfilling with, for example, clean dry nitrogen. This procedure removes any possible condensate from the chamber walls and minimizes the formation of gas born particles.
  • the pre-wetting chamber process door can be opened and the door shield (if so equipped) dropped.
  • a wafer is placed into the chuck and the robot arm is retracted, the vacuum door is closed, and the liquid splash shield is raised or the wafer lowered below the shield (if so equipped).
  • a target level of vacuum for the pre-wetting process in some embodiments is between about 10 and 100 torr, for example about 40 torr. In some embodiments, the vacuum (i.e., subatmospheric pressure) is about 50 torr. In some embodiments, after pump down is complete, the vacuum line can be closed, while in other embodiments, the pump continues to pull a vacuum while pre-wetting fluid is injected into the chamber and onto the wafer.
  • a liquid pre-wetting fluid is delivered onto the wafer substrate surface. This may entail immersing the wafer substrate in the pre-wetting fluid. Alternatively, this may entail spraying or covering the wafer substrate with the pre-wetting fluid. In other embodiments, contacting the wafer substrate with a pre-wetting fluid is performed by delivering a gaseous pre-wetting fluid onto the wafer substrate. The gaseous fluid is allowed to condense and form the wetting layer on the wafer substrate. In these embodiments, the temperature of the wafer substrate may be reduced below the condensation temperature of the pre-wetting fluid before exposing the wafer substrate to the pre-wetting fluid.
  • the wafer may be rotated while a liquid pre-wetting fluid is delivered onto the wafer substrate surface.
  • the wafer substrate is rotated at a rate of between about 10 rpm to 300 rpm.
  • the wafer substrate is rotated at a rate of between about 10 rpm to 100 rpm.
  • the wafer substrate is spun at speed of from about 100 to 400 rpm, for example at about 300 rpm.
  • a higher rotation rate e.g., about 400 to 800 rpm
  • a cycling of rotation rate may be used for a short time (about 2 to 10 seconds) where overcoming fluid wetting resistance of a highly hydrophobic wafers is an issue.
  • Chamber pump down may be initiated before of after wafer rotation is started.
  • flow of the pre-wetting fluid is initiated into the chamber and onto wafer surface.
  • the pre-wetting fluid is contacted with the wafer substrate from about 10 second to 120 seconds. After the wetting process is complete, the pre-wetting fluid flow is stopped, for example, by closing a pre-wetting fluid flow valve.
  • the chamber is brought to an atmospheric pressure.
  • the chamber is brought to an atmospheric pressure with an oxygen-free gas, e.g., dry nitrogen.
  • excess pre-wetting fluid is removed from the substrate surface. This can be done before or after bringing the chamber to an atmospheric pressure. In some embodiments, excess pre-wetting fluid is removed from the wafer substrate surface by rotating the wafer substrate. The wafer substrate rotation rate is increased to a value where excess entrained fluid can be removed from the wafer substrate surface, but a thin layer of liquid remains. The wafer substrate may be rotated from about 300 rpm to 1000 rpm during removal of the excess pre-wetting fluid. The wafer substrate may be rotated less than about 20 seconds during removal of the excess pre-wetting fluid.
  • the wafer substrate rotation rate is increased to between about 250 and 800 rpm for between about 5 and 60 seconds, while avoiding the complete drying of the pre-wetting fluid. While the rotation process generally can be initiated prior to the release of vacuum, by performing this step after the release of vacuum, it is believed that the potential for the wafer drying is reduced, because the evaporative drying from a thin layer and the possibility of creating a dry surface at some point on the wafer may be less.
  • the wafer substrate rotation is stopped, the splash shield lowered and/or the wafer substrate raised (if so equipped), the vacuum door opened, and the wafer removed from the chamber and placed in an electroplating chamber.
  • the pre-wetted wafer substrate is exposed to an environment outside of the chamber and the electroplating chamber for less than about one minute.
  • the pre-wetted wafer substrate has a wetting layer having a thickness of between about 50 to 500 ⁇ m immediately prior to electroplating when it is transferred to the electroplating chamber.
  • the wafer substrate is electroplated using a degassed plating solution, in some embodiments.
  • the pre-wetted wafer substrate is cathodically polarized with respect to a plating solution before contacting the wafer substrate with the plating solution.
  • the pre-wetting process chamber and the electroplating chamber may be distinct stations of one apparatus module.
  • the wafer substrate is electroplated in the same chamber than was used for pre-wetting. In these embodiments, the electroplating may be performed using a degassed plating solution.
  • the pre-wetted wafer substrate is transferred to a chamber configured to perform an anodic process such as electroetching and electropolishing.
  • FIG. 11 b is a flow diagram for another embodiment of a pre-wetting process ( 1150 ).
  • a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber ( 1155 ).
  • the pressure in the process chamber is then reduced to a subatmospheric pressure ( 1160 ).
  • the wafer substrate is then contacted with a pre-wetting fluid at a subatmospheric pressure ( 1165 ).
  • the pressure in the process chamber is then increased to facilitate the removal of bubbles ( 1170 ).
  • Such a pre-wetting process can be preformed in pre-wetting apparatus designs described herein.
  • a pre-wet partially fabricated semiconductor device structure includes at least one recessed feature.
  • the recessed feature has a layer of metal lining the feature.
  • the recessed feature also includes a substantially gas-free pre-wetting fluid filling the feature, the pre-wetting fluid comprising an aqueous metal salt solution substantially free from plating accelerators and levelers.
  • FIG. 12 is a flow diagram for an embodiment of an electroplating process 1200 for electroplating a layer of copper on a wafer substrate.
  • a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber ( 1205 ).
  • the wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate ( 1210 ).
  • the pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate ( 1215 ).
  • All operations described herein, including the various wetting, pre-wetting, degassing, alignment, transfer, and plating operations, may be configured or programmed in one or more controllers provided on or otherwise in communication with the described modules and systems. Any combination or sequence of such operations, as described herein, may be programmed or configured as such using such controller(s).
  • Firmware, software macros, application specific integrated circuits, shareware, and the like may be used to implement the controller instructions.
  • the pre-wetting process described herein may be realized, including a 50% or greater reduction in the filling time of a feature with a metal.
  • the feature filling process may start significantly more rapidly, reflected by the fact that under similar conditions (i.e., the same conditions, with the exception of the composition of the pre-wetting fluid), the amount of metal deposited selectively at the bottom of the feature in the same time is much greater.
  • the pre-wetting process allows for an excellent side wall and field (field refers to wafer substrate regions that are flat and outside of features) metal growth selectivity versus bottom of a feature metal growth selectivity, allowing for high rate selective deposition with greater than an order of magnitude relative plating rate/growth at the feature bottom versus the upper side walls and field.
  • the selectivity achieved by controlling the chemistry of the pre-wetting fluid allows for bottom-up, often plug-fill, growth and the ability to rapidly fill, without voiding, high aspect ratio features.
  • Copper sulfate and copper methane sulphonate are the most commonly used metal salts for electroplating copper, particularly in the integrated circuit industry.
  • the acid copper fluoroborate bath (mixture of copper and fluoroboric acid with boric acid), with its high solubility of copper and potential for high deposition rates, is also used, but has largely fallen out of favor and replaced by the methane sulphonate system (which also has high copper solubility), at least in part because of the tendency for the BF4 ⁇ anion to decompose and form hazardous HF.
  • Alkaline copper cyanide and copper pyrophosphate baths have also been widely used, with cyanide baths having generally good plating performance, but have fallen out of favor for toxicity and stability reasons.
  • electroplating of copper in plating solution baths containing copper sulfate and/or copper methanesulphonate will be used as an illustration of specific embodiments. It is understood that embodiments disclosed herein can be employed for deposition of metals other than copper, such as nickel, iron, gold, silver, tin, lead, zinc, as well as copper and other metal co-deposited alloys (e.g., various solders such as lead-tin and silver-tin, or magnetic alloy materials containing iron, cobalt, and nickel). It is also understood that in copper electroplating, a variety of other salts beyond copper sulfate and copper methanesulphonate may be used.
  • Copper sulfate and methanesulphonic acid plating bath solutions typically contain three or more materials (so called plating “additives”) in small concentrations (10 ppb to approximately 1000 ppm) that affect the surface electrodeposition reactions.
  • additives include accelerators (mercapto containing species, for example; also referred to as brighteners), suppressors (typically polymers such as polyethylene glycol, for example; also referred to as carriers), levelers, and halides (e.g., chloride ion and bromide ion), each having a unique and beneficial role in creating a copper film with desired micro- and macro-characteristics.
  • pre-wetting fluid and plating solution compositions described herein may be used with any of the apparatus designs or methods.
  • the pre-wetting fluid and plating solution compositions are able to be used with the methods described in FIGS. 11 a , 11 b , and 12 .
  • the surface tension of the pre-wetting fluid should be sufficiently compatible with the wafer substrate surface (e.g., hydrophilic) so that the entire surface remains covered with pre-wetting fluid from the period after the pre-wetting fluid is applied to the surface under vacuum and the time the wafer is moved to and is immersed into the plating bath.
  • the pre-wetting layer just before immersion into the plating solution, is thin (e.g., about 50 to 500 ⁇ m thick) and uniform.
  • the film being thin, the amount of concentration increase or dilution/modification of the plating bath concentrations is kept small, and the film has a minimal delay in adsorption of plating additives to the general plating surface (i.e., field region).
  • the film thickness being uniform, the uniform transition from the state of being covered with a solution of pre-wetting fluid composition to plating bath solution composition is possible and much more easily controllable.
  • Another consideration is that when transferring the wafer from a pre-wetting station to a plating station, the features are filled and the general surface is coated with the pre-wetting fluid.
  • various unfavorable reactions with the constituents of the pre-wetting fluid either alone, or in combination with gasses, coming from the atmosphere, may occur.
  • degassing the pre-wetting fluids e.g., by using a degasser, as described herein
  • those reactions that involve dissolved gasses may be reduced or eliminated.
  • a reaction between the pre-wetting fluid and the seed layer on a wafer results from the existence of a chemical driving force (i.e., a negative free energy for reaction) with moderate activation energies. Eliminating the driving force, or inhibiting the kinetics, can forestall a deleterious reaction.
  • the reactions involve a combination of one or more solvents (e.g., water, alcohols, carbonates, or ketones), pre-wetting fluid solutes (e.g., acids, inorganic salts, organic electrolytic or neutral plating additive species), and dissolved gasses.
  • a particularly deleterious reaction is the corrosion reaction of the metal seed layer.
  • the seed corrosion rate will depend, for example, on parameters such as the pre-wetted wafer transfer time, the temperatures of the pre-wetting fluid and plating solution bath, the choice of pre-wetting solvent(s), the pH of the pre-wetting fluid, the particular dissolved constituents in the pre-wetting fluid, and any spatial and time-varying distribution or redistribution (i.e., concentration difference due to the diffusion into or out of the features) during the initial immersion of the wafer into the plating solution bath. These different reactions are described herein.
  • Any electrolytic reaction for the corrosion of a metal can be represented as two half-reactions, coupled by the transfer of an electron in the metal.
  • the reduction of oxygen or other oxidizing agent in the solvent couples with the oxidation of copper metal.
  • the reaction of copper metal with oxygen occurs in two steps, to cuprous ion, and depending on the solvent environment, presence of complexing agents, and pH, to cupric ion.
  • reaction 9a or 9b prevents reaction 9a or 9b from occurring altogether, and hence the corrosion of copper is prohibited from this source. Therefore, removal of oxygen from the pre-wetting fluid is desirable in some embodiments. However, if oxygen is reintroduced into the electrolyte from the environment (e.g., during a transfer from the pre-wetting location to the plating solution), reaction 9a or 9b is again allowed to occur. Similarly, if the supply of protons is small (e.g., a pH of greater than about 3), reaction 9a will be reduced.
  • a structure 1301 in a wafer substrate 1302 consists of a cavity filled with pre-wetting fluid 1303 .
  • the feature surface 1305 , walls 1306 and bottom 1307 of the structure are typically coated with a barrier layer (not shown) below an electroplateable “seed layer” (e.g., copper, 1304 ).
  • an electroplateable “seed layer” e.g., copper, 1304 .
  • the thickness of the metal along the walls, particularly on the lower wall 1308 is typically much thinner than that on the surface 1305 (and often also thinner than that at the feature bottom 1307 ) due to the nature of the seed deposition process (e.g., PVD).
  • a degassed pre-wetting fluid 1303 is introduced to the surface under vacuum that contains no bubbles and little or no dissolved gas (e.g., oxygen). However, some gas may subsequently be introduced into the liquid from the atmosphere during the transfer of the wafer, with a near saturated condition created at the exposed liquid layer surface 1308 . With a much shorter diffusion distance and resistance to arriving at the surface 1305 , oxygen reduction reaction 9a will preferentially start to occur there first. While reaction 8 may occur anywhere along the surface, the reaction may preferentially occur at point on the surface where it is roughest and its effect is most detrimental where the film is thinnest (with a potential for loss of all seeded metal).
  • dissolved gas e.g., oxygen
  • the metal corrosion half reaction may occur preferentially at locations where the oxygen reduction reaction is not co-occurring, such as at deep inside the feature (e.g., at locations 1307 and 1308 ).
  • the overall reaction is completed by having the electrons created by reaction 8 inside the feature traveling through the metal along the wall to the feature top and field, where they combine with the oxygen via reaction 9a or 9b.
  • the walls may be rough on a microscopic scale due to the feature creation method (e.g., from a repetitions application of a SF 6 isotropic RIE etch/C 4 F 8 passivation sequence known as an Advance Silicon Etch or the “Bosche” process) and/or the deposition process.
  • Rough metal surfaces tend to have a higher local electrochemical activity, so corrosion will be greater at rough metal surfaces than for a uniform smooth surface. These phenomena will increase the driving for metal loss from these rough metal surfaces. See, for example, a discussion of these phenomena in U.S. Pat. No. 6,946,065.
  • a substantially non-conductive (i.e., non-ionic and electrolyte free) solvent can be effectively used for pre-wetting fluid for a pre-wetting process performed under vacuum. This is despite factors that otherwise would lead one to avoid the use such a fluid.
  • One such conceptually negative factor is that the conductivity of such a pre-wetting fluid is quite small.
  • deposition at the bottom of the feature filled with a non-conductive or low conductivity solvent is expected to be hindered by the inability to support plating because of its inability to support ionic current flow.
  • ⁇ ⁇ ⁇ V RT nF ⁇ ln ⁇ C ⁇ ( feature ) C ⁇ ( surface ) ( 10 )
  • R is the universal gas constant
  • T is the absolute temperature
  • n is the number of electrons for the corrosion reaction
  • F is Faraday's constant
  • C(feature) and C(surface) are the concentrations of metal ions at the two locations.
  • a concentration cell is created, with the corrosion driving potential created by a difference in concentrations as given by equation 10.
  • a corrosive potential difference will exist between location the bottom of a feature and the surface, with the corrosion potential causing the metal on the walls and bottom of the feature to preferentially oxidize, release electrons, and complete the cycle by combining with the metal ions from the solution at the surface.
  • a rapid rinse of the wafer surface with a solution having a relatively low metal ion concentration can be used (e.g., DI water), followed by a high speed spin or other method to remove the surface solution.
  • a solution having a relatively low metal ion concentration e.g., DI water
  • This process reduces the concentration of the metal at the surface relative to that in the feature, but also removes electrolyte from the wafer edge, reducing the propensity for that electrolyte to be plated on the edge of the wafer and plating apparatus contacts (e.g., when plating in a closed or seal contact “plating cup”).
  • the metal ion concentration in the pre-wetting solution can be at least equal to or greater than that of the subsequent plating bath.
  • pre-wetting fluids of the substantially non-conductive class are an electrolyte-free isopropyl alcohol or other water soluble non-aqueous solvents (i.e., water-miscible solvents).
  • Other embodiments include alcohols, a dialkylcarbonate, dimethylformamide, and dimethyl sulfoxide.
  • Another embodiment is a solution of water containing a small concentration of the non-metal-complexing tetramethylammonium sulfate and/or tetramethylammonium hydroxide, in the pH range between about 3.5 and 11.5.
  • Still another embodiment is a solution of water containing a surfactant such as the anionic surfactant laurilsulfate (with an alkali metal cation or tetramethylammonium cation).
  • a surfactant such as the anionic surfactant laurilsulfate (with an alkali metal cation or tetramethylammonium cation).
  • Pre-wetting fluids that have a reduced surface tension compared to water, relatively small conductivities (e.g., compared to acids or strong bases), and are non-copper complexing are used in some embodiments.
  • a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber ( 1205 ).
  • the wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate ( 1210 ).
  • the pre-wetting fluid includes a water-miscible solvent.
  • the water miscible solvent may be an alcohol, ketone, dimethylcarbonate, diethylcarbonate, dimethyl sulfoxide, or dimethyl formamide.
  • the pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate ( 1215 ).
  • the plating solution includes copper ions to electroplate a layer of copper on the wafer substrate.
  • a pre-wetting fluid that has a small ionic conductivity, such as a low conductivity solvent that itself will not react directly with copper, or a solvent substantially free of ionically disassociated and conductive ions (i.e., dissolved acid, bases, and salts).
  • ionic-solute-free solvents i.e., a non-electrolytic solution
  • deoxygenated deionized solvents such as deoxygenated DI water
  • the plating solution is also deoxygenated/degassed prior to and during contact/exposure to the wafer surface, and a potential or current is applied to the wafer prior to entry, establishing a voltage greater than that given by equation 10, thereby preventing reaction 12 within the feature from occurring.
  • pre-wetting fluids that contain non-ionic dissolved species (e.g., non-ionic surfactants or switter-ionic surfactants, added to lower surface tension, or organic materials added to react with oxygen) are useful in some embodiments over highly conductive ionic solutes such as acids and bases. This is because of the generally lower solution specific conductance and ion current coupling of the oxidation and corrosion half reactions. An exception to this is the addition of surface adsorbing electrochemically active non-ionic materials (e.g., a non-ionic leveler compounds).
  • non-ionic dissolved species e.g., non-ionic surfactants or switter-ionic surfactants, added to lower surface tension, or organic materials added to react with oxygen
  • highly conductive ionic solutes such as acids and bases.
  • surface adsorbing electrochemically active non-ionic materials e.g., a non-ionic leveler compounds.
  • a further example of an unfavorable pre-wetting fluid combination for copper plating is polyethylene glycol or polyethylene/polypropylene oxide copolymer (which are known to act as plating “suppressors”) at all but very low concentrations combined with small amount of dissolved halide (e.g. chloride) ions.
  • a suppressor without the adsorption and electrochemical activity enhancing halides appears to be unfavorable, in some embodiments, unless at very low concentrations.
  • a 60 ⁇ m deep/10 ⁇ m wide TSV via structure having a 8000 ⁇ copper seed layer was electroplated with copper.
  • the feature was pre-wetted with deoxygenated, deionized water. After the wafer was exposed to the atmosphere for 5 minutes, it was then transferred to a plating cell, followed by immersion into the plating solution.
  • the plating solution was a deoxygenated plating bath sold under the trademark DVF 200TM by Enthone Inc. with added components (DVF 200TM is a copper methane sulfonate/methane sulfonic acid plating solution to which accelerators, suppressors, and leveler additives, and 50 ppm chloride ions, were added).
  • substantially non-conductive pre-wetting fluids that contain some dissolved compounds other than metals (e.g., either electrolytic or non-ionic, organic or inorganic, added, for example, in relatively small quantities to reduce surface tensions and aid in wetting), but are substantially free of materials that are electrochemically active and/or are considered plating bath additives, are used.
  • a pre-wetting fluid that is substantially free from any accelerator/brighteners or levelers (that might typically be found in a subsequently used plating bath) is used rather than those that do contain such electrochemically active agents.
  • a wafer with a 60 ⁇ m deep/10 ⁇ m wide TSV via structure having a 8000 ⁇ copper seed layer was electroplated with copper.
  • the feature was pre-wetted with a pre-wetting fluid containing copper methane sulfonic acid (copper salt, 80 g/L copper ion), 20 g/L methane sulfonic acid, 50 ppm chloride ion, and 3 or 12 ppm of the copper plating accelerator dimercapto-propane sulfonic acid (SPS).
  • SPS copper plating accelerator dimercapto-propane sulfonic acid
  • the plating solution was a deoxygenated plating bath sold under the trademark DVF 200TM by Enthone Inc. with additive components. Copper was then plated onto the wafer. In both cases (i.e., pre-wetted with a solution containing 3 ppm of dimercapto-propane sulfonic acid and 12 ppm of dimercapto-propane sulfonic acid), sidewall voids were formed.
  • the pre-wetting fluid includes water and a copper salt. This helps to avoid corrosion of a seed layer due to setting up the electrochemical difference discussed in relation to equation 10.
  • the copper salt is at a concentration of at least about 50% of a saturation limit.
  • the copper salt is copper sulfate, a copper alkylsupphonate, and mixtures thereof.
  • the copper salt is at a concentration greater than about 20 g/L of copper.
  • the pre-wetted wafer substrate is electroplated with copper with a copper-containing plating solution; the pre-wetting fluid contains a copper salt at a copper concentration that is the same or higher than the copper concentration in the plating solution.
  • the copper concentration in the pre-wetting fluid is at least about 25% greater than the copper concentration in the plating solution.
  • the pre-wetting fluid consists essentially of water and a copper salt.
  • a pre-wetting fluid having the same or a very similar composition to that of the plating solution i.e., a solution having the same metal salts and/or the same metal ion concentrations, the same acids and/or the same acid concentration(s), the same halides and/or the same concentrations of halides, and the same additives and/or the same concentrations of additives
  • a layer of metal may be plated on the wafer substrate in the same chamber as used for pre-wetting.
  • such a pre-wetting fluid i.e., the same or very similar to the plating solution
  • the feature rate of filling may also be improved by using a different solution for pre-wetting than that of the plating bath, as described herein.
  • a 60 ⁇ m deep/10 ⁇ m wide TSV via structure having a 8000 ⁇ copper seed layer was electroplated with copper.
  • the feature was initially pre-wetted with a plating solution (i.e., the pre-wetting fluid had the same composition as the plating solution).
  • the feature/wafer was pre-wetted via a pre-wetting process performed under vacuum described herein with commercially available deoxygenated plating bath sold under the trademark DVF 200TM by Enthone Inc., with plating additive components (i.e., the plating additive components used with DVF 200TM in the other experiments described herein).
  • the surface was exposed to the deoxygenated plating bath and was then exposed to the atmosphere for either 1 or 3 minutes between release of vacuum and the transfer/immersion into the plating bath and initiation of metal deposition.
  • the wafers were cathodically polarized immediately upon entry into the plating solution.
  • the feature was filled with metal and void free, with no evidence of side wall corrosion.
  • features from the same wafer show that some features are not filled, typically with one side of the feature having an irregularly shaped void. This is generally believed to be associated with the loss of seed metal at the side of the feature.
  • the feature filling was grossly incomplete. In many cases, the entire bottom of the feature was unplated.
  • a similar trend i.e., transition from void free to significant side wall voiding also occurs for a fixed atmospheric exposure time, but with decreasing seed layer thickness. Therefore, the use of the plating solution as a pre-treatment solution is less than optimal in some embodiments because of its significant sensitivity to incomplete feature filling due to side wall corrosion. Particularly in situations where the seed layer thickness is quite thin, the number of side wall void-type defects increased markedly in both situations, indicating a narrow tolerance of seed layers to this pre-wetting fluid.
  • the metal ion created by the coupling of reaction 8 with reaction 9a or 9b must be able to pass electrical (ionic) current back to the surface though the fluid, so having a solution of substantial conductivity is an unfavorable pre-wetting fluid property, in some embodiments.
  • This is in contrast the substantial conductivity that is generally desired in a plating solution, where conductivity is tailored so as to minimize voltage drops in the solution and within the feature to facilitate the deposition process.
  • the high ionic mobility of protons which is the highest of any cation. This property tends to impart very high conductivities to acid solutions of given molarities.
  • pre-wetting fluids having highly dissociated acids of high concentrations are not favored in some embodiments because they facilitate corrosion reactions due to their high conductivities.
  • the metal at the bottom of the feature's wall 1308 ( FIG. 13 ) is subject to unfavorable conditions and could potentially result in the area corroding and producing a side wall without an electroplateable seed layer.
  • the metal on the side wall can be thin and oxidized prior to the pre-wetting fluid exposure, a corrosion reaction involving acid or other components can lead to the loss of all the platable metal, leaving a non-plateable metal such as the copper diffusion barrier tantalum or tantalum nitride with an exposed oxide layer thereupon.
  • a corrosion reaction involving acid or other components can lead to the loss of all the platable metal, leaving a non-plateable metal such as the copper diffusion barrier tantalum or tantalum nitride with an exposed oxide layer thereupon.
  • Long unpolarized (cathodically protected) exposure of the surfaces to inappropriate component mixtures of the pre-wetting fluid may therefore lead to poor feature filling.
  • Contrary to using a strongly acidic electrolyte (pH of less than about 2) using more neutral or near neutral pre-wetting fluid can limit the supply of protons for reaction 9a, reducing the corrosion rate, reducing the defectivity, and generally improving the reliability and success of the overall pre-wetting.
  • pre-wetting fluids of this description would generally not be optimal or acceptable for copper metal deposition, but they are favored for pre-wetting, in some embodiments. Solutions in the pH ranges of about 2 to 12, free of dissolved metal ion complexing anions, do not allow reactions such as 8 and 9 to occur at appreciable rates.
  • the pre-wetting fluid includes deionized water, an acid, and a copper salt, with the pre-wetting fluid pH not lower than about 2.
  • the pH of such a pre-wetting fluid is between about 2 and 4.
  • the acid in such embodiments may be sulfuric acid, an alkylsuphonic acid, and mixtures of these acids.
  • the pre-wetting fluid may also include less than about 2 g/L or sulfuric acid or methane sulfonic acid in some such embodiments.
  • the pre-wetting fluid consists essentially of water, an acid, and a copper salt, with the pre-wetting fluid pH greater than about 2.
  • the pre-wetting fluid includes water and an acid, and the pre-wetting fluid has a pH of greater than about 2.
  • pre-wetting fluids of this class are solutions that may contain some dissolved compounds (both electrolytic and non-ionic, organic or inorganic, for example, to reduce surface tensions and aid in wetting), but are substantially free of materials that electrochemically alter the plating of the metal and active and/or are considered plating bath additives.
  • a copper complexing agent also changes conditions, allowing the formation of a complex instead of the passivating oxide/hydroxides; if oxygen is present, unfavorable high rate corrosion is expected in metal complexing agent containing solutions having a dissolved oxidizer.
  • Some materials that are typically bath additives can form metal complexes, such as mercapto-group containing brighteners/accelerators (e.g., mercapto-propanesulfonic acid, di-mercaptopropane sulfonic acid, etc.) and various nitrogen group containing levelers (e.g., diazine black and Janus Green B).
  • a pre-wetting fluid that does not contain any brighteners or levelers that might typically be found in a subsequently used plating bath may avoid related pre-wetting seed metal corrosion.
  • Suppressors such as polyethers (e.g., polyethylene glycol, polypropylene oxide, etc.) or metal ion complexing agents by themselves are not particularly corrosive, and since they tend to reduce the surface tension as wetting agents, can be added when high rate fill is not a primary concern.
  • suppressor in combination with chloride ions generally considered a necessary co-constituent to achieve suppressor electrochemical activity, is not favored in some embodiments.
  • the pre-wetting fluid may aid in removing the oxide surface.
  • a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber ( 1205 ).
  • the pressure in the pre-wetting process chamber is then reduced to a subatmospheric pressure (not shown).
  • the wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate ( 1210 ).
  • the pre-wetting fluid includes an acid to at least partially remove surface oxide from the seed layer and the pre-wetting fluid has a pH of between about 2 to 6.
  • the pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate ( 1215 ).
  • the plating solution has a pH of between about 2 to 6 and the plating solution and the pre-wetting fluid have different compositions.
  • the pre-wetting fluid may aid in transforming a metal oxide laden surface to a metallic surface (e.g., cuprous or cupric oxide—see reactions 6 and 7 and the associated discussion) or in removing the oxide surface.
  • a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber ( 1205 ).
  • the wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate ( 1210 ).
  • the pre-wetting fluid includes a small amount of a reducing agent to at least partially reduce surface oxide on the seed layer.
  • the pre-wetting fluid includes a metal complexing agent to at least partially remove surface oxide on the exposed metal layer and the pre-wetting fluid has a pH of between about 4 to12. The pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate ( 1215 ).
  • the plating solution includes copper ions to electroplate a layer of copper on the wafer substrate.
  • the exposed metal layer on the wafer substrate is generally copper or a copper alloy.
  • reducing agents for copper include formaldehyde, glycolic acid (and salts thereof), and dimethylamine borane.
  • the pre-wetting fluid includes, in some embodiments, a copper complexing agent to at least partially remove surface oxide on the exposed copper layer and the pre-wetting fluid has a pH of between about 4 to12.
  • halide ions such as chloride or bromide
  • halide ions are also well known corrosion agents. It is generally known that a solution containing halides will corrode a surface faster than an identical solution (i.e., consistent pH and ionic strength) without halides. Because they are critical in successful plating and their concentrations are low, one might assume that not having them present in the pre-wetting fluid would inhibit their uniform exposure to the features internal surfaces and thereby have a detrimental effect to the feature filling process. In some embodiments, however, it is useful to not include or add even these very low levels of halides to the pre-wetting fluids.
  • the pre-wetting fluid is substantially free from halides. Even with low, parts per million levels of halides, alone or in combination with other plating bath additives, dramatic increases in the corrosion rate of the metal on side wall features have been observed. While not wanting to be held to any particularly theory, corrosion of the metal, as a whole, is perhaps catalyzed or stabilized by the formation of cuprous halide reactants.
  • the pre-wetting fluid contained 100 g/L copper methane sulfonic acid, 16 g/L methane sulfonic acid, and either no chloride or 50 ppm of chloride ions.
  • the copper plating was then performed using the same solution and processes as described for the other experiments described herein. Side wall seed layer corrosion was greatly exacerbated by even small quantities of halide ions in the pre-wetting fluid
  • Plating bath suppressors include polyethylene glycol (PEG), polypropylene glycol (PPG), polyethylene oxide (PEO), polypropylene oxide (PPO), and various copolymers of these monomers.
  • a suppressor is used to suppress copper plating outside the features on a wafer, allowing for copper deposition inside such features. They are also good surface tension reducing agents (surfactants), and hence, might be considered to be useful component in the pre-wetting fluid.
  • the suppressive plating characteristics of these compounds are generally derived in combination with halides, and the presence of halides can cause feature side wall corrosion.
  • the pre-wetting fluid is substantially free from halides, plating accelerators, and plating levelers, and includes a plating suppressor at a small concentration (e.g., typically less than about 15 ppm).
  • an electroplating process 1200 for electroplating a layer of copper on a wafer substrate shown in FIG. 12 a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber ( 1205 ). The wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate ( 1210 ).
  • the pre-wetting fluid includes water and copper ions, and is substantially free of plating additives.
  • the plating solution includes plating additives.
  • the concentration of copper ions in the pre-wetting fluid is greater than the concentration of copper ions in the plating solution.
  • the pre-wetting fluid is substantially free of additives, including halides, accelerators, and levelers, and combinations thereof.
  • the pre-wetting fluid includes polyethylene oxide at a concentration of less than about 15 ppm.
  • plating solution additives include halides, accelerators, suppressors, and combinations thereof.
  • pre-wetting fluid either aiding or hindering the feature filling process (e.g., the avoidance of side wall corrosion or creating a conformal type filling behavior)
  • there is also a relationship between the composition of the pre-wetting fluid and the rate of feature filling In experiments performed to compare the rates of feature filling, the plating bath solution composition and plating currents versus time were fixed and the amount of feature filling at the end of the process was monitored. The experiments showed that the choice of pre-wetting fluid can have a dramatic effect on the feature filling rate and time, sometimes increasing the filling rate and reducing the filling time by a factor of two or more.
  • the pre-wetting fluid should not include some or all of the plating bath additives which are necessary in the field to suppress plating thereupon (i.e., levelers and suppressor). In some embodiments, the pre-wetting fluid is substantially free from plating levelers.
  • accelerators such as dimercaptopropane sulfonic acid, SPS
  • SPS dimercaptopropane sulfonic acid
  • MPS mercaptopropane sulfonic acid
  • a pre-wetting fluid that contains metal ions, but no accelerator or other additives, will plate at a high rate upon entry in the bath until a suppressing additive become surface-active.
  • the pre-wetting fluid is substantially free from one or more of halides, plating accelerators, and plating levelers.
  • the smaller accelerator can diffuse quickly from the plating bath into the mouth and lower regions of a feature, while the suppressor and leveler molecules will diffuse slower and initially act primarily on the upper side walls of the feature, hence creating polarization contact and driving the current into the feature.
  • the accelerator molecule primarily serves the purpose of deactivating, removing, or preventing the polarization developed by the suppressor/halide combination.
  • An accelerator in itself is only a weak polarizing agent with respect to an additive free solution that is free of the polarizing suppressor/halide combination.
  • halides such as chloride
  • the surface kinetics will still be fast and the plating resistance very low (halides alone are generally non-polarizing, and in fact some literature says they are depolarizing, by themselves).
  • the ability to have the electrochemical conversion of SPS to the strongly adsorbing MPS accelerator molecule occur for some duration of time in an local environment free of suppressor (i.e., before any suppressor can arrive sets up an “inertial” plating condition that tend to reduce the propensity for the suppressor later absorb) avoids polarization and increases the relative plating to the bottom of the feature.
  • pre-wetting fluids may be favored over another, in certain embodiments.
  • Tables 1 to 4 are based a large number of feature filling experiments and observations, similar to those described herein, that qualitatively categorized and compared the propensity of feature corrosion and enhancement/retardation of feature filling (filling rate) for a number of different pre-wetting fluid combinations.
  • the table term “EXCELLENT” indicates a generally highly favorable result (e.g., little evidence of seed corrosion or enhanced or otherwise high feature filling rate).
  • the table term “GOOD” indicates a potentially acceptable result, though one that may not be optimal in all cases (e.g., depending on seed quality, plating baths, etc.).
  • the table term “FAIR” includes performances that are typically quite marginal or may be unreliable, and may often lead to negative or poor results.
  • the table term “POOR” indicates almost invariably unacceptable seed corrosion or a significantly altered (e.g., conformal filling) or diminished filling rate behavior.
  • results for different concentrations of acids are given. Results on metals of either sulfate or methane sulfonic acids are also given, although the difference between the two was generally found to be minimal.
  • the additional component creates a mixture of the two types of acids (not just more acid of the same chemical). For example, in Table 2, all of the pre-wetting fluids included greater than 2 g/L or either sulfuric acid or methane sulfonic acid.
  • the pre-wetting fluid in row 1 [i.e., >2 g/L Methane Sulfonic (or Sulfuric) Acid] contained BOTH 2 g/L of sulfuric acid AND 2 g/L of methane sulfonic acid.
  • the pre-wetting fluid in row 2 [i.e., ⁇ 2 g/L Methane Sulfonic (or Sulfuric) Acid] contained EITHER 2 g/L of sulfuric acid OR 2 g/L of methane sulfonic acid.
  • the concentrations of the copper solutions from different salts are in grams per liter of cupric ions (Cu ++ ), not of the anhydrous salt or hydrated salt.
  • a number general trends of good pre-wetting fluids can be identified, specifically those containing little or no acid (pH of 2 or greater), moderate to high metal ion concentrations, little or no halides ( ⁇ 10 ppm), less than about 15 ppm PEG like suppressors, and neither leveler or accelerator plating additive.
  • a solution containing from 20 to 100 g/L metal ion with no other components other than solvent (water) and a small concentration of a surfactant (or no surfactant) is an example of a good pre-wetting fluid composition.
  • Pre-wetting fluid of DI water greater than 2 g/L sulfuric acid or methane sulfonic acid, and one other component.
  • Material Feature Feature DI water, >2 g/L Sulfuric Sidewall Bottom Up Acid or Methane Sulfonic Acid, Corrosion Filling and One Other component Susceptabilty Rate >2 g/L Methane Sulfonic (or Sulfuric) Acid Poor Good ⁇ 2 g/L Methane Sulfonic (or Sulfuric) Acid Poor Good ⁇ 20 g/L Copper Sulfate Fair Good ⁇ 20 g/L Copper Methane Sulfonate Fair Good >20 g/L Copper Sulfate Fair Excellent >20 g/L Copper Methane Sulfonate Fair Excellent >10 ppm Chloride/Bromide Poor Poor >15 ppm Suppressor Good Fair Accelerator Poor Poor Leveler Poor Poor Poor
  • Material Feature Feature DI water, ⁇ 2 g/L Sulfuric Acid Sidewall Bottom Up or Methane Sulfonic Acid Corrosion Filling and One Other Component Susceptabilty Rate >2 g/L Methane Sulfonic (or Sulfuric) Acid Poor Good ⁇ 2 g/L Methane Sulfonic (or Sulfuric) Acid Fair-Good Good ⁇ 20 g/L Copper Methane Sulfonate Good Good ⁇ 20 g/L Copper Sulfate Good Good >20 g/L Copper Sulfate Excellent Excellent >20 g/L Copper Methane Sulfonate Excellent Excellent >10 ppm Chloride/Bromide Poor Poor >15 ppm Suppressor Good Fair Accelerator Fair Poor Leveler Fair Poor

Abstract

Disclosed are pre-wetting apparatus designs and methods. These apparatus designs and methods are used to pre-wet a wafer prior to plating a metal on the surface of the wafer. Disclosed compositions of the pre-wetting fluid prevent corrosion of a seed layer on the wafer and also improve the filling rates of features on the wafer.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit under 35 U.S.C. §119(e) to U.S. Provisional Application No. 61/218,024, filed Jun. 17, 2009, which is incorporated herein by reference.
  • FIELD OF THE INVENTION
  • The embodiments disclosed herein relate to pre-wetting apparatus designs and methods. More specifically, embodiments relate to pre-wetting apparatus designs and methods for pre-wetting a semiconductor wafer prior to depositing electrically conductive materials on the wafer for integrated circuit manufacturing.
  • BACKGROUND
  • Wetting is a property of a liquid/solid interface governed by adhesive forces between the liquid and solid and cohesive forces in the liquid. Adhesive forces between the liquid and solid cause the liquid to spread across the solid surface. Cohesive forces in the liquid cause the liquid to minimize contact with the solid surface. The wetting of a solid surface by a liquid is important in many industrial processes where a liquid interacts with a solid surface. Electroplating (a cathodic process), including electroplating in integrated circuit manufacturing, is one such industrial process. Wetting is also important in anodic processes, including eletroetching and electropolishing.
  • For example, in integrated circuit manufacturing, a conductive material, such as copper, is often deposited by electroplating onto a seed layer of metal deposited onto the wafer surface by a physical vapor deposition (PVD) or a chemical vapor deposition (CVD) method. Electroplating is a method of choice for depositing metal into the vias and trenches of the wafer during damascene and dual damascene processing.
  • Damascene processing is a method for forming interconnections on integrated circuits (ICs). It is especially suitable for manufacturing integrated circuits, which employ copper as a conductive material. Damascene processing involves formation of inlaid metal lines in trenches and vias formed in a dielectric layer (inter-metal dielectric). In a typical damascene process, a pattern of trenches and vias is etched in the dielectric layer of a semiconductor wafer substrate. Typically, a thin layer of an adherent metal diffusion-barrier film such as tantalum, tantalum nitride, or a TaN/Ta bilayer is then deposited onto the wafer surface by a PVD method, followed by deposition of an electroplate-able metal seed layer (e.g., copper, nickel, cobalt, ruthenium, etc.) on top of the diffusion-barrier layer. The trenches and vias are then electrofilled with copper, and the surface of the wafer is planarized.
  • SUMMARY
  • Disclosed are pre-wetting apparatus designs and methods.
  • In one embodiment, an apparatus for pre-wetting a wafer substrate prior to electrolytically processing the wafer substrate is disclosed. The apparatus includes a degasser configured for removing one or more dissolved gases from a pre-wetting fluid prior to pre-wetting and a process chamber having an inlet for admitting the pre-wetting fluid. The process chamber is configured for pre-wetting the wafer substrate with a degassed pre-wetting fluid at a sub-atmospheric pressure. Inside the process chamber is a wafer holder positioned and configured to hold the wafer substrate during the pre-wetting process.
  • In another embodiment, an apparatus for pre-wetting a wafer substrate prior to electrolytically processing the wafer substrate is disclosed. The apparatus includes a process chamber having an inlet for admitting a pre-wetting fluid. The process chamber is configured for operating at a higher than atmospheric pressure during or after pre-wetting, to facilitate the removal of bubbles. Inside the process chamber is a wafer holder positioned and configured to hold the wafer substrate during the pre-wetting process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 depicts a plot of bubble dissolution time versus feature size.
  • FIG. 2 depicts a plot of bubble dissolution time versus the dissolved gas pressure.
  • FIG. 3 depicts a schematic layout of one embodiment of a pre-wetting apparatus.
  • FIG. 4 depicts an embodiment of a pre-wetting chamber.
  • FIG. 5 depicts an isometric view of an embodiment of a pre-wetting chamber.
  • FIG. 6 depicts an embodiment of a pre-wetting chamber configured for a condensation pre-wetting process.
  • FIG. 7 depicts an embodiment of a pre-wetting chamber configured for an immersion pre-wetting process.
  • FIG. 8 depicts another embodiment of a pre-wetting chamber configured for an immersion pre-wetting process.
  • FIG. 9 depicts an embodiment of an apparatus in which the pre-wetting process is performed in a plating cell.
  • FIG. 10 depicts an embodiment of an electroplating system.
  • FIGS. 11 a and 11 b are flow diagrams for embodiments of a pre-wetting process.
  • FIG. 12 is a flow diagram for an embodiment of an electroplating process for electroplating a layer of metal on a wafer substrate.
  • FIG. 13 depicts a wafer substrate with a feature filled with pre-wetting fluid.
  • DETAILED DESCRIPTION
  • Reference will now be made to specific embodiments. Examples of the specific embodiments are illustrated in the accompanying drawings. While the invention will be described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the invention to such specific embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.
  • Disclosed herein are apparatus designs and methods for wafer pre-wetting, for modifying the conditions of wafer entry and wafer processing during plating, and pre-wetting fluid compositions. The pre-wetting process, in accordance with embodiments provided herein, can be performed in the electroplating chamber or in a separate pre-wetting station of a module which includes a pre-wetting station and an electroplating station. In some embodiments pre-wetting and electroplating are performed in separate apparatuses.
  • The substrate typically is a semiconductor wafer which has a layer of conductive material residing thereon (e.g., a seed layer comprising copper or copper alloy). During electroplating, electrical connections are made to the conductive layer and the wafer substrate is negatively biased, thereby serving as a cathode. The wafer is contacted with a plating solution containing a metal salt (e.g., copper sulfate, a copper alkylsulfonate, or a mixture of salts), which is reduced at the wafer cathode resulting in metal deposition on the wafer. In many embodiments, the substrate contains one or more recessed features (e.g., vias and/or trenches), which need to be filled by the electroplating process. The plating solution, in addition to metal salts, may also contain an acid, and typically contains one or more additives such as halides (e.g., chloride, bromide, etc.), accelerators, levelers, and suppressors, used to modulate electrodeposition rates on various surfaces of the substrate.
  • The disclosed processes and associated apparatus designs are particularly applicable and necessary for electrofilling wider (e.g., typically greater than 5 μm) and deeper (e.g., typically greater than 10 μm) damascene structures (vias), such as those commonly found in emerging copper through silicon via (TSV) electrofill structures. Through silicon via structures are further described in U.S. patent application Ser. No. 12/193,644, filed Aug. 18, 2008, which is herein incorporated by reference. Gas bubbles, trapped or otherwise residing on the surface or within a feature, will interfere with the field and feature plating process either by blocking the feature surface with the non-conducting gas, or by creating an impediment to the free passage of current. The disclosed processes and associated apparatus designs enable void-free copper electrofilling.
  • Electroplating and electrofill of TSV interconnections present a number of challenges. These include long plating times due to very large and/or deep structures, and formation of side wall voids due to seed layer corrosion reactions with plating electrolyte solutions and due to insufficient coverage of lower sidewalls by PVD-deposited seed layer. Further, it is important to ensure that the interior of all recessed features are filled with liquid and that there are no trapped gasses inside the features that prevents plating therein. It is also advantageous to simultaneously maintain strong wall and field plating growth-suppression while removing plating resistance selectively at the bottom of the feature.
  • The pre-wetting apparatus designs and methods described herein are generally described with respect to electroplating (a cathodic process) a metal, specifically copper. However, the pre-wetting apparatus designs and methods described herein are generally applicable to all electrolytic processes, including eletroetching and electropolishing, both of which are anodic processes.
  • Methods for forming liquid-filled bubble-free recessed features that are needed for the plating process are described. Further, compositions of pre-wetting fluids which minimize seed layer corrosion and simultaneously increase plating rates are described.
  • INTRODUCTION
  • The concentration of dissolved gas at the bubble interface with the liquid is related to internal bubble pressure by Henry's law, one form of which can be expressed as:

  • Ci=xiHiPi   (1)
  • where the subscript i depicts “inside” the bubble, C, is the concentration of a component of dissolved gas molecules in the liquid phase at the bubble interface (e.g., nitrogen, oxygen, etc., each in moles/l), xi is the mole fraction of that component in the gas phase inside the bubble itself, Hi is the Henry's law constant, and Pi is the pressure inside the bubble. This equation can be written for each molecular component of the gas in a mixture of gases (e.g., one for oxygen, one for nitrogen, etc.). There is a similar expression for the concentration of dissolved gas in the bulk solution, where the subscript b is used to indicate the solution “bulk”, for example, with P equal to Pb, signifying the gas phase pressure which would be in equilibrium with a concentration of the species in the bulk, Cb. Ignoring 2D and 3D dispersion effects, and assuming that the diffusion of gas molecules from within the bubble gas phase to the bubble/liquid surface is not rate limiting (so that an equilibrium condition between the dissolved gas at the bubble interface and the concentration of gas inside the bubble is maintained), a useful approximation for the rate of gas dissolution from the bubble trapped inside a feature can be obtained, expressed as:

  • R=dV/dt=DH(x iPi −x bPb)/h   (2)
  • where V is the bubble gas volume, t is time, D is the diffusion coefficient of the gas in the solution, h is the distance from the top of the trapped bubble to the edge of the boundary layer thickness, which resides at a distance δ above the upper wafer plane, and the subscript b corresponds to conditions in the bulk of the solution at the diffusion boundary layer interface. For a given chemical system at a fixed temperature (constant Henry's law constant and diffusion coefficient), two factors can lead to relatively rapid bubble dissolution: 1) a large concentration difference/driving force (xiPi−xbPb); and 2) a short diffusion distance h.
  • If the value of the driving force term H(xiP1−xbPb) is zero, the rate of dissolution is zero. Generally, this term is very small. Since the gas within the bubble typically comes from air inside a via in a wafer prior to the pre-wetting process, and the liquid is typically saturated with the same air prior to the pre-wetting process, the mole fraction at the bubble interface and in the bulk solution will initially be the same as that of air (e.g., x=0.21 for oxygen, both in the bubble and in the bulk solution). Therefore, for this situation and in general (i.e., unless other mechanisms are employed to enhance bubble dissolution), it is primarily the natural capillary difference in pressure within the bubble versus outside the bubble that results in bubble dissolution.
  • Trapped gas held within a small damascene feature (e.g., a via) can exhibit a very large internal pressure, due to strong internal capillary forces. The total internal capillary pressure is proportional to the contact angle and the surface tension, and inversely proportional to radius of curvature of the bubble,

  • Pi=Pext+δ cos θ/r   (3)
  • where Pi is the total internal pressure within the bubble, Pext is the external pressure of the fluid (typically about 1 atmosphere), a is the liquid/gas surface tension, θ is the solid/liquid/gas contact angle, and r is the radius of curvature. Note that the radius of curvature r cannot significantly differ from the feature width, so one can often substitute the radius of the via as an approximation for a bubble's radius of curvature. With a small via, the total internal pressure (and hence the partial pressure of each component) can become very large, exceeding several atmospheres or more. These large internal pressures then drive a non-equilibrium condition with respect to the bulk of the solution, and the bubble interface becomes significantly supersaturated with respect the amount of dissolved gas in the bulk of the solution at the same pressure (i.e., the amount of dissolved gas at the bubble's interface exceeds the gas solubility in the liquid). This satisfies one of the conditions for rapid bubble dissolution. For small vias, the small diffusion distance, “h”, also aids in a rapid dissolution rate.
  • In contrast, large vias with larger radius bubbles have both small excess internal pressure and much larger diffusion distances. Calculation/modeling of the time for complete bubble dissolution for various conditions (i.e., partial pressure of dissolved gas, rotation rate of wafer) as a function of the via depth for vias of a 3:1 aspect ratio (depth to width) with the via initially 50% filled of gas at atmospheric pressure, are shown in FIG. 1. For all of processes shown in FIG. 1, σ=60 dyne/cm (e.g., a value for water), D=1.9E−5 cm2/sec (e.g., a value for air in water), T=20° C., and Vi=50% of a via.
  • Vi is the initial volume of the bubble, under 1 atmosphere of pressure (i.e., only 50% of each via is filled with a bubble for generating these plots). For the Pext=0.2 cases, the pressure on the fluid is still one atmosphere, but the partial pressure of dissolved gas in the bulk of the liquid is only equivalent to that in equilibrium with 0.2 atmospheres of gas pressure. This condition could be achieved, for example, by having a trapped bubble form by flooding the surface with a degassed fluid with a pressure of 0.2 atmospheres while the pressure of gas over the fluid is 1 atmosphere. For the Pext=3 cases, the amount of dissolved gas in the liquid is equal to that in equilibrium with 1 atmosphere of pressure, but the pressure on the liquid and bubble is equal to 3 atmospheres of pressure. This condition could be achieved, for example, by having a trapped bubble form by flooding the surface with atmospheric-saturated liquid, and then applying an external pressure over that via/liquid/wafer of 3 atmospheres. In this case, the bubble immediately shrinks in size to 1/3 its original volume.
  • Comparing curves A and F (non-degassed pre-wetting fluid, with the amount of gas equal to that in equilibrium with 1 atmosphere of air) to curves B and C (a pre-wetting fluid degassed to a partial pressure equal to 0.2 of an atmosphere), the degassed solution cases have a lower bubble dissolution time. Curves F and C are similar by comparison, but the boundary layer thickness and dissolution time are greater because the wafer was rotated at a slower rate (12 rpm versus 90 rpm in A and B).
  • Curves A and F of FIG. 1 show that the time of dissolution of a bubble inside a via where the solution is saturated with air changes more than 5 orders of magnitude between a via 0.2 μm in size versus 50 μm in size. In small, submicron features, bubbles are unstable and dissolve quickly, but in larger features, bubbles will persist for very long times. For example, calculations indicate that a relatively large front end of the line structure 1 [tm in diameter and 4 μm in depth completely filled with gas will have that gas completely dissolved in less than a 4 seconds. In contrast, a 0.25 μm feature, 1 μm deep, is so unstable that it would dissolve in less than 0.4 seconds, and smaller structures essentially dissolve instantaneously. However, both of the favorable factors (i.e., high internal pressure and short diffusion distances) are missing in large TSV scale structures. In contrast, calculations show that it could take over 2 hours for a 25 μm wide, 100 μm deep feature to dissolve. Even if this feature were only filled 10% with gas at its bottom, it would still take 20 minutes or more for that gas to be removed.
  • Removing gas from the pre-wetting fluid reduces the time to dissolve a trapped bubble. In this case, the right term of the driving force (xbPb in equation 2) is diminished by stripping the gas from the solution, for example by reducing the partial pressure of the gas exposed to the pre-wetting fluid in a degassing unit under partial vacuum (i.e., by driving down the magnitude of this product in the gas side of the degassing unit, gas is driven out of the fluid). The gas in the trapped bubble is at approximately 1 atmosphere of pressure or more (when there is significant capillary pressure). At the bubble interface, the concentration of gas will be at near equilibrium with that of the same 1 atmosphere or more or pressure, but in the solution, the concentration as a whole, due to the degassing operation, is at a much lower concentration. This creates a significant concentration driving force and degree of sub-saturation of the gas in the solution (chemical “capacity”) to enable rapid dissolving the bubble.
  • This procedure at first may appear to be appealing, but may suffer from two limitations. First, for large deep vias, the diffusion distance for the gas may still be a significant limiting factor. Second, since the amount of gas in the solution can never be less than zero, the magnitude of the driving force for dissolution is limited to be no more than approximately HxiP (P=1 atmosphere). Comparing curves B and C to A and F of FIG. 1, the rate of dissolution of large features (e.g., 50 μm) decreases by a more than one order of magnitude over the non-degassed gas, but the dissolution time is generally still unacceptably long (e.g., at least 5-10 minutes). Note that the rate of dissolution of smaller features are not significantly affected by the use of a degassed solution, because the process is dominated by the large excess internal bubble pressure compared to the increase in 1 atmosphere of dissolve gas driving force.
  • FIG. 2 shows the bubble dissolution time for various feature dimensions (at 90 rpm rotation, 60 dyne/cm), where the amount of dissolved gas is the independent parameter. In each case, the bubble is initially 50% of the via size, and there is an external pressure of 1 atmosphere on the fluid and bubble, though the dissolved partial pressure changes as a function of the x axis. To clarify, in FIG. 2, the concentration of dissolved gas corresponds to the dissolved gas pressure on the x-axis, related by Henry's law. These partial pressure would be obtained, for example, by degassing the contacting fluid to the extent of the x-axis parameter. Bubbles in smaller, less deep features dissolve more quickly, the rate aided by the large internal capillary pressure. Again reducing the partial pressure for smaller features has less of a relative effect on reducing the dissolution time. For larger features (e.g., 50 μm×150 μm), there is diminishing benefit of reducing the partial pressure of dissolved gas below 30 to 40% of the saturated condition. In all but the smallest and most shallow features, the time of dissolution exceeds 100 seconds. Feature depth is a significant limiting factor in all cases, with deep features having long dissolution times.
  • Apparatus
  • Generally, the apparatus designs and methods described herein avoid the formation of a bubble within a recessed feature (e.g., a via) on a wafer substrate by first removing gas, primarily all non-condensable gasses (e.g., nitrogen and oxygen), from within the feature before pre-wetting the surface and feature with a fluid. To accomplish this, the wafer with the recessed features is placed in a vessel that is suitable for both holding the wafer and removing the gas from the wafer surfaces (e.g., a vacuum vessel). In addition to the vessel itself, a mechanism for removing the gas (e.g., a line connected to a vacuum source such as a pump) and a mechanism for depositing liquid onto the surface while the vacuum conditions are maintained, are needed.
  • Described herein are various apparatus designs for pre-wetting a wafer prior to, or within a short time after, the initiation of a plating process, where bubbles and gas that might otherwise be trapped within features recessed in a surface are avoided. Embodiments of a pre-wetting apparatus include various elements. Typically, a pre-wetting apparatus includes a pre-wetting fluid storage and return tank, including liquid mixing devices and liquid level controllers and sensors. In some embodiments, the apparatus includes a pre-wetting fluid degassing flow loop. Such a degassing flow loop includes a circulating pump, routing/diverting valves, a liquid degassing element, and a connection between the liquid degassing element and the system vacuum pump (used to pump down and apply vacuum to various liquid degassing elements on the tool and the pre-wetting chamber), in some embodiments. A pre-wetting apparatus also includes a pre-wetting chamber. A pre-wetting chamber, in some embodiments, includes a two position (open/closed) vacuum wafer access door or lid for access to the chamber and a combined door or lid and splash shield that prevents liquid from hitting and subsequently dropping from the upper walls or door onto the wafer surface. In some embodiments, inside the chamber is a wafer holder for supporting and rotating the wafer within the chamber. In some embodiments, the chamber includes an air-dome chamber-heater, used to prevent liquid condensation on the walls of the chamber that would otherwise reside above the wafer and the vacuum wafer access door and potentially drip onto the wafer. Pre-wetting chambers typically include an inlet port for pre-wetting fluid to enter the chamber and to direct pre-wetting fluid to land on the upper surface of the rotating wafer and an inlet line and chamber port for drawing and releasing vacuum on the chamber, the inlet line containing a particle filtration device and the inlet port containing a flow diffuser configured to disperse incoming gas flow and minimize chamber flow turbulence. In some embodiments, the chamber includes liquid level sensors for monitoring an empty/ready and overflow/over-full condition. Pre-wetting chambers also typically include a drain for removing liquid from the chamber and directing the drained fluid back to the storage tank.
  • Embodiments described herein overcome the deleterious effects of trapped bubbles, particular those bubbles which can be formed in larger vias or trenches in a wafer, by: (1) avoiding trapping gas in the via during pre-wetting altogether by removing substantially all of the atmospheric non-condensable gasses above the wafer and from within the via, and then pre-wetting the wafer with pre-wetting fluid; and/or (2) significantly increasing the rate a bubble will dissolve by applying a large external pressure on the fluid, thereby driving the bubble to dissolve in the fluid by creating a large supersaturated condition at the bubble interface. In addition to these pre-treating and pre-plating measures, in some embodiments plating is performed in a plating solution that is maintained in a degassed state, and in other embodiments, the plating solution is degassed in the line just prior to being exposed to the wafer surface.
  • In some embodiments it is possible to perform pre-wetting within an electroplating cell, where the pre-wetting fluid has the same composition as the plating solution. However, for a variety of reasons, including the hardware complexity of combining plating processes with vacuum processes, pre-wetting (including vacuum feature-backfilled pre-wetting) is often performed in a different cell, sub-cell, or module than the plating cell. When pre-wetting under vacuum is performed in a distinctly different area of the plating cell, or in a distinctly separate module from the plating cell, rather than in the plating solution, the composition of the pre-wetting fluid can be selected. The pre-wetting fluid may have the same, or very similar, composition as that subsequently used for plating the wafer. The pre-wetting fluid may include all the elements of the plating bath (e.g., the same solvent(s) and same dissolved metal ions, acids, cation, additives and halides, at the same or very similar concentrations as in the plating solution). Such a pre-wetting fluid may work in some embodiments. Alternatively, in other embodiments, a pre-wetting fluid that is very different from the plating solution may be used. For example, in some embodiments, a pre-wetting fluid of 1) water, 2) a fluid with a substantially higher metal ion concentration than that of the plating solution, 3) a fluid having either a lower, different combination of, or no dissolved halides, 4) a fluid substantially free of one, a few, or all of the plating additives, or 5) water-miscible solvents may be used as pre-wetting fluids. Such pre-wetting fluids are further described herein.
  • A number of factors should be considered when selecting a pre-wetting fluid composition, including the possibilities of: a) corroding the metal layers on a wafer substrate before initiation of plating; b) inhibiting the plating process (i.e., slowing down or inhibiting altogether the feature metal-filling process); c) the loss of pre-wetting fluid to subsequent pre-wetting fluid reuse; and d) altering (by adding, diluting, or concentrating) various critical species concentrations within the plating bath over time. The latter process may alter the metal ion concentrations, halide concentrations, organic additives, etc., in the plating bath. These effects can be quite substantial. Furthermore, when using a pre-wetting fluid of a different composition than the plating bath, performing the pre-wetting process in the same module without enabling suitable mechanisms of removing and recovering excess entrained pre-wetting fluid that would be added to the plating solution would generally require mechanisms for mitigating, monitoring and/or otherwise correcting for plating solution modification over time. On the other hand, the use of hardware and a process wherein the pre-wetting operation is performed in a separate treatment station, module, vessel, or sub-vessel of the plating cell that allows for separation and recovery of this fluid may be advantageous because it can avoid such issues. With this background, and in order to simplify the description of core concepts of embodiments, many embodiments are described hereafter in the context of a separate pre-wetting “station” and a separate “plating station”, with the wafer being transferred from the former to the latter. However, while perhaps favorable in some circumstances (e.g., for avoiding mixing of unlike liquids or for other reasons), the aspect of embodiments related to the particular choice of pre-wetting materials, the general fluid, and plating processing sequences are not intended to be so limited.
  • FIG. 3 depicts a schematic layout of one embodiment of a pre-wetting apparatus (i.e., chamber 301 and associated hardware). The chamber 301 is connected to vacuum pump 303 though an outlet in the chamber and through a three-way valve connection 305. On the other side of the three-way valve is degassing loop 306 that includes a pre-wetting fluid tank 307, a degassing device 309, and a pump 311 for circulating the pre-wetting fluid around the degassing loop. In another embodiment, the pre-wetting fluid feed line and the vacuum line are not connected except at the chamber, and each has its own valve (i.e., there is no three-way valve). In an alternative embodiment, the chamber has an inlet for admitting pre-wetting fluid and an outlet adapted for connection with a vacuum pump. The position of the pump 311 can after the degassing element, if it is desired to drive the fluid into the chamber by the pump rather than by being sucked into the chamber by a pressure differential between the pre-wetting fluid tank 307 and the chamber 301.
  • In some embodiments, the area in the pre-wetting fluid holding tank 307 gasses by applying a vacuum to the holding tank using a vacuum pump (not shown) so that a minimum amount of dissolved gas is achieved. The rate or removal of the gas from the pre-wetting fluid can also be increased by increasing the exposed surface of the fluid to the vacuum, for example, by having the fluid re-enter the chamber from the circulation loop in a spray or through a spray column. In the embodiment of the system shown in FIG. 3, pre-wetting fluid is circulated though the degassing device 309 (e.g., in some embodiments, a membrane contact degasser) for removing one or more dissolved gases (e.g., both O2 and N2) from the pre-wetting fluid prior to pre-wetting. Examples of commercially available degassing devices include the Liquid-Cel™ from Membrana of Charlotte, N.C. and the pHasor™ from Entegris of Chaska, Minn. The amount of dissolved gas can be monitored with an appropriate meter (e.g., a commercial dissolved oxygen meter (not shown)). The removal of the dissolved gas prior to the pre-wetting fluid entering chamber 301 can improve the pre-wetting process, as is described herein. After degassing the pre-wetting fluid, optionally, the valve 315 between the vacuum side of the degassing chamber 309 and the vacuum pump 303 is closed (this prevents gas initially in the chamber from becoming dissolved in the degassed pre-wetting fluid; in some embodiments, separate pumps can be used for these two functions).
  • Unlike conditions that exist when using an apparatus similarly configured to that of FIG. 3, if the pre-wetting fluid is not degassed prior to exposing it to a wafer under vacuum, dissolved gas from the fluid can be released from the fluid as it enters the chamber. This results in bubbles forming inside the vias. While not wanting to be limited by a particular model or theory, a via bottom is a location of negative curvature, and it is believed that this location is a particularly susceptible to nucleating a bubble and releasing gas from the pre-wetting fluid. If this occurs, bubbles will be formed from the pre-wetting fluid containing dissolved gas because it is supersaturated with gas under the pre-wetting conditions (e.g., vacuum in the chamber). The bubbles so formed can remain there after the pre-wetting process, which in turn can inhibit plating there and lead to associated defects. Therefore, in some embodiments (including the embodiment shown in FIG. 3), the pre-wetting fluid used in the pre-wetting process is a degassed pre-wetting fluid. In some embodiments, the degassed pre-wetting fluid may be a plating solution, and the pre-wetting methods described herein may be preformed in the same chamber as the plating chamber itself. If a separate pre-wetting chamber and apparatus are employed, but the pre-wetting fluid is not degassed, then intermittent and unreliable filling results may be observed. For example, when vias on a wafer are filled with pre-wetting fluid (with the wafer under vacuum) without first degassing the pre-wetting fluid, it has been found that approximately 15% of the vias still have air bubbles in them (as indicated by the same percentage having post-plating voids, indicative of trapped gas bubble therein). Thus, in some embodiments, it is important to perform pre-wetting under vacuum (i.e., at a subatmospheric pressure) and with a degassed fluid.
  • In contrast, the use of a degassed pre-wetting fluid in combination with a pre-wetting operation under vacuum (i.e., at subatmospheric pressure) leads, in some embodiments, to significantly fewer feature voids than when pre-wetting under vacuum alone is employed. In specific embodiments that give good protection against forming voids, a combination of a degassed pre-wetting fluid with pre-wetting under vacuum is further combined with plating in a plating solution that is degassed. The plating solution may be degassed only in the initial stages of plating (e.g., for only about the first 10 minutes of the plating process), or remain degassed for the entire plating process (e.g., if the plating time is greater). Experiments performed under these conditions produced vias that were void free.
  • Returning to FIG. 3, after the pressure in chamber 301 has reached a low value (i.e., a subatmospheric pressure), the three-way valve 305 to the vacuum pump location is switched to connect to the line from the degassing loop 306, and the three-way valve 313 of the degasser loop is set to allow fluid to be directed into the vacuum chamber 301. In some embodiments, the subatmospheric pressure is about equal to that of the boiling pressure of the pre-wetting fluid at the temperature of operations, which for water at ambient temperature is about 20 torr. In other embodiments, the subatmospheric pressure is about 50 torr. In further embodiments, the pressure of 50 torr is maintained while pre-wetting the wafer substrate. In alternative embodiments, the pre-wetting system is configured to initiate introduction of the pre-wetting fluid into the chamber and onto the wafer substrate after the pressure in the chamber has been reduced to less than about 50 Torr. In embodiments where the pre-wetting fluid tank 307 is at atmospheric pressure, liquid is drawn into the chamber 301 by the pressure differential between the vacuum chamber and the pre-wetting fluid tank.
  • The pre-wetting fluid wets the device side of the wafer surface of a wafer in the chamber 301. Needle valve 317 can be used to meter the flow of the pre-wetting fluid into chamber 301. Embodiments of chamber 301 are described herein. Chamber 301, in some embodiments, is a pressure chamber configured to apply an external pressure to increase the rate of bubble dissolution, as described herein. In further embodiments of a pre-wetting apparatus, the pre-wetting apparatus includes a transfer mechanism configured for transferring the wafer substrate from the pre-wetting chamber to an electroplating apparatus.
  • In some embodiments, the pre-wetting fluid is cooled prior to injection into the pre-wetting chamber (e.g., 0° C. for water, or −10° C. for suitable electrolytes). In other embodiments, the degasser is configured for cooling the pre-wetting fluid to a temperature of less than about 20° C. Other examples of methods for cooling the pre-wetting fluid include passing the fluid over a heat exchanger in the pre-wetting fluid holding tank or though a in-line cooler (both not shown in FIG. 3). Cooling the pre-wetting fluid reduces the partial vapor pressure of the solvent of the pre-wetting fluid, which allows for greater applied vacuum, for example, to the degassing device. Lowering the temperature of the pre-wetting fluid can also be effective in increasing both the surface tension and viscosity of the pre-wetting fluid, which tends to make the phenomena of degassing device “blow through” or “weeping” less prevalent. Weeping can be a particularly difficult problem when dealing with salt containing pre-wetting fluids, because weeping salt laden fluids tend to dry and destroy the pores of the degassing device. Using lower temperature fluids reduces the tendency of salt laden electrolyte to evaporate and flow, avoiding this known source of degassing device failure. For example, the vapor pressure of water (with a small amount of salt) is about 2.7 to at −10° C. versus 17.5 torr at 20° C. and 32 torr at 30° C. With a 20 torr vacuum (yielding about 0.5 ppm dissolved atmospheric gas) applied to a degassing device, a 30° C. pre-wetting fluid will literally boil and leave salts around the pores of the degassing device, and a 20° C. pre-wetting fluid will evaporate rapidly. Very little degassing device salting occurs when using a −10° C. pre-wetting fluid, however. Thus, in general, more dissolved gas can be removed more efficiently from a degassing device with a lower temperature fluid. In some embodiments, the pre-wetting fluid is cooled to a temperature of less than 20° C., for example 0° C. or less, while it is degassed and before it enters the processing chamber. Also, reducing the temperature of the pre-wetting fluid reduces the rate of metal corrosion in the pre-wetting system.
  • In some embodiments of a pre-wetting apparatus, the surface of a wafer is wetted with a pre-wetting fluid followed by the application of an external pressure to the fluid. The wafer surface is first contacted with the fluid using an appropriate mechanism, usually immersing the wafer in a pre-wetting fluid (described herein). In these embodiments, the pre-wetting chamber includes an inlet for admitting pre-wetting fluid and the chamber is configured for operating at a higher than atmospheric pressure during or after pre-wetting. The application of an external pressure to the fluid facilitates the removal of bubbles. In some embodiments, the pre-wetting fluid is preconditioned so that it is substantially free not just of oxygen (e.g., to minimize corrosion of the metal on the wafer), but of all dissolved non-condensable gasses, such as nitrogen and carbon dioxide, prior to the pre-wetting of the surface, to accelerate the dissolution rate of any trapped bubble in a recessed feature. Exposure of a wafer to deoxygenated processing fluid for use in the treatment of a semiconductor wafer is described in U.S. Pat. Nos. 6,021, 791 and 6,146,468, which are incorporated herein by reference.
  • After immersion of the wafer into a pre-wetting fluid or covering of the wafer with a pre-wetting fluid, the region around the wafer (e.g., a pressure chamber) is closed and sealed, and an external pressure is applied to the chamber and fluid. Pressure may be applied pneumatically (e.g., introducing high pressure gas into the chamber in the area over the fluid), or hydraulically (e.g., with the chamber substantially free of non-dissolved gas and using a hydraulic piston or other suitable device to apply external pressure to the fluid). As the pressure in the chamber increases, the bubble will decrease from its original size. When using pneumatic (gas) pressure to compress the trapped bubble, it may be important to avoid dissolving substantial amounts of gas into the pre-wetting fluid, particularly in the vicinity of the bubble. In some embodiments, a stagnant, relatively thick layer of fluid, for example, greater than 1 cm in thickness, is used. In other embodiments, the pneumatic pressure is applied to the chamber though a long tube with a substantial resistance for dissolution of gas from reaching the interface so that the gas that contacts the liquid does so over a relatively small surface area and has a relatively long diffusion path, limiting the amount of gas that can dissolve in the fluid over a period of time. However pressure is applied, the driving force for dissolution of the trapped bubble will increase with applied pressure. For a large bubble without significant capillary pressure effects, the driving force for dissolution will be approximately equal to the product of the initial mole fraction of the particular gas component in the bubble and the difference in applied pressure to the chamber and the initial partial pressure of dissolved gas in the fluid. This later quantity will vary depending on the extent of degassing performed on the pre-wetting fluid.
  • While pressure can be applied either pneumatically or hydraulically, in pre-wetting embodiments that are not immersion embodiments, but rather coverage of a wafer with a thin layer of pre-wetting fluid, the pneumatically applied external pressure will potentially allow gas to redissolve quickly into a (e.g., degassed) thin layer of pre-wetting fluid. There is a competition between gas uptake from the external pressurized gas source versus gas dissolution into the liquid from the bubble. Therefore, a relatively thick layer of pre-wetting fluid should be used for non-immersion pre-wetting operations. Also, there are a limited number of practical mechanisms for applying hydrostatic pressure to the thin layer of pre-wetting fluid on a wafer. One possible mechanism for doing so is to create a face-up wafer and a pre-wetting liquid fluid containing cup. In contrast, there is a much wider tolerance with thick layers of pre-wetting fluid and the immersion pre-wetting method. This is because pressure can be transmitted to the bubble by a purely hydrostatic mechanism, and alternatively, application of pneumatic pressure will not quickly re-saturate the pre-wetting fluid around a bubble in a via with gas because of the relatively long diffusion distances involved.
  • When pressure is applied, with the gas partial pressure in the bubble exceeding that in the pre-wetting fluid, the bubble will begin to dissolve. Eventually the bubble will completely dissolve, the total time for which depends on parameters such as its initial size, the applied pressure, and the original depth of the bubble inside the feature. After the bubble has completely dissolved, some time should generally be allowed to pass before the pressure is released, so that any excess dissolved gas (beyond that which will be soluble at 1 atmosphere) can equilibrate into the pre-wetting fluid as a whole. This avoids the possibility of re-nucleating a bubble inside the feature. When this is procedure is followed, the bubble will be removed from the feature and not reform upon release of the excess external pressure.
  • Referring to FIG. 1, curves D and E (90 versus 12 rpm rotation in a plating bath respectively) are calculated for the rates of bubble dissolution as discussed above, but in this case a) with the amount of initial gas dissolved in the solution equal to that in equilibrium with 1 atmosphere air (same as condition A, i.e., no degassing of the contacting fluid) and b) with an external applied pressure of 3 atmospheres. For this case, the total pressure of dissolved gas in the bulk fluid is equal to air at 1 atmosphere, and at the interface of the bubble, in equilibrium with 3 atmospheres of pressure. Comparing cases A and F (no degassing and no pressurization) with cases B and C (degassing but no pressurization) and cases D and E (no degassing but with pressurization) in FIG. 1, the pressurization of the fluid appears to be a good method in terms of achieving the shortest time of dissolution. Using a previously degassed pre-wetting fluid (0.2 atmospheres) in combination with a 3 atmosphere external fluid pressurization (a case not shown in FIG. 1) will generally lead to a further 50% reduction in degassing time for large features (3-1=2 atmospheres driving force versus 3-0=3 atmospheres driving force), according to calculations.
  • Note, however, that there is a potentially significant added advantage of using degassed fluid in this operation beyond just the reduction in gas removal time (which could be achieved, for example, by simply increasing the pressure to, say 4 atmospheres of pressure in this case). After the release of the externally applied pressure to the chamber, gas from the bubble and some of the gas from the external source (if pneumatically driven) will have dissolved into the pre-wetting fluid. As indicated above, unless one waits for equilibration (which can be a relatively slow process, taking several minutes or more), there is a tendency to re-nucleate and re-form a bubble inside the via, since after releasing the pressure, the fluid (particularly inside the feature) still can contain gas at a concentration in excess to that soluble at ambient conditions/pressures (i.e., in excess of that which would be in equilibrium with a pressure one atmosphere). In contrast, if the fluid is degassed before application of the externally applied pressure, this equilibration time can be largely eliminated, since there is a substantial excess capacity to absorb the gas from the bubble and thereby avoid re-nucleation and precipitation of the bubble.
  • Finally, depending on the wafer's orientation and the surface tension between the bubble and the inner via surface, it is possible that the shrinking of the trapped bubble by external compressive pressures down to a size significantly less than the diameter of the via could allow that bubble to detach itself from the wall and subsequently rise out of the via mouth due to its own buoyancy. Once the bubble has exited the via, the pressure can be removed without the possibility of the bubble being trapped inside. The terminal rise velocity of a bubble less than about 0.5 mm rising in an infinite media (no wall effects) depends on its diameter a, the kinematic viscosity ν, and the Reynolds number Re, and can shown to be approximately given by:
  • V = 2 9 ga 2 v for Re < 1.0 ( 4 ) V = 1 9 ga 2 v for 20 < Re < 100 ( 5 )
  • where g is the acceleration of gravity and ν is the pre-wetting fluids kinematic viscosity (fluid viscosity divided by fluid density).
  • The difference in the behavior of these cases (i.e., (4) and (5)) is that for low Re, convection is negligible and no wake is developed behind the rising bubble, versus the irrotational case (i.e., when the Reynolds number is high), where wake drag is considered and results in twice the drag. The time it takes for a bubble to rise the depth of the via can be calculated as t (sec)=h/V, which, for example, for a 10 μm diameter bubble in a 100 μm deep via (0.01 cm) would be about just under one second. Typically a 100 μm deep via might have a 25 μm diameter opening, so the assumption of a bubble rising in an infinite media is not correct, as wall flow-slip effects will increase the time. It is recognized that one could further speed up the process if an external body force were applied to the system in excess to or instead of gravity. For example, a centripetal force could be applied by spinning the wafer with the wafer opening pointed towards the center of rotation, helping to drive the bubble inwards.
  • Equations 4 and 5 underestimate the actual bubble rise time when the bubble diameter is close to the size of the via. This underestimation becomes a factor when the assumption of the bubble rising in an infinite media becomes fundamentally incorrect (i.e., for bubble diameters greater than about ¼ the feature diameter size). The shear flow stresses between the movement of the rising bubble and the via wall begin to dominate under such circumstances. Still, the conditions that satisfy the assumptions can be achieved simply by applying more pressure to the system (shrinking the bubble further), or by simply accounting for the expected longer bubble rise/clearing time when the bubble diameter is close to the via diameter.
  • Different designs of pre-wetting chambers are described herein. One embodiment of a pre-wetting chamber is shown in FIG. 4. In this embodiment, the pre-wetting chamber is configured for delivering the pre-wetting fluid onto the wafer substrate in a liquid form. The pre-wetting chamber may also be configured for spraying or streaming the pre-wetting fluid onto the wafer substrate for a period of time. In FIG. 4, a wafer 401 is held face-up in pre-wetting chamber 403 with wafer holder 402. In some embodiments, the wafer holder is configured to hold the wafer substrate in substantially a horizontal orientation (i.e., parallel to the Earth's horizon), during the pre-wetting process. In other embodiments, the wafer holder is configured to hold the wafer substrate in substantially a vertical orientation during the pre-wetting process.
  • In a typical operation, vacuum is first pulled on chamber 403 though vacuum port 409, which is connected to a vacuum system (not shown). This reduces the pressure in the chamber to a subatmospheric pressure. After much of the gas in the chamber is removed by the vacuum, pre-wetting fluid is delivered onto the wafer surface from the nozzle 405 or other mechanism. In some embodiments, the pre-wetting fluid is degassed prior to contacting the wafer surface, again, to avoid gas being released as the pre-wetting fluid enters the vacuum environment. The wafer may be rotated with motor 407 during the pre-wetting fluid delivery process to insure complete wetting and exposure of the wafer. In some embodiments, the pre-wetting chamber is configured to deliver the pre-wetting fluid onto the wafer substrate. In some embodiment, the pre-wetting fluid is liquid. In some embodiment, the pre-wetting fluid (a liquid) first contacts the rotating wafer substrate within about 3 cm of the center of the wafer substrate. After pre-wetting, the wafer is spun at a low rpm with motor 407 to remove entrained pre-wetting fluid, but leaving a thin layer of fluid on the wafer surface. Excess pre-wetting fluid is drained and exits the vacuum chamber through port 411. The wafer is then transferred to a standard plating cell such as a Novellus clamshell cell for plating with a thin layer of pre-wetting fluid retained by surface tension on its surface and within its features.
  • FIG. 5 depicts an isometric view of an embodiment of a pre-wetting chamber suitable for performing pre-wetting process described herein. FIG. 5 is a detailed schematic of a pre-wetting chamber similar to the embodiment shown in FIG. 4. Pre-wetting chamber 501 includes a motor 503 for rotating the wafer during processing is anchored below the chamber via the chuck to chamber base 504 by a motor-and-bearing supporting member 505, both of which also create a fluid seal between the bearing 507 and the underside of the chamber and the bearing. The bearing is a commercially available vacuum-pass-through central shaft rotary bearing. The motor is attached via a coupling 509 to a drive shaft 511 that passes though a vacuum isolating bearing to the chuck base 513. The chuck has three arms (515 is one arm) to support the wafer (wafer not shown), confinement pins, and other alignment apparatus 517 as appropriate.
  • At the lower section of the chamber is a drain 519 for removing excess pre-wetting fluid that may accumulate there after it is applied to the rotating wafer. The fluid is flung out toward the chamber walls and drops to the chamber base. In some embodiments, a wafer peripheral “fluid defector shield” (not shown) is positioned approximately in the plane of the wafer to deflect fluid emanating from the wafer edge downward before hitting the chamber wall. The deflector shield may be moveable, or the wafer and wafer chuck plane may be adjusted by appropriate vertical moving mechanisms and seals. Also at the base of the chamber is a vacuum inlet and vacuum release line 521, housed in a fluid protecting shield 523 is some embodiments. This shield helps prevent surges of gasses from unnecessarily disturbing fluids within the chamber as well as minimizing the amount of liquid drawn into the vacuum line by isolating the two. While the vacuum line (and shield) can be located in the upper section of the chamber, it is advantageous to draw vacuum from below the wafer so as to minimize the propensity of any particles falling onto the wafer and forming defects. This can occur if particles or other materials enter the chamber during back filling the chamber with a gas or from the ambient environment while the chamber door is open. To minimize particles and other materials from entering the chamber, the chamber is typically backfilled with a particle-filtered inert gas such as nitrogen, carbon dioxide, or argon, and a slight positive pressure of clean particle free gas is feed to the chamber while the door is open. The backfill gas is typically filtered and the entering fluid enters a flow diffuser mounted on the wall of the chamber, so as to avoid a gas flow jet that might dry the wafer or disturb any chamber contents unnecessarily.
  • In some embodiments, a pre-wetting fluid nozzle 525 is located above and to the side but not over the centrally located wafer and wafer chuck, oriented and configured to spray or stream fluid to reach the wafer central regions. In other embodiments, the pre-wetting fluid nozzle is attached to a movable arm which can be positioned over the wafer. In the embodiment shown in FIG. 5, the chamber vacuum door 527 is located along the walls of the chamber, and configured to seal to the chamber itself. It can be moved away from the chamber as well as downwards (or upwards) so a wafer can enter the chamber freely, and then be repositioned to the sealing position after a wafer is placed onto the wafer holding chuck. The doors and other elements that potentially could hold entrained fluid should be designed such that the fluid may not drip onto the wafer. For example, the door's retracted position and associated hardware may be positioned below the plane that the wafer creates on insertion into the chamber, so as to avoid dripping fluid of otherwise contaminating the wafer during transit in or out of the chamber.
  • In some embodiments, the upper section of the chamber, particularly the areas above the plane where the wafer sits in the chuck and is extracted through the door, are heated above the temperature of the wafer that is to be pre-wetted. This includes both the areas that reside above the wafer (top surface or vacuum dome, not shown in FIG. 5) as well as the peripheral areas around the wafer. This heating is useful in avoiding liquid from dropping from the ceiling of the chamber onto the wafer before vacuum conditions are established, potentially trapping an air bubble inside a via where the drop fell, circumventing the desired process of putting a pre-wetting fluid onto the wafer only when air is first removed from the vias. Similarly, during the placement of a wafer into the chamber, liquid falling from the walls onto the wafer surface would have a similar effect. By heating the chamber walls, condensation on the walls and ceiling is avoided, as well as enabling the rapid evaporation of any stray droplets that might otherwise reach those positions, thereby keeping these areas dry.
  • While not shown in FIG. 5, in some embodiments a vertically moveable and automatable splash shield is positioned peripheral to the wafer and chuck and inside the chamber. The splash shield can be moved upwards during the application of fluid or at other times as suited to minimize and avoid, among other things, liquid from contacting the chamber door or upper walls. Alternatively, the wafer chuck can be moved downwards deeper into the chamber and below the plane of the vacuum door after wafer insertion, accomplishing the same purpose.
  • In other embodiments, rather than delivering a pre-wetting fluid to the wafer surface, the wafer is immersed in or otherwise covered with a pre-wetting fluid (e.g., by condensation) while vacuum conditions are maintained above the fluid and wafer. Since the creation of a vacuum within the chamber creates conditions where there is substantially no non-condensable gas in the chamber, the pre-wetting fluid is not impeded from entering a via. Put another way, the liquid does not need to displace any gas located within a via during pre-wetting, since the gas has been removed in a separate operation (pulling vacuum) prior to the pre-wetting operation.
  • For example, in one embodiment, after vacuum is applied to the pre-wetting chamber, a condensable fluid vapor is created within the chamber or introduced to the chamber (e.g., vapors of water (e.g., low pressure steam), methyl alcohol, dimethylcarbonate, diethylcarbonate, isopropyl alcohol, dimethyl sulfoxide, and dimethyl formamide, or other liquid used as the subsequent plating electrolyte, easily dissolvable in a subsequent rinse, or soluble in the subsequent plating electrolyte). In embodiments where the wafer substrate has at least one recessed feature and the pre-wetting chamber is configured to deliver pre-wetting fluid onto the wafer substrate in a gaseous from, the pre-wetting fluid condenses to form a liquid film on the wafer surface that fills the recessed feature with the pre-wetting fluid. FIG. 6 depicts an embodiment of a pre-wetting chamber that is configured for such a condensation pre-wetting process. FIG. 6 shows chamber 601 that has a moveable vacuum lid (alternatively an access door) 609 allowing access to the chamber, a line to a vacuum source 611, a vacuum release line 613, and a condensable fluid inlet 615. Vacuum seal 617 seals the lower vacuum containment vessel 619 from the rest of the chamber. The wafer 603 sits on a wafer cooling element (chiller) 605 that is part of the wafer holding fixture (chuck) 607. The wafer cooling element 605 reduces the wafer substrate surface temperature to a temperature below the condensation temperature of the pre-wetting fluid that flows into the chamber thorough inlet 615 as a vapor. In another embodiment, after creating a vacuum and removing the condensable gasses (e.g., air) from the chamber 601 with vacuum, water is simply heated and allowed to vaporize (i.e., boil) in the chamber, and allowed to condense on the surfaces, including and preferentially on the cooler wafer 603, inside the chamber. For example, in a chamber without vacuum seals 617, a small amount of water in the lower section 619 of the chamber could be heated and allowed to flash simultaneously while vacuum is pulled inside the chamber. The connection to the vacuum can be removed (closed) at some point during the process.
  • In another embodiment, the wafer substrate is immersed into a bath of pre-wetting fluid for a period of time. FIG. 7 depicts an embodiment of a pre-wetting chamber configured for such an immersion pre-wetting process. In FIG. 7, the wafer 701 is held in wafer holder 702 in a chamber 703. Chamber 703 has an inlet 711 for admitting pre-wetting fluid. As shown, the wafer is held in the wafer holder face up, and held by an appropriate mechanism that still allows fluid to reach wafer from the peripheral edge. Vacuum is pulled on chamber 703 though vacuum port 707, which is connected to a vacuum system (not shown). Then, the wafer is wetted with a pre-wetting fluid by, for example, either 1) the wafer and wafer holder moving down into the pre-wetting fluid 713 or 2) the pre-wetting fluid level rising by fluid entering through inlet 711. During the pre-wetting process, the wafer may be slowly rotated with motor 705. After the pre-wetting process, the liquid level is lowered, or the wafer raised, and the wafer is spun at low rpm with motor 705 to remove excess entrained fluid, leaving a thin pre-wetting fluid layer. A flow of nitrogen gas through port 709 may also be used to dry the backside of the wafer while the frontside of the wafer remains wetted. The wafer is then transferred to a standard clamshell for plating.
  • In other embodiments of the pre-wetting chamber shown in FIG. 7, the wafer can be held in a face down position. In some embodiments of a pre-wetting apparatus with a pre-wetting chamber as shown the FIG. 7, the pre-wetting apparatus is configured to initiate immersion of the wafer into the pre-wetting fluid after the pressure in the chamber has been reduced to less than about 50 Torr. The pre-wetting chamber 703 shown in FIG. 7 can be used in embodiments in which an external pressure is applied to dissolve bubbles, as described herein. The chamber and other components would need to withstand internal pressures instead of or in addition to vacuum.
  • FIG. 8 depicts another embodiment of a pre-wetting chamber configured for an immersion pre-wetting process. FIG. 8 shows pre-wetting chamber 801, wafer 809, and fluid 813 or wafer holder 803 moving relative to each other. In this embodiment, the chamber and wafer holder 803 can be tilted for precise control of the pre-wetting front and complete liquid removal from the chamber. Also, the gap between the wafer 809 and the bottom of the chamber is small. As in FIG. 7, pre-wetting fluid in FIG. 8 may enter/exit though port 811 and a vacuum may be pulled on chamber 801 though vacuum port 807, which is connected to a vacuum system (not shown). Excess entrained fluid may be removed from the wafer surface by spinning it at a low rpm with motor 805. The embodiment shown in FIG. 8 is particularly useful when pre-wetting the wafer substrate surface with a high-cost pre-wetting fluid, or when it is otherwise desirable to use a minimal amount of pre-wetting fluid (e.g., so the level of dissolved gas can be maintained at low levels). After pre-wetting, the wafer is transferred to a standard clamshell for plating. A similar design of a narrow-gap, tilted surface pre-wetting apparatus, but without a mechanism for applying a vacuum during the pre-wetting operations, is described in U.S. patent application Ser. No. 11/200,338, filed Aug. 9, 2005, herein incorporated by reference.
  • The chamber shown in FIG. 8 can also be used in the embodiment in which an external pressure is applied, as described above. In this embodiment, the chamber and other equipment is designed or modified to be able to withstand and maintain internal positive pressures.
  • An embodiment of an apparatus in which the pre-wetting process is performed in a plating cell is shown in FIG. 9. Alternatively, this can also be stated that the pre-wetting chamber is configured to both pre-wet a wafer substrate and to electroplate a layer of metal on the pre-wetted wafer substrate. In FIG. 9, chamber 901 is a plating cell, with a vacuum sealing surface being a section of the cell wall 903. Wafer holding fixture 905 holds wafer 915. In the embodiment depicted in this figure, the plating cell contains an ionically resistive ionically permeable high resistance virtual anode (HRVA) 907 and a separated anode chamber (SAC) region 909. One example of an HRVA containing apparatus is described in U.S. patent application Ser. No. 12/291,356, filed Nov. 7, 2008, which is incorporated herein by reference in relevant part. See also U.S. patent application Ser. No. 11/506,054, filed Aug. 16, 2006, which is incorporated herein by reference in relevant part.
  • Initially wafer 915 is held above the plating solution 913 and the vacuum is drawn on the chamber through vacuum port 911. When vacuum is drawn on the chamber, vacuum typically should be drawn on the backside of the wafer through the wafer holding fixture so that the wafer does not fracture. Afterwards, the fluid level 913 is raised, wetting the wafer surface. In some embodiments, this fluid is pre-wetting fluid, and in other embodiments, this fluid is a plating solution. In some embodiments, the fluid is degassed prior to contacting the wafer surface. Since there is no gas in the chamber, the fact that the wafer is face down does not lead to any trapped gas-containing bubbles below the surface or inside the vias. After the pre-wetting is complete, the vacuum can be released. Electroplating a metal (in some embodiments, copper) on wafer 915 can then begin. It is generally simpler (mechanically and processing conditions) to perform plating at ambient pressures, with or without wafer rotation. Alternatively, the vacuum can be held throughout the electroplating process. Again, it is advantageous in this and other embodiments to have the fluid degassed prior to performing the pre-wetting operations. Otherwise the fluid may release dissolved gas, forming bubble inside the features or on the surface as gas is driven out of the liquid by the lower pressure.
  • A general description of a clamshell-type plating apparatus having aspects suitable for use with embodiments described herein is described in detail in U.S. Pat. Nos. 6,156,167 and 6,800,187, which are incorporated herein by reference for all purposes.
  • FIG. 10 depicts an embodiment of an electroplating system/module 1001 for processing wafers. The particular tool layout shown contains two separate wafer handling robots, 1003 which moves a “dry” wafer from a cassette stationed in a front FOUP (front opening unified pods) loader 1005 to an aligner module/transfer station (not shown) and a transfer chamber robot 1004. The aligner module ensures that the wafer is properly aligned on a transfer chamber robot 1004 arm for precise delivery to other chambers/modules of the system. In some embodiments, the aligner module both aligns the wafer azimuthally (so called “wafer notch aligning”) as well as in the vertical and horizontal planes to a particular location (i.e., fixes the wafer's x, y, and z position registry).
  • The same or a different transfer chamber robot is used to feed the wafer back from the back end “wet processing area” of the tool to the FOUP after processing and drying is completed. A back end robot (not shown) may contain two or more arms, each with a single or multiple “end-effectors” to grip the wafer. Some “end-effectors” grip the wafer at the bottom of the wafer with a vacuum “wand”, and others may hold the wafer only at is peripheral edge. In some embodiments, one robot wafer handling arm end-effectors is used only for handling a wafer that has a wet surface, and the others reserved for handling only fully dry wafers, thereby minimizing contamination.
  • After a wafer enters the transfer station (containing transfer chamber robot 1004), the wafer typically is fed to a pre-wetting chamber 1013 (i.e., a pre-wetting apparatus is a station in a module, the module further including an electroplating station configured to electroplate the wafer with a metal, the metal being copper in some embodiments), the various embodiments of which are described herein. In other embodiments, system 1001 is configured for an anodic process. In such embodiment, the module further includes a station configured for an anodic process, such as electroetching or electropolishing.
  • The pre-wetting chamber 1013 is either configured to pre-wet a wafer under vacuum or to apply pressure to a wetted wafer, and in some embodiments, both. Using a pre-wetting chamber configured to pre-wet a wafer under vacuum as an example, ambient air is removed from the chamber while the wafer is spun. Once vacuum is achieved, the device side of the wafer is exposed to degassed pre-wetting fluid (degassed in module 1015 with a degassing flow loop). After wetting is complete, excess fluid is removed, gas is reintroduced to the chamber to atmospheric pressure, and the chamber is opened to allow the wafer to be extracted by the robot or other transfer mechanism. In some embodiments, the transfer mechanism is configured to transfer the pre-wetted wafer substrate from the pre-wetting station to an electroplating station in less than about one minute.
  • In some embodiments, the wafer is then placed into an aligner (not shown), such as a notch aligner. By passing though a high-accuracy notch aligner, accurate placement into a edge sealing plating cell, which excludes plating solution from the back and the very small device side edge exclusion region (e.g., about 1 mm from the edge), is possible. The plating cell may be specially designed to have a seal that traverses the notch area. Plating and feature filling (i.e., a layer of metal is electroplated on the wafer substrate) occurs in plating cell 1021, 1023, or 1025, (i.e., electroplating stations) and in some embodiments, the plating solution is a degassed solution. In some embodiments, the metal is copper. The electroplating stations are configured to immerse the wafer in a degassed plating electrolyte in the electroplating station. In some embodiments, an electroplating station is configured to cathodically polarize the wafer substrate before immersing the wafer substrate in a degassed plating electrolyte. The plating solution can be recycled though a separate degassing loop different from a flow loop between a main plating bath and the plating sell, or by passing though the degassing element in the same loop as the bath/plating cell loop, being degassed just prior to entering the plating cell.
  • After plating is completed the wafer is rinsed with water above the plating cell and spun to remove excess entrained fluid, the wafer holding clamshell apparatus is opened releasing the edge seal and allowing wafer extraction. In some embodiments, the wafer is then picked-up from the plating cell and transported in to a metal removing isotropic etching module (ITE module) 1031. The ITE module is a wet etching module used to remove metal from the top of the wafer primarily in the field region over the feature of the plated wafer, while leaving at least some metal inside the recessed features. Various designs of suitable equipment, etching processes, and etching chemical formulations are described in U.S. Pat. Nos. 5,486,235, 7,189,649, 7,338,908, 7,315,463 and U.S. patent application Ser. No. 11/602,128, filed Nov. 20, 2006, U.S. Ser. No. 11/888,312, filed Jul. 30, 2007, and 11/890,790, filed Aug. 6, 2007, each incorporated herein by reference.
  • In addition, metal at the edge of the wafer is removed in the ITE module 1031. Because a wafer is often held in an edge excluding clamshell apparatus, only a thin layer of seed metal exists at the outermost periphery (the original seed layer) prior to the top side global etching performed here. Therefore, after processing here, it is common for the extreme edge of the wafer to be completely bare of metal, while the more central, non-plating protected and edge excluded region may have some metal remaining (however, in other cases, the metal is removed from that region as well). This module can therefore perform both the global etch removal of metal from the wafer as a whole, as well as remove metal from the outer periphery edge and outer peripheral bottom of the wafer, often eliminating the need to perform a more complex edge specific etch process, edge bevel removal (EBR), as described in, for example, U.S. Pat. No. 6,309,981, incorporated herein by reference.
  • In some embodiments, the progress of the etching process and the thickness distribution of the film is monitored in the etching module, for example, by measuring the cross wafer sheet resistance with an eddy current meter, or the reflection of an acoustic signal. Alternatively, the thickness after etching can be measured in the transfer station in the dry state later in the process, and the process results monitored or modified as appropriate to minimize any wafer-to-wafer performance drift. After etching, the wafer can be either rinsed and dried in the etching module, or moved to a separate module, a wafer rinsing, cleaning and drying station 1041. There, any oxide film that may have formed in the process sequence is removed or reduced (e.g., by applying a dilute acid solution to the surface), any residual chemical not removed by a more cursory rinse in the etching station is removed (both front and back of the wafer), and an edge bevel removal operation is performed as desired (see, for example, U.S. Pat. No. 6,309,981). After rinsing the wafer with water, it is spun dry and then removed to a transfer station, where the front end robot re-deposits it into the wafer holder cassette.
  • One concern with the pre-wetting process is that in the time between pre-wetting and plating (i.e., after exposing the wafer to pre-wetting fluid while under vacuum in a pre-wetting chamber but before plating commences), it is possible to have the wafer surface “de-wet”. Dewetting may be described as a physical draining and coagulation of the pre-wetting fluid from the surface (i.e., rather than a drying of the surface), leaving one section of the surface with a thicker film of pre-wetting fluid, and another section with no pre-wetting fluid thereupon. This characteristic behavior is generally associated with a highly hydrophobic surface with respect to the pre-wetting fluid. If the wetting layer pulls back or coagulates from a previously wetted surface, then the attributes of the pre-wetting process are lost. To avoid this phenomenon, wetting agents can be added to the pre-wetting fluid to avoid the pooling of the fluid into puddles.
  • Surface oxides, surface contaminants, and other deposited materials on the wafer surface that are exposed to air and humidity may be very hydrophobic. For example, thin copper metal seed layered wafers exposed to air and water vapor will form a thin cuprous oxide layer, which is hydrophobic with respect to water. To avoid this potential problem, the oxide film can be removed in certain embodiments by, for example, by adding a small amount of acid (e.g., H2SO4, H3PO4) at a pH where the oxide is no longer stable, to the pre-wetting fluid used in the pre-wetting process. The acid will react with the oxide to form water and the metal salt. The pre-wetting fluid can also contain small amounts of surface tension and contact angle lowering wetting agents (e.g., surfactants, alcohols) which also avoid the phenomena. Pre-wetting fluid chemistry is discussed further herein.
  • In some embodiments where the pre-wetting operation is performed in a separate chamber prior to plating, the pre-wetting fluid may contain a small amount of metal ions, for example, to aid in avoiding the formation of bacteria in the system or modifying the oxide removal properties. Alternatively, a metal oxide suitable reducing agent may be added to the wetting solution, such as formaldehyde, glyoxylic acid or dimethyl-amine borane, or with a metal ion complexing additive (for copper, examples might include ammonia, glycine, ethylene diamine). Further, the surface oxide or other contaminants can be removed by treating the wafer in a reducing atmosphere (e.g., forming gas or hydrogen in argon), with or without heating, prior to the pre-wetting operation. The temperature of the pre-wetting fluid and the wafer surface can also be increased or decreased from ambient conditions to optimize the retention of fluid on the surface.
  • In some embodiments, operations in a pre-wetting chamber or a pre-wetting chamber that is part of an electroplating system are controlled by a computer system. The computer includes a controller including program instructions. The program instructions may include instructions to perform all of the operations needed to pre-wet a wafer substrate. In one embodiment, the instructions are for reducing pressure in the process chamber to a subatmospheric pressure and subsequently contacting the wafer substrate with the pre-wetting fluid at a subatmospheric pressure to form a wetting layer on the substrate surface. The wafer substrate may be rotated at a first rotation rate during delivery of a liquid pre-wetting fluid onto the wafer substrate at a subatmospheric pressure, the fluid delivery being performed for between about 10 to 120 seconds. Then, delivery of the pre-wetting fluid is stopped. After stopping the delivery of the pre-wetting fluid, the wafer substrate is rotated at a second rotation rate to remove excess surface entrained pre-wetting fluid from the wafer substrate. In some embodiments, the vacuum in the process chamber is released after the delivery of the pre-wetting fluid is stopped and prior to removal of the excess entrained pre-wetting fluid. In alternative embodiments, the vacuum is released after removal of the excess entrained pre-wetting fluid. The wafer may be rotated at different rates in different embodiments. In some embodiments, the first rotation rate during delivery of a liquid pre-wetting fluid onto the wafer substrate is less than about 300 rpm and the second rotation rate to remove excess entrained pre-wetting fluid from the wafer substrate is at least about 300 rpm. In other embodiments, the first rotation rate is about 100 rpm or less, and the second rotation rate is at least about 500 rpm. In yet further embodiments, the pre-wetting apparatus is configured for removing excess entrained pre-wetting fluid from the wafer substrate by a method selected from the group consisting of centrifugal spinning, air-knife drying, and wiping and the controller includes program instructions for performing these operations.
  • Process/Method
  • In a general pre-wetting method for some embodiments disclosed herein, a vacuum is first created in the environment around the wafer. Then, the wafer surface is sprayed with, streamed with, covered with, or immersed in sufficient (in some embodiments, degassed) pre-wetting fluid, eventually exposing the entire wafer to a sufficiently thick liquid layer. The layer may not cover the entire surface at all times, until later in the process. The wafer surface is then left immersed or otherwise exposed to a pre-wetting fluid layer for a period of time (e.g., by continuing to spray, stream, cover, or immerse the surface with additional fluid) until adsorption (or reaction) of any pre-wetting fluid constituents at the wafer surface have substantially reached completion/equilibrium and a favorable/uniform wetting character (hydrophilicity, low contact angle) is achieved. After pre-wetting, the spraying, streaming, or covering of the wafer with pre-wetting fluid is stopped. In some embodiments, vacuum is released and then excess entrained fluid is removed from the (now) completely hydrophilic surface (e.g., by centrifugal spinning, air-knife drying, squeegee wiping, etc.), leaving a thin uniform adherent layer of pre-wetting fluid on the surface. In other embodiments, excess entrained fluid is removed before releasing vacuum. Finally, the wafer is transferred to plating cell to plate the wafer.
  • Because there may be anywhere from a few seconds to over a minute between the time that entrained pre-wetting fluid is removed from the wafer surface to the initiation of metal deposition, it is important that the wafer is globally hydrophilic and remain completely coated with fluid over the entire surface. In the ensuing time, a hydrophobic surface/fluid combination can lead to the fluid receding from, and uncovering a portion of, the wafer surface, for example, starting from the wafer edges. This de-wetting may cause the fluid to be drawn out from within any recessed features within the wafer substrate, possibly leading to gas being trapped within the feature on immersion into the plating bath. Hydrophobic surfaces, particularly those that have completely de-wetted in some regions, have non-uniform fluid pre-wetting layer thickness over the wafer substrate. In the case that the pre-wetting fluid in use has a different composition than the plating bath, the subsequent immersion of the pre-wetted wafer into the plating solution will not allow for a uniformly wetted surface if the pre-wetting fluid has not wetted the wafer properly. The non-uniformly wetted wafer will cause the diffusion times and concentrations various components to be different across the wafer's surface due to the thickness of the wetted layer. This can lead to variation in feature filling behavior or the creation of various wafer surface defects, such as lines of entrapped bubbles, metal pits, metal thickness variations, or growth protrusions. Therefore, after the pre-wetting process, the pre-wetting fluid should create a uniform, small contact angle with respect to the entire wafer surface, for example, a contact angle of about 45 degrees or less, if possible. When a lower contact angle is possible, a very thin and adherent pre-wetting fluid layer can be created.
  • It is often observed that the contact angles of a surface can change with time, and that hydrophobic surfaces may become more hydrophilic over time when exposed to certain liquids. Certain wafer surfaces, such as those coated with copper films by, for example, plasma vapor deposition, can exhibit a significant decrease in the liquid/surface contact angle with time upon continuously exposing the surface to the pre-wetting fluid. In particular, the continual exposure of such a surface, while under vacuum conditions, can lead to rapid and complete transformation of the surface from a generally de-wetted, hydrophobic state, to a wetted, hydrophilic state.
  • Furthermore, this transformation, specifically when occurring under vacuum and with a degassed pre-wetting fluid, leads to particularly favorable low defectivity when combined with the subsequent plating operation. While not wanting to be bound by any particular wetting model or theory, if sufficient time to pass is allowed to pass (e.g., 5 seconds to 1 minute) while the surface is immersed in, sprayed with, streamed with, covered with, or otherwise treated with a surface-tension-lowering pre-wetting fluid, the surface can undergo a conversion from a hydrophobic to a hydrophilic state. For example, by allowing time for low concentration constituents (e.g., wetting agents) time to adsorb to the wafer interface, or alternatively, time for spurious adsorbed species that reside on the surface (e.g., from atmospheric exposure) to be desorbed from the surface, suitable stable wetting behavior can be obtained. Alternatively, agents in the pre-wetting fluid may react to slightly roughen the surface and/or remove thin surface layers such as surface oxides, nitrides, or carbonates.
  • As a specific example, the transformation of a cuprous or cupric oxide laden surface, which tends to be inherently quite hydrophobic to water, to a hydrophilic metallic surface, is needed. By simple exposure to either de-ionized (DI) water (which does not react with the oxide), the surface may remain largely hydrophobic. Alternatively, by exposing the surface to a slightly acidic oxide removing solution, such as DI water containing a small amount of either dissolved acid (e.g., sulfuric, methane sulfonic, or acetic acid, resulting in a pH of between about 2 to 4), with or without dissolved metal ions and salts, a small amount of metal (e.g., copper) complexing agent (e.g., citrate, pH of between about 3 to 6, glycine or ethylene diamine, pH of between about 6 to 12) or to a solution containing an appropriate metal oxide reducing agent/compound (e.g., formaldehyde, glycolic acid, dimethylamine borane), is effective in removing the surface oxide and transforming a hydrophobic interface to a hydrophilic interface. Two examples of copper surface oxide removing reactions in a weak acid are:

  • CuO+2H+→Cu+2+H2O, and   (6)

  • Cu2O+2H+→2Cu+H2O→Cu+2+Cu+H2O   (7)
  • A thin oxide surface layer of cuprous and cupric oxide on copper is formed almost immediately and continues to grow in thickness over time, simply as a result of exposure of the sputtered copper surface to atmospheric air, particularly moist air (i.e., air with humidity). The oxide can be converted/removed by exposure to an appropriate removal agent (such as those listed herein), but it is important to consider complete oxidation of the copper layer (also, e.g., within the feature). Subsequent removal of the metal oxide layer by use of an oxide removal process (as opposed to an oxide reducing process) may inhibit subsequent film growth for a fully oxidized copper layer. Also, the wetting conversion process (such as those listed herein) are chemical reactions having finite reaction rates. For example, exposing the wafer to the oxide removing pre-wetting fluid or plating bath will begin to form a layer of hydrophilic surface at the point of fluid contact. Areas with longer exposure to the pre-wetting (e.g., oxide removing) fluid may prevent other areas of the wafer from becoming wetted in the process. The hydrophilic areas that may be created can tend to channel fluid flow thereupon, preventing the wetting of other areas. One objective, therefore, is a modification of the contact angle, wetting properties, and general wetting process to enable the entire surface to become eventually uniformly covered with liquid, both macroscopically and microscopically.
  • By applying a degassed pre-wetting fluid to the surface while simultaneously maintaining a low pressure/vacuum atmosphere, the impediment of simultaneously expanding, flushing, or otherwise removing trapped gas from the surface is substantially eliminated, and so the impediment of exposing areas of the wafer that are still hydrophobic due to no or limited previous exposure to the pre-wetting fluid may be reduced. Considering the process without employing the vacuum and wetting combination, the various regions of the wafer surface will fall into 5 wetted categories: 1) Hydrophobic Wetted: Covered with and wetted with pre-wetting fluid but for an insufficient time, so it is still hydrophobic; 2) Hydrophilic Wetted: Covered with and wetted with pre-wetting fluid for a sufficient time, so it is has become hydrophilic; 3) Un-wetted: Hydrophobic, exposed to air, and never exposed to pre-wetting fluid; 4) De-wetted: Previously wetted, but having become de-wetted, and again exposed to air; 5) Trapped Bubble: Containing a bubble containing trapped air at the surface and under a layer of pre-wetting fluid.
  • It is important to note that an area in state 3, 4, or 5 will not undergo any adsorption or chemical reaction, leading to the absence of any hydrophobic-to-hydrophilic surface transformation unless and until that region later becomes wetted. Furthermore, areas around state 3 that are in state 1 or 2 are wetted and are or will become hydrophilic, allowing fluid to flow freely and continuously over this surface and making the removal of the bubble or wetting of adjacent surfaces considerably more difficult. Also, a currently hydrophobic surface region, having previously been exposed to pre-wetting fluid, may repeatedly go between the states of liquid-coverage-free and covered but hydrophobic. The process continues converting between these states as fluid wicks away to adjacent hydrophilic area, oscillating back and forth from state 1 to state 3 multiple times, until eventually it either it i) changes to state 2 and becomes hydrophilic and wetted, thereafter stays in state 2, or ii) become surrounded by areas that are more wetting, encapsulates a bubble, and transforms to state 4.
  • The above processes, performed under atmospheric conditions (i.e., in air), should be contrasted with processes performed under vacuum (and with degassed pre-wetting fluid). In these processes, there are only three wetted categories that exist: 1) Wetted: Covered and wetted with pre-wetting fluid; 2) Un-wetted: Exposed to vacuum and never exposed to pre-wetting fluid; 3) De-wetted: Previously wetted, but having become de-wetted and re-exposed to vacuum.
  • A pre-wetting process performed under vacuum ensures that, as long as a particular part of the wafer has been exposed to pre-wetting fluid (state 1) for sufficient time, the particular part of the wafer will eventually become hydrophilic. Unlike a pre-wetting process performed in atmosphere, a high fluid velocity pre-wetting fluid stream is not required to “flush away” trapped bubbles. Furthermore, bubble flushing is not 100% effective, and will often lead to bubble fragmentation, leaving a large number of smaller, hard to remove bubble behind. Hence, pre-wetting under vacuum is a much more reliable low defect process over simply spraying, covering, or immersing the wafer into a pre-wetting fluid under atmosphere. Other factors that favor pre-wetting under vacuum are that a) surface energies of the vacuum/liquid/metal interface are different and the contact angle is often lower than the air/liquid/metal interface, b) metal oxide/nitride/carbonate reformation is avoided, and c) using degassed fluid prevents the possibility of gas precipitating out of the fluid, for example, as a result of a spurious temperature or pressure change at some points at the liquid-wafer interface.
  • FIG. 11 a is a flow diagram for a general embodiment of a pre-wetting process (1100). A wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber (1105). The pressure in the process chamber is then reduced to a subatmospheric pressure (1110). The wafer substrate is then contacted with a pre-wetting fluid at a subatmospheric pressure to form a wetting layer on the wafer substrate surface (1115). Such a pre-wetting process can be preformed in the pre-wetting apparatus designs described herein.
  • The wafer substrate has different features in different embodiments. The wafer substrate may have at least one recessed feature. The recessed feature may be a damascene feature, which are formed by damascene patterning processes. A damascene plating process is a process in which a recess in a dielectric layer of a semiconductor wafer formed by a damascene patterning process is filled with a metal film. A recessed feature may also be a though-mask feature.
  • In some embodiments, the pre-wetting fluid is substantially free of dissolved gases. In some embodiments, one or more dissolved gases are removed from the pre-wetting fluid prior to contacting the wafer with the pre-wetting fluid. To aid in removal of dissolved gases, in some embodiments, the pre-wetting fluid is cooled to less than about 20° C. during removal of the gases. To remove gases from a pre-wetting fluid to obtain, in some instances, a pre-wetting fluid that is substantially free of dissolved gases, a pre-wetting fluid treatment tank has the pre-wetting fluid circulating for a specific time period (typically ½ an hour, depending on the capabilities and capacity of the degasser) though a degassing loop before contacting the wafer substrate with pre-wetting fluid. This is discussed herein with respect to FIG. 3. Typically this implies that fluid is flowing through the loop while the vacuum pump is on and at vacuum, and the valve connecting the degasser and to the pre-wetting tank to the pump is open. This ensures that the pre-wetting fluid that is subsequently applied to the wafer surface is substantially free of dissolved gases. Measurements of a system so designed shows residual levels of dissolved oxygen reaching as little as about 1-2% or less of that saturated with oxygen from air.
  • Furthermore, dome and wall heaters on the process chamber may be turned on, set to a temperature of about 10° C., and in some instances about 20° C. or greater, than that of the pre-wetting fluid temperature. For example, if the fluid temperature is about 20° C., a wall temperature of about 40 to 50° C. is appropriate. Dome and wall heaters avoid condensation on the surfaces and the potential for liquid droplets falling onto the exposed surface prior to pre-wetting under vacuum. A purge of the chamber surfaces can be accomplished by bringing the chamber to vacuum with the door closed and the walls at the target heated temperature. For example, without a wafer present in the chamber and the walls heated, the chamber is brought to vacuum and remains at vacuum for about 10 minutes or more, so as to remove any liquid which might have accumulated on the chamber ceiling and upper walls. The vacuum can be removed by backfilling with, for example, clean dry nitrogen. This procedure removes any possible condensate from the chamber walls and minimizes the formation of gas born particles. After confirming that a) all chamber fluid level sensors are at appropriate values (e.g., tank full, chamber empty), b) the heater is on, and c) the vacuum is ready for processing, the pre-wetting chamber process door can be opened and the door shield (if so equipped) dropped. Next, a wafer is placed into the chuck and the robot arm is retracted, the vacuum door is closed, and the liquid splash shield is raised or the wafer lowered below the shield (if so equipped).
  • A target level of vacuum for the pre-wetting process in some embodiments is between about 10 and 100 torr, for example about 40 torr. In some embodiments, the vacuum (i.e., subatmospheric pressure) is about 50 torr. In some embodiments, after pump down is complete, the vacuum line can be closed, while in other embodiments, the pump continues to pull a vacuum while pre-wetting fluid is injected into the chamber and onto the wafer.
  • In some embodiments, a liquid pre-wetting fluid is delivered onto the wafer substrate surface. This may entail immersing the wafer substrate in the pre-wetting fluid. Alternatively, this may entail spraying or covering the wafer substrate with the pre-wetting fluid. In other embodiments, contacting the wafer substrate with a pre-wetting fluid is performed by delivering a gaseous pre-wetting fluid onto the wafer substrate. The gaseous fluid is allowed to condense and form the wetting layer on the wafer substrate. In these embodiments, the temperature of the wafer substrate may be reduced below the condensation temperature of the pre-wetting fluid before exposing the wafer substrate to the pre-wetting fluid.
  • In some embodiments, the wafer may be rotated while a liquid pre-wetting fluid is delivered onto the wafer substrate surface. In some embodiments, the wafer substrate is rotated at a rate of between about 10 rpm to 300 rpm. In further embodiments, the wafer substrate is rotated at a rate of between about 10 rpm to 100 rpm. In other embodiments, the wafer substrate is spun at speed of from about 100 to 400 rpm, for example at about 300 rpm. In some cases a higher rotation rate (e.g., about 400 to 800 rpm), or a cycling of rotation rate, may be used for a short time (about 2 to 10 seconds) where overcoming fluid wetting resistance of a highly hydrophobic wafers is an issue. Chamber pump down may be initiated before of after wafer rotation is started.
  • In embodiments where a liquid pre-wetting fluid in used, flow of the pre-wetting fluid is initiated into the chamber and onto wafer surface. A typical flow rate of between about 0.5 and 2 pm, for example, about 0.8 lpm, is used, for between about 3 seconds and 1 minute or more, for example, for about 20 seconds, depending on the necessary time to achieve full wetting of a particular surface, rotation rate of the wafer, and the wetting properties of the fluid. In some embodiments, the pre-wetting fluid is contacted with the wafer substrate from about 10 second to 120 seconds. After the wetting process is complete, the pre-wetting fluid flow is stopped, for example, by closing a pre-wetting fluid flow valve.
  • Next, the chamber is brought to an atmospheric pressure. In some embodiments, the chamber is brought to an atmospheric pressure with an oxygen-free gas, e.g., dry nitrogen.
  • In some embodiments, excess pre-wetting fluid is removed from the substrate surface. This can be done before or after bringing the chamber to an atmospheric pressure. In some embodiments, excess pre-wetting fluid is removed from the wafer substrate surface by rotating the wafer substrate. The wafer substrate rotation rate is increased to a value where excess entrained fluid can be removed from the wafer substrate surface, but a thin layer of liquid remains. The wafer substrate may be rotated from about 300 rpm to 1000 rpm during removal of the excess pre-wetting fluid. The wafer substrate may be rotated less than about 20 seconds during removal of the excess pre-wetting fluid. In other embodiment, the wafer substrate rotation rate is increased to between about 250 and 800 rpm for between about 5 and 60 seconds, while avoiding the complete drying of the pre-wetting fluid. While the rotation process generally can be initiated prior to the release of vacuum, by performing this step after the release of vacuum, it is believed that the potential for the wafer drying is reduced, because the evaporative drying from a thin layer and the possibility of creating a dry surface at some point on the wafer may be less.
  • After removing the excess entrained fluid from the wafer substrate surface, the wafer substrate rotation is stopped, the splash shield lowered and/or the wafer substrate raised (if so equipped), the vacuum door opened, and the wafer removed from the chamber and placed in an electroplating chamber. In some embodiments, the pre-wetted wafer substrate is exposed to an environment outside of the chamber and the electroplating chamber for less than about one minute. In other embodiments, the pre-wetted wafer substrate has a wetting layer having a thickness of between about 50 to 500 μm immediately prior to electroplating when it is transferred to the electroplating chamber. After the wafer substrate is in the electroplating chamber, the wafer substrate is electroplated using a degassed plating solution, in some embodiments. In some embodiments, the pre-wetted wafer substrate is cathodically polarized with respect to a plating solution before contacting the wafer substrate with the plating solution. The pre-wetting process chamber and the electroplating chamber may be distinct stations of one apparatus module. In other embodiments, the wafer substrate is electroplated in the same chamber than was used for pre-wetting. In these embodiments, the electroplating may be performed using a degassed plating solution.
  • In alternative embodiments, after removing the pre-wetted wafer substrate from the pre-wetting process chamber, the pre-wetted wafer substrate is transferred to a chamber configured to perform an anodic process such as electroetching and electropolishing.
  • FIG. 11 b is a flow diagram for another embodiment of a pre-wetting process (1150). A wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber (1155). The pressure in the process chamber is then reduced to a subatmospheric pressure (1160). The wafer substrate is then contacted with a pre-wetting fluid at a subatmospheric pressure (1165). The pressure in the process chamber is then increased to facilitate the removal of bubbles (1170). Such a pre-wetting process can be preformed in pre-wetting apparatus designs described herein.
  • The apparatus designs and methods described herein may be used to pre-wet a partially fabricated semiconductor device structure. In some embodiments, a pre-wet partially fabricated semiconductor device structure includes at least one recessed feature. The recessed feature has a layer of metal lining the feature. The recessed feature also includes a substantially gas-free pre-wetting fluid filling the feature, the pre-wetting fluid comprising an aqueous metal salt solution substantially free from plating accelerators and levelers.
  • Different combinations of pre-wetting fluid compositions and plating solution compositions can be used in a pre-wetting process combined with an electroplating process, as described herein. FIG. 12 is a flow diagram for an embodiment of an electroplating process 1200 for electroplating a layer of copper on a wafer substrate. A wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber (1205). The wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate (1210). The pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate (1215).
  • The apparatus designs and methods described herein are useful in various other liquid semiconductor processes and circumstances, beyond electroplating/feature filling, where bubbles or trapped gasses within a high aspect ratio feature may pose a problem.
  • All operations described herein, including the various wetting, pre-wetting, degassing, alignment, transfer, and plating operations, may be configured or programmed in one or more controllers provided on or otherwise in communication with the described modules and systems. Any combination or sequence of such operations, as described herein, may be programmed or configured as such using such controller(s). Firmware, software macros, application specific integrated circuits, shareware, and the like may be used to implement the controller instructions.
  • Chemistry of the Pre-Wetting Fluid
  • By properly controlling the chemistry of the pre-wetting fluid, further benefits of the pre-wetting process described herein may be realized, including a 50% or greater reduction in the filling time of a feature with a metal. Furthermore, the feature filling process may start significantly more rapidly, reflected by the fact that under similar conditions (i.e., the same conditions, with the exception of the composition of the pre-wetting fluid), the amount of metal deposited selectively at the bottom of the feature in the same time is much greater. With particular organic and inorganic additive combinations to the pre-wetting fluid, the pre-wetting process allows for an excellent side wall and field (field refers to wafer substrate regions that are flat and outside of features) metal growth selectivity versus bottom of a feature metal growth selectivity, allowing for high rate selective deposition with greater than an order of magnitude relative plating rate/growth at the feature bottom versus the upper side walls and field. The selectivity achieved by controlling the chemistry of the pre-wetting fluid allows for bottom-up, often plug-fill, growth and the ability to rapidly fill, without voiding, high aspect ratio features.
  • Historically, a number of different plating bath solutions used to deposit copper have been used to meet various needs/goals. Copper sulfate and copper methane sulphonate are the most commonly used metal salts for electroplating copper, particularly in the integrated circuit industry. The acid copper fluoroborate bath (mixture of copper and fluoroboric acid with boric acid), with its high solubility of copper and potential for high deposition rates, is also used, but has largely fallen out of favor and replaced by the methane sulphonate system (which also has high copper solubility), at least in part because of the tendency for the BF4 anion to decompose and form hazardous HF. Alkaline copper cyanide and copper pyrophosphate baths have also been widely used, with cyanide baths having generally good plating performance, but have fallen out of favor for toxicity and stability reasons.
  • While the scope of this description is not limited to electroplating of s specific metal, or to specific plating solution and pre-wetting fluid combinations described in the examples, electroplating of copper in plating solution baths containing copper sulfate and/or copper methanesulphonate will be used as an illustration of specific embodiments. It is understood that embodiments disclosed herein can be employed for deposition of metals other than copper, such as nickel, iron, gold, silver, tin, lead, zinc, as well as copper and other metal co-deposited alloys (e.g., various solders such as lead-tin and silver-tin, or magnetic alloy materials containing iron, cobalt, and nickel). It is also understood that in copper electroplating, a variety of other salts beyond copper sulfate and copper methanesulphonate may be used.
  • Copper sulfate and methanesulphonic acid plating bath solutions typically contain three or more materials (so called plating “additives”) in small concentrations (10 ppb to approximately 1000 ppm) that affect the surface electrodeposition reactions. Typically, additives include accelerators (mercapto containing species, for example; also referred to as brighteners), suppressors (typically polymers such as polyethylene glycol, for example; also referred to as carriers), levelers, and halides (e.g., chloride ion and bromide ion), each having a unique and beneficial role in creating a copper film with desired micro- and macro-characteristics.
  • The pre-wetting fluid and plating solution compositions described herein may be used with any of the apparatus designs or methods. For example, the pre-wetting fluid and plating solution compositions are able to be used with the methods described in FIGS. 11 a, 11 b, and 12.
  • There are several different categories of process interactions which should be considered in selecting the optimal pre-wetting fluid for a wafer substrate. These various issues are discussed herein, along with the presumed or measured examples of their effect on feature filling.
  • One consideration is that the surface tension of the pre-wetting fluid should be sufficiently compatible with the wafer substrate surface (e.g., hydrophilic) so that the entire surface remains covered with pre-wetting fluid from the period after the pre-wetting fluid is applied to the surface under vacuum and the time the wafer is moved to and is immersed into the plating bath. In some embodiments, the pre-wetting layer, just before immersion into the plating solution, is thin (e.g., about 50 to 500 μm thick) and uniform. By the film being thin, the amount of concentration increase or dilution/modification of the plating bath concentrations is kept small, and the film has a minimal delay in adsorption of plating additives to the general plating surface (i.e., field region). By the film thickness being uniform, the uniform transition from the state of being covered with a solution of pre-wetting fluid composition to plating bath solution composition is possible and much more easily controllable.
  • Another consideration is that when transferring the wafer from a pre-wetting station to a plating station, the features are filled and the general surface is coated with the pre-wetting fluid. During the ensuing time between the initial exposure of the surface to the plating solution and the initiation of plating, various unfavorable reactions with the constituents of the pre-wetting fluid, either alone, or in combination with gasses, coming from the atmosphere, may occur. By degassing the pre-wetting fluids (e.g., by using a degasser, as described herein), those reactions that involve dissolved gasses may be reduced or eliminated. Still, if and when the liquid surface layer of the pre-wetted wafer is exposed to air, gas re-adsorption into the degassed pre-wetting fluid will occur (e.g., after 15 seconds or more), and may lead to deleterious corrosion or other effects. Alternatively, with an appropriate choice of components included in the composition of the pre-wetting fluid and/or timely/rapid wafer transfer to the plating cell, such reaction and effects may be reduced or altogether avoided.
  • In general, a reaction between the pre-wetting fluid and the seed layer on a wafer results from the existence of a chemical driving force (i.e., a negative free energy for reaction) with moderate activation energies. Eliminating the driving force, or inhibiting the kinetics, can forestall a deleterious reaction. The reactions involve a combination of one or more solvents (e.g., water, alcohols, carbonates, or ketones), pre-wetting fluid solutes (e.g., acids, inorganic salts, organic electrolytic or neutral plating additive species), and dissolved gasses. An example of a particularly deleterious reaction is the corrosion reaction of the metal seed layer. The seed corrosion rate will depend, for example, on parameters such as the pre-wetted wafer transfer time, the temperatures of the pre-wetting fluid and plating solution bath, the choice of pre-wetting solvent(s), the pH of the pre-wetting fluid, the particular dissolved constituents in the pre-wetting fluid, and any spatial and time-varying distribution or redistribution (i.e., concentration difference due to the diffusion into or out of the features) during the initial immersion of the wafer into the plating solution bath. These different reactions are described herein.
  • Any electrolytic reaction for the corrosion of a metal can be represented as two half-reactions, coupled by the transfer of an electron in the metal. For example, the reduction of oxygen or other oxidizing agent in the solvent (the element that is reduced) couples with the oxidation of copper metal. The reaction of copper metal with oxygen occurs in two steps, to cuprous ion, and depending on the solvent environment, presence of complexing agents, and pH, to cupric ion.

  • Cu→Cu++e−→Cu+2+e−  (8)
  • The reduction reactions of oxygen, written for either acid or alkaline conditions, are

  • O2+4H++4e→H2O   (9a)

  • O2+2H2O+4e→4OH  (9b)
  • Using an oxygen free pre-wetting fluid prevents reaction 9a or 9b from occurring altogether, and hence the corrosion of copper is prohibited from this source. Therefore, removal of oxygen from the pre-wetting fluid is desirable in some embodiments. However, if oxygen is reintroduced into the electrolyte from the environment (e.g., during a transfer from the pre-wetting location to the plating solution), reaction 9a or 9b is again allowed to occur. Similarly, if the supply of protons is small (e.g., a pH of greater than about 3), reaction 9a will be reduced.
  • Referring to an example situation depicted in FIG. 13, a structure 1301 in a wafer substrate 1302 consists of a cavity filled with pre-wetting fluid 1303. The feature surface 1305, walls 1306 and bottom 1307 of the structure are typically coated with a barrier layer (not shown) below an electroplateable “seed layer” (e.g., copper, 1304). The thickness of the metal along the walls, particularly on the lower wall 1308, is typically much thinner than that on the surface 1305 (and often also thinner than that at the feature bottom 1307) due to the nature of the seed deposition process (e.g., PVD). Initially, a degassed pre-wetting fluid 1303 is introduced to the surface under vacuum that contains no bubbles and little or no dissolved gas (e.g., oxygen). However, some gas may subsequently be introduced into the liquid from the atmosphere during the transfer of the wafer, with a near saturated condition created at the exposed liquid layer surface 1308. With a much shorter diffusion distance and resistance to arriving at the surface 1305, oxygen reduction reaction 9a will preferentially start to occur there first. While reaction 8 may occur anywhere along the surface, the reaction may preferentially occur at point on the surface where it is roughest and its effect is most detrimental where the film is thinnest (with a potential for loss of all seeded metal). Also, the metal corrosion half reaction may occur preferentially at locations where the oxygen reduction reaction is not co-occurring, such as at deep inside the feature (e.g., at locations 1307 and 1308). The overall reaction is completed by having the electrons created by reaction 8 inside the feature traveling through the metal along the wall to the feature top and field, where they combine with the oxygen via reaction 9a or 9b. The walls may be rough on a microscopic scale due to the feature creation method (e.g., from a repetitions application of a SF6 isotropic RIE etch/C4F8 passivation sequence known as an Advance Silicon Etch or the “Bosche” process) and/or the deposition process. Rough metal surfaces tend to have a higher local electrochemical activity, so corrosion will be greater at rough metal surfaces than for a uniform smooth surface. These phenomena will increase the driving for metal loss from these rough metal surfaces. See, for example, a discussion of these phenomena in U.S. Pat. No. 6,946,065.
  • In some embodiments, a substantially non-conductive (i.e., non-ionic and electrolyte free) solvent can be effectively used for pre-wetting fluid for a pre-wetting process performed under vacuum. This is despite factors that otherwise would lead one to avoid the use such a fluid. One such conceptually negative factor is that the conductivity of such a pre-wetting fluid is quite small. At the time immediately after immersion of a wafer into a plating bath solution, deposition at the bottom of the feature filled with a non-conductive or low conductivity solvent is expected to be hindered by the inability to support plating because of its inability to support ionic current flow. Another potentially detrimental factor is the formation, after entry of a wafer into an electroplating bath, of a potential and the establishment of an internal corrosion cell due to the different activities of dissolved metals at the wafer surface and within the feature. The electrochemical potential difference in the solution, between the bottom of the feature and the top of the feature can be expressed by a form of the Nernst equation:
  • Δ V = RT nF ln C ( feature ) C ( surface ) ( 10 )
  • In equation 10, R is the universal gas constant, T is the absolute temperature, n is the number of electrons for the corrosion reaction, F is Faraday's constant, and C(feature) and C(surface) are the concentrations of metal ions at the two locations. A concentration cell is created, with the corrosion driving potential created by a difference in concentrations as given by equation 10. When using a pre-wetting fluid free of dissolved metal ions, the bottom of a feature will encounter a C(feature) concentration that is smaller than the C(surface) for some period of time after immersion into the plating bath containing metal ions. Therefore, a corrosive potential difference will exist between location the bottom of a feature and the surface, with the corrosion potential causing the metal on the walls and bottom of the feature to preferentially oxidize, release electrons, and complete the cycle by combining with the metal ions from the solution at the surface.
  • Specifically, the reaction

  • Cu++=→2e−+Cu   (11)
  • will occur at the surface region, and will be coupled with the oxidation reaction

  • Cu→2e−+Cu++  (12)
  • occurring on the walls and lower surface at the bottom of the feature. To avoid this undesirable process when using this type of pre-wetting fluid, it is important to establish a cathodic (plating) polarization of the wafer surface versus the plating solution prior to, or very shortly after, immersion of the wafer surface into the plating solution. (See U.S. Pat. Nos. 7,211,175, 6,562,204 and 6,551,483, which deal with entry cathodic protection and potentiostatic entry, herein incorporated by reference in relevant part.) This is accomplished by applying a cathodic potential difference or a small cathodic current between the wafer and the solution before wafer bath entry. Alternatively, or additionally, in some embodiments, a rapid rinse of the wafer surface with a solution having a relatively low metal ion concentration can be used (e.g., DI water), followed by a high speed spin or other method to remove the surface solution. This process reduces the concentration of the metal at the surface relative to that in the feature, but also removes electrolyte from the wafer edge, reducing the propensity for that electrolyte to be plated on the edge of the wafer and plating apparatus contacts (e.g., when plating in a closed or seal contact “plating cup”). As another alternative, the metal ion concentration in the pre-wetting solution can be at least equal to or greater than that of the subsequent plating bath.
  • Examples of embodiments of pre-wetting fluids of the substantially non-conductive class are an electrolyte-free isopropyl alcohol or other water soluble non-aqueous solvents (i.e., water-miscible solvents). Other embodiments include alcohols, a dialkylcarbonate, dimethylformamide, and dimethyl sulfoxide. Another embodiment is a solution of water containing a small concentration of the non-metal-complexing tetramethylammonium sulfate and/or tetramethylammonium hydroxide, in the pH range between about 3.5 and 11.5. Still another embodiment is a solution of water containing a surfactant such as the anionic surfactant laurilsulfate (with an alkali metal cation or tetramethylammonium cation). Pre-wetting fluids that have a reduced surface tension compared to water, relatively small conductivities (e.g., compared to acids or strong bases), and are non-copper complexing are used in some embodiments.
  • In some embodiments of an electroplating process 1200 for electroplating a layer of metal on a wafer substrate shown in FIG. 12, a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber (1205). The wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate (1210). The pre-wetting fluid includes a water-miscible solvent. The water miscible solvent may be an alcohol, ketone, dimethylcarbonate, diethylcarbonate, dimethyl sulfoxide, or dimethyl formamide. The pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate (1215). In some embodiments, the plating solution includes copper ions to electroplate a layer of copper on the wafer substrate.
  • To elaborate, because the half oxidation reactions (e.g., reactions 8 and 9) must complete an electrical circuit and therefore pass ionic electrical current between the two locations where oxidation and reduction reactions are occurring, in some embodiments it is advantageous to use a pre-wetting fluid that has a small ionic conductivity, such as a low conductivity solvent that itself will not react directly with copper, or a solvent substantially free of ionically disassociated and conductive ions (i.e., dissolved acid, bases, and salts). Many water soluble solute free solvents, such as DI water, isopropyl alcohol, ethylene glycol, propylene glycol, propylene carbonate, etc., have high electronic resistances when free of solutes, and the solubility of the cupric or cuprous ion in a neutral pH solvent in the same are also generally very small. Because of these factors, corrosion of the metal in these solvents can only occur by the direct oxidation with dissolved oxygen, generally a very slow process at ambient temperature and oxygen concentrations:

  • Cu+½O2→Cu2O   (13)
  • Therefore, performing pre-wetting using ionic-solute-free solvents (i.e., a non-electrolytic solution) such as water or DI water is one embodiment, and using deoxygenated deionized solvents such as deoxygenated DI water is another embodiment (to avoid reaction 13). In some embodiments, the plating solution is also deoxygenated/degassed prior to and during contact/exposure to the wafer surface, and a potential or current is applied to the wafer prior to entry, establishing a voltage greater than that given by equation 10, thereby preventing reaction 12 within the feature from occurring. With respect to preventing side wall corrosion, pre-wetting fluids that contain non-ionic dissolved species (e.g., non-ionic surfactants or switter-ionic surfactants, added to lower surface tension, or organic materials added to react with oxygen) are useful in some embodiments over highly conductive ionic solutes such as acids and bases. This is because of the generally lower solution specific conductance and ion current coupling of the oxidation and corrosion half reactions. An exception to this is the addition of surface adsorbing electrochemically active non-ionic materials (e.g., a non-ionic leveler compounds). A further example of an unfavorable pre-wetting fluid combination for copper plating, in some embodiments, is polyethylene glycol or polyethylene/polypropylene oxide copolymer (which are known to act as plating “suppressors”) at all but very low concentrations combined with small amount of dissolved halide (e.g. chloride) ions. A suppressor without the adsorption and electrochemical activity enhancing halides appears to be unfavorable, in some embodiments, unless at very low concentrations.
  • In one experiment performed according to an embodiment described herein, a 60 μm deep/10 μm wide TSV via structure having a 8000 Å copper seed layer was electroplated with copper. The feature was pre-wetted with deoxygenated, deionized water. After the wafer was exposed to the atmosphere for 5 minutes, it was then transferred to a plating cell, followed by immersion into the plating solution. The plating solution was a deoxygenated plating bath sold under the trademark DVF 200™ by Enthone Inc. with added components (DVF 200™ is a copper methane sulfonate/methane sulfonic acid plating solution to which accelerators, suppressors, and leveler additives, and 50 ppm chloride ions, were added). The filling characteristics of multiple individual features across multiple runs using this method showed complete void-free feature filling in almost all cases. In some experiments, the wafers were cathodically polarized prior to entry into the plating solution. This result shows the process robustness for creating void-free bottom-up filling using a combination of a degassed DI water pre-wetting process performed under vacuum, followed by potentiostatic entry into a copper plating solution.
  • In other embodiments, substantially non-conductive pre-wetting fluids that contain some dissolved compounds other than metals (e.g., either electrolytic or non-ionic, organic or inorganic, added, for example, in relatively small quantities to reduce surface tensions and aid in wetting), but are substantially free of materials that are electrochemically active and/or are considered plating bath additives, are used. For example, in some embodiments, a pre-wetting fluid that is substantially free from any accelerator/brighteners or levelers (that might typically be found in a subsequently used plating bath) is used rather than those that do contain such electrochemically active agents.
  • In one experiment performed according to an embodiment described herein, a wafer with a 60 μm deep/10 μm wide TSV via structure having a 8000 Å copper seed layer was electroplated with copper. The feature was pre-wetted with a pre-wetting fluid containing copper methane sulfonic acid (copper salt, 80 g/L copper ion), 20 g/L methane sulfonic acid, 50 ppm chloride ion, and 3 or 12 ppm of the copper plating accelerator dimercapto-propane sulfonic acid (SPS). After pre-wetting, the wafers were exposed to the atmosphere for about 1 minute, and then transferred to a plating cell, followed by immersion into the plating solution. The plating solution was a deoxygenated plating bath sold under the trademark DVF 200TM by Enthone Inc. with additive components. Copper was then plated onto the wafer. In both cases (i.e., pre-wetted with a solution containing 3 ppm of dimercapto-propane sulfonic acid and 12 ppm of dimercapto-propane sulfonic acid), sidewall voids were formed.
  • In some embodiments, the pre-wetting fluid includes water and a copper salt. This helps to avoid corrosion of a seed layer due to setting up the electrochemical difference discussed in relation to equation 10. In certain embodiments, the copper salt is at a concentration of at least about 50% of a saturation limit. In certain embodiments, the copper salt is copper sulfate, a copper alkylsupphonate, and mixtures thereof. In certain embodiments, the copper salt is at a concentration greater than about 20 g/L of copper. In some embodiments, after pre-wetting the wafer substrate with a pre-wetting fluid that includes water and a copper salt, the pre-wetted wafer substrate is electroplated with copper with a copper-containing plating solution; the pre-wetting fluid contains a copper salt at a copper concentration that is the same or higher than the copper concentration in the plating solution. In some embodiments, the copper concentration in the pre-wetting fluid is at least about 25% greater than the copper concentration in the plating solution. In other embodiments, the pre-wetting fluid consists essentially of water and a copper salt.
  • In some embodiments, a pre-wetting fluid having the same or a very similar composition to that of the plating solution (i.e., a solution having the same metal salts and/or the same metal ion concentrations, the same acids and/or the same acid concentration(s), the same halides and/or the same concentrations of halides, and the same additives and/or the same concentrations of additives) is used. In embodiments when the pre-wetting fluid and the plating solution have the same composition, a layer of metal may be plated on the wafer substrate in the same chamber as used for pre-wetting. However, when a seed layer is marginal (e.g., rough and thin within the feature), such a pre-wetting fluid (i.e., the same or very similar to the plating solution) may be susceptible to feature fill voiding due to seed corrosion from the pre-wetting fluid. The feature rate of filling may also be improved by using a different solution for pre-wetting than that of the plating bath, as described herein.
  • In one experiment performed according to an embodiment described herein, a 60 μm deep/10 μm wide TSV via structure having a 8000 Å copper seed layer was electroplated with copper. The feature was initially pre-wetted with a plating solution (i.e., the pre-wetting fluid had the same composition as the plating solution). The feature/wafer was pre-wetted via a pre-wetting process performed under vacuum described herein with commercially available deoxygenated plating bath sold under the trademark DVF 200™ by Enthone Inc., with plating additive components (i.e., the plating additive components used with DVF 200™ in the other experiments described herein). The surface was exposed to the deoxygenated plating bath and was then exposed to the atmosphere for either 1 or 3 minutes between release of vacuum and the transfer/immersion into the plating bath and initiation of metal deposition. The wafers were cathodically polarized immediately upon entry into the plating solution. In one case where the surface was exposed to the atmosphere for 1 minute, the feature was filled with metal and void free, with no evidence of side wall corrosion. However, features from the same wafer show that some features are not filled, typically with one side of the feature having an irregularly shaped void. This is generally believed to be associated with the loss of seed metal at the side of the feature. For a wafer prepared and processed in exactly the same fashion, except that there was 3 minutes exposure to atmospheric between release of vacuum and the initiation of plating, the feature filling was grossly incomplete. In many cases, the entire bottom of the feature was unplated. A similar trend (i.e., transition from void free to significant side wall voiding) also occurs for a fixed atmospheric exposure time, but with decreasing seed layer thickness. Therefore, the use of the plating solution as a pre-treatment solution is less than optimal in some embodiments because of its significant sensitivity to incomplete feature filling due to side wall corrosion. Particularly in situations where the seed layer thickness is quite thin, the number of side wall void-type defects increased markedly in both situations, indicating a narrow tolerance of seed layers to this pre-wetting fluid.
  • Referring back to reactions 8 and 9, the metal ion created by the coupling of reaction 8 with reaction 9a or 9b must be able to pass electrical (ionic) current back to the surface though the fluid, so having a solution of substantial conductivity is an unfavorable pre-wetting fluid property, in some embodiments. This is in contrast the substantial conductivity that is generally desired in a plating solution, where conductivity is tailored so as to minimize voltage drops in the solution and within the feature to facilitate the deposition process. Of particular interest is the high ionic mobility of protons, which is the highest of any cation. This property tends to impart very high conductivities to acid solutions of given molarities. Therefore, as a general rule, pre-wetting fluids having highly dissociated acids of high concentrations (e.g., those that generate a pH of less than about 2 or create greater than about 0.01 molar of free protons) are not favored in some embodiments because they facilitate corrosion reactions due to their high conductivities. Under such acidic conditions, the metal at the bottom of the feature's wall 1308 (FIG. 13) is subject to unfavorable conditions and could potentially result in the area corroding and producing a side wall without an electroplateable seed layer.
  • As a primary consideration, it is desirable to avoid this inside-the-feature corrosion and feature filling defects such as voiding. The combination of the high conductivity, acidity, and potentially unfavorable adsorption and reaction of additives and halide(s) with the metal can lead to, for example, feature sidewall corrosion and filling defects, as well as inhibiting or delaying the establishment of a favorable distribution of the additives on the various surfaces required for optimal feature filling rates or void free filling. Because the metal on the side wall can be thin and oxidized prior to the pre-wetting fluid exposure, a corrosion reaction involving acid or other components can lead to the loss of all the platable metal, leaving a non-plateable metal such as the copper diffusion barrier tantalum or tantalum nitride with an exposed oxide layer thereupon. Long unpolarized (cathodically protected) exposure of the surfaces to inappropriate component mixtures of the pre-wetting fluid may therefore lead to poor feature filling. Contrary to using a strongly acidic electrolyte (pH of less than about 2), using more neutral or near neutral pre-wetting fluid can limit the supply of protons for reaction 9a, reducing the corrosion rate, reducing the defectivity, and generally improving the reliability and success of the overall pre-wetting. Note that pre-wetting fluids of this description would generally not be optimal or acceptable for copper metal deposition, but they are favored for pre-wetting, in some embodiments. Solutions in the pH ranges of about 2 to 12, free of dissolved metal ion complexing anions, do not allow reactions such as 8 and 9 to occur at appreciable rates.
  • In some embodiments, the pre-wetting fluid includes deionized water, an acid, and a copper salt, with the pre-wetting fluid pH not lower than about 2. In further embodiments, the pH of such a pre-wetting fluid is between about 2 and 4. The acid in such embodiments may be sulfuric acid, an alkylsuphonic acid, and mixtures of these acids. The pre-wetting fluid may also include less than about 2 g/L or sulfuric acid or methane sulfonic acid in some such embodiments. In other embodiments, the pre-wetting fluid consists essentially of water, an acid, and a copper salt, with the pre-wetting fluid pH greater than about 2. In yet other embodiments, the pre-wetting fluid includes water and an acid, and the pre-wetting fluid has a pH of greater than about 2.
  • According to the various published pH/potential stability diagrams and calculations (also known as Pourbaix diagrams), exposure of copper metal to a non-complexing electrolytic solution of about pH 3 or greater and further having an oxidizing source (such as dissolved oxygen) is expected to form a metal surface oxide. The formation of the oxide instead of a dissolved metal salt of copper may inhibit further oxidation. It is thermodynamically favored for cuprous ions formed at the interface to react with water or hydroxide directly to form cuprous oxide or hydroxide (rather than form dissolved cuprous or cupric salts).

  • 2Cu+4OH→Cu2O+H2O+4e  (15a)

  • Cu+2OH→Cu(OH)2+2e→CuO+H2O+2e  (15b)
  • At a very high pH, the hydroxide of copper is slightly soluble, so this condition is slightly unfavorable from this prospective. The coupling of the copper oxidation half reaction with the oxygen reduction reaction may be reduced in a neutral solution, and so pre-wetting fluids free of copper complexing agents in a pH range of from about 2 to 12, more particularly between about 3.5 to 10.5, are useful classes of pre-wetting fluids for use under vacuum. Pre-wetting fluids of this class are solutions that may contain some dissolved compounds (both electrolytic and non-ionic, organic or inorganic, for example, to reduce surface tensions and aid in wetting), but are substantially free of materials that electrochemically alter the plating of the metal and active and/or are considered plating bath additives. The presence of a copper complexing agent also changes conditions, allowing the formation of a complex instead of the passivating oxide/hydroxides; if oxygen is present, unfavorable high rate corrosion is expected in metal complexing agent containing solutions having a dissolved oxidizer. Some materials that are typically bath additives can form metal complexes, such as mercapto-group containing brighteners/accelerators (e.g., mercapto-propanesulfonic acid, di-mercaptopropane sulfonic acid, etc.) and various nitrogen group containing levelers (e.g., diazine black and Janus Green B). For example, a pre-wetting fluid that does not contain any brighteners or levelers that might typically be found in a subsequently used plating bath may avoid related pre-wetting seed metal corrosion. Suppressors such as polyethers (e.g., polyethylene glycol, polypropylene oxide, etc.) or metal ion complexing agents by themselves are not particularly corrosive, and since they tend to reduce the surface tension as wetting agents, can be added when high rate fill is not a primary concern. However, the addition of suppressor in combination with chloride ions, generally considered a necessary co-constituent to achieve suppressor electrochemical activity, is not favored in some embodiments.
  • In some embodiments, the pre-wetting fluid may aid in removing the oxide surface. In some embodiments of an electroplating process 1200 for electroplating a layer of metal on a wafer substrate shown in FIG. 12, a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber (1205). The pressure in the pre-wetting process chamber is then reduced to a subatmospheric pressure (not shown). The wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate (1210). In one embodiment, the pre-wetting fluid includes an acid to at least partially remove surface oxide from the seed layer and the pre-wetting fluid has a pH of between about 2 to 6. The pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate (1215). The plating solution has a pH of between about 2 to 6 and the plating solution and the pre-wetting fluid have different compositions.
  • In other embodiments, the pre-wetting fluid may aid in transforming a metal oxide laden surface to a metallic surface (e.g., cuprous or cupric oxide—see reactions 6 and 7 and the associated discussion) or in removing the oxide surface. In some embodiments of an electroplating process 1200 for electroplating a layer of metal on a wafer substrate shown in FIG. 12, a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber (1205). The wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate (1210). In one embodiment, the pre-wetting fluid includes a small amount of a reducing agent to at least partially reduce surface oxide on the seed layer. In another embodiment, the pre-wetting fluid includes a metal complexing agent to at least partially remove surface oxide on the exposed metal layer and the pre-wetting fluid has a pH of between about 4 to12. The pre-wetted wafer is then contacted with a plating solution that includes metal ions to electroplate a layer of metal on the wafer substrate (1215).
  • In some embodiments, the plating solution includes copper ions to electroplate a layer of copper on the wafer substrate. In such embodiments, the exposed metal layer on the wafer substrate is generally copper or a copper alloy. Examples of reducing agents for copper include formaldehyde, glycolic acid (and salts thereof), and dimethylamine borane. When the exposed metal layer is copper, the pre-wetting fluid includes, in some embodiments, a copper complexing agent to at least partially remove surface oxide on the exposed copper layer and the pre-wetting fluid has a pH of between about 4 to12.
  • In general, small concentrations (e.g., parts per million, typically 10 to 100 ppm) of halide ions such as chloride or bromide are present and often critical in many plating bath solutions. Halides are also well known corrosion agents. It is generally known that a solution containing halides will corrode a surface faster than an identical solution (i.e., consistent pH and ionic strength) without halides. Because they are critical in successful plating and their concentrations are low, one might assume that not having them present in the pre-wetting fluid would inhibit their uniform exposure to the features internal surfaces and thereby have a detrimental effect to the feature filling process. In some embodiments, however, it is useful to not include or add even these very low levels of halides to the pre-wetting fluids. In some embodiments, the pre-wetting fluid is substantially free from halides. Even with low, parts per million levels of halides, alone or in combination with other plating bath additives, dramatic increases in the corrosion rate of the metal on side wall features have been observed. While not wanting to be held to any particularly theory, corrosion of the metal, as a whole, is perhaps catalyzed or stabilized by the formation of cuprous halide reactants.
  • In one TSV feature filling experiment, similar to the other experiments described herein, performed according to an embodiment described herein, the pre-wetting fluid contained 100 g/L copper methane sulfonic acid, 16 g/L methane sulfonic acid, and either no chloride or 50 ppm of chloride ions. The copper plating was then performed using the same solution and processes as described for the other experiments described herein. Side wall seed layer corrosion was greatly exacerbated by even small quantities of halide ions in the pre-wetting fluid
  • Plating bath suppressors include polyethylene glycol (PEG), polypropylene glycol (PPG), polyethylene oxide (PEO), polypropylene oxide (PPO), and various copolymers of these monomers. A suppressor is used to suppress copper plating outside the features on a wafer, allowing for copper deposition inside such features. They are also good surface tension reducing agents (surfactants), and hence, might be considered to be useful component in the pre-wetting fluid. As noted herein, the suppressive plating characteristics of these compounds are generally derived in combination with halides, and the presence of halides can cause feature side wall corrosion. In some embodiments, the pre-wetting fluid is substantially free from halides, plating accelerators, and plating levelers, and includes a plating suppressor at a small concentration (e.g., typically less than about 15 ppm).
  • An experiment was performed using pre-wetting fluid containing 100 g/L copper methane sulphonate, 16 g/L methane sulfonic acid (sometimes referred to as virgin makeup solution or “VMS”), no chloride ions, and various amount of an 8000 molecular weight polyethylene glycol to determine the effects of suppressors on a pre-wetting fluid. Side wall corrosion was generally not observed in these chloride-free pre-wetting fluids. Feature filling, however, was significantly impacted as the concentration of the suppressor reached somewhere between about 5 parts and 25 parts per million. The fill characteristics transitioned from a bottom-up filling at from about 0 to 5 ppm of suppressor to a bottom void at about 25 ppm suppressor. At about 50 ppm of suppressor and above, the plating was largely conformal. Thus, the use of above about 15 ppm of a suppressor is undesirable from a feature filling prospective in some embodiments.
  • In some embodiments of an electroplating process 1200 for electroplating a layer of copper on a wafer substrate shown in FIG. 12, a wafer substrate having an exposed metal layer on at least a portion of its surface is provided to a pre-wetting process chamber (1205). The wafer is then contacted with a pre-wetting fluid to form a layer of pre-wetting fluid on the wafer substrate (1210). The pre-wetting fluid includes water and copper ions, and is substantially free of plating additives. In this embodiment, the plating solution includes plating additives. The concentration of copper ions in the pre-wetting fluid is greater than the concentration of copper ions in the plating solution. In some embodiments, the pre-wetting fluid is substantially free of additives, including halides, accelerators, and levelers, and combinations thereof. In some embodiments, the pre-wetting fluid includes polyethylene oxide at a concentration of less than about 15 ppm. In some embodiments, plating solution additives include halides, accelerators, suppressors, and combinations thereof. The pre-wetted wafer is then contacted with a plating solution that includes copper ions to electroplate a layer of copper on the wafer substrate (1215).
  • In addition to the possibility of a particular pre-wetting fluid either aiding or hindering the feature filling process (e.g., the avoidance of side wall corrosion or creating a conformal type filling behavior), there is also a relationship between the composition of the pre-wetting fluid and the rate of feature filling. In experiments performed to compare the rates of feature filling, the plating bath solution composition and plating currents versus time were fixed and the amount of feature filling at the end of the process was monitored. The experiments showed that the choice of pre-wetting fluid can have a dramatic effect on the feature filling rate and time, sometimes increasing the filling rate and reducing the filling time by a factor of two or more.
  • While not wanting to be held to any particular explanation or model for this effect, it is believed that having a conductive electrolyte (e.g., as opposed to, for example, DI water) that principally contains significant amount of the metal salt is necessary to rapidly initiate and sustain plating at the bottom of a feature. In some embodiments, the pre-wetting fluid should not include some or all of the plating bath additives which are necessary in the field to suppress plating thereupon (i.e., levelers and suppressor). In some embodiments, the pre-wetting fluid is substantially free from plating levelers. The addition of accelerator to the pre-wetting fluid is useful in some embodiments, but accelerators (such as dimercaptopropane sulfonic acid, SPS) tend to bifurcate and form a very strongly adsorbing accelerator monomer (e.g., mercaptopropane sulfonic acid, MPS) simply by exposure of the surface to the pre-wetting fluid. This bifurcation of accelerator may be sufficiently fast that, prior to initiating plating, the entire surface is saturated with the accelerator adsorbate. Therefore, upon starting the subsequent plating, the field and upper side walls may require the accelerator to be removed or deactivated in order to cause the current to be driven into the intricacies of the features. In contrast, a pre-wetting fluid that contains metal ions, but no accelerator or other additives, will plate at a high rate upon entry in the bath until a suppressing additive become surface-active. In some embodiments, the pre-wetting fluid is substantially free from one or more of halides, plating accelerators, and plating levelers.
  • The smaller accelerator can diffuse quickly from the plating bath into the mouth and lower regions of a feature, while the suppressor and leveler molecules will diffuse slower and initially act primarily on the upper side walls of the feature, hence creating polarization contact and driving the current into the feature. The accelerator molecule primarily serves the purpose of deactivating, removing, or preventing the polarization developed by the suppressor/halide combination. An accelerator in itself is only a weak polarizing agent with respect to an additive free solution that is free of the polarizing suppressor/halide combination. While some halides, such as chloride, may be relatively small and diffuse with similar alacrity to the accelerator molecule, without either suppressor and leveler present at the feature bottom, the surface kinetics will still be fast and the plating resistance very low (halides alone are generally non-polarizing, and in fact some literature says they are depolarizing, by themselves). Furthermore, the ability to have the electrochemical conversion of SPS to the strongly adsorbing MPS accelerator molecule occur for some duration of time in an local environment free of suppressor (i.e., before any suppressor can arrive sets up an “inertial” plating condition that tend to reduce the propensity for the suppressor later absorb) avoids polarization and increases the relative plating to the bottom of the feature. In contrast, at the upper walls and field of the feature, very shortly after exposure to the plating solution, suppressor is adsorbed, and leveler competes with the adsorption of accelerator to remove the polarization there, so polarization develops there very rapidly. As time progresses after immersion into the plating bath, the small molecules such as the accelerator and halide will diffuse rapidly into the feature, but the larger levelers and much larger suppressor will diffuse much more slowly, delaying their inhibitive effect inside and allowing for a fast fill
  • Depending on the feature size, quality of seeding inside the feature, various processing costs, and other goals, one or more pre-wetting fluids may be favored over another, in certain embodiments. Tables 1 to 4 are based a large number of feature filling experiments and observations, similar to those described herein, that qualitatively categorized and compared the propensity of feature corrosion and enhancement/retardation of feature filling (filling rate) for a number of different pre-wetting fluid combinations. The table term “EXCELLENT” indicates a generally highly favorable result (e.g., little evidence of seed corrosion or enhanced or otherwise high feature filling rate). The table term “GOOD” indicates a potentially acceptable result, though one that may not be optimal in all cases (e.g., depending on seed quality, plating baths, etc.). The table term “FAIR” includes performances that are typically quite marginal or may be unreliable, and may often lead to negative or poor results. Finally, the table term “POOR” indicates almost invariably unacceptable seed corrosion or a significantly altered (e.g., conformal filling) or diminished filling rate behavior.
  • Results for different concentrations of acids are given. Results on metals of either sulfate or methane sulfonic acids are also given, although the difference between the two was generally found to be minimal. In tables where the labels “sulfuric or methane sulfonic” acid are given, the additional component creates a mixture of the two types of acids (not just more acid of the same chemical). For example, in Table 2, all of the pre-wetting fluids included greater than 2 g/L or either sulfuric acid or methane sulfonic acid. The pre-wetting fluid in row 1 [i.e., >2 g/L Methane Sulfonic (or Sulfuric) Acid] contained BOTH 2 g/L of sulfuric acid AND 2 g/L of methane sulfonic acid. The pre-wetting fluid in row 2 [i.e., <2 g/L Methane Sulfonic (or Sulfuric) Acid] contained EITHER 2 g/L of sulfuric acid OR 2 g/L of methane sulfonic acid. Sulfuric acid has about the same molecular weight as methane sulfonic acid, so the concentrations are about the same in the two cases, but it is recognized that sulfuric acid is both diprotic and has a different dissociation constant (H2SO4: MW=98, pKa1=3.0, pKa2=2; CH3SO3H: MW=96, pKa=1.9), so the pH of a solution containing the same amount of sulfuric acid will be lower. Finally, the concentrations of the copper solutions from different salts are in grams per liter of cupric ions (Cu++), not of the anhydrous salt or hydrated salt.
  • From these tables a number general trends of good pre-wetting fluids can be identified, specifically those containing little or no acid (pH of 2 or greater), moderate to high metal ion concentrations, little or no halides (<10 ppm), less than about 15 ppm PEG like suppressors, and neither leveler or accelerator plating additive. A solution containing from 20 to 100 g/L metal ion with no other components other than solvent (water) and a small concentration of a surfactant (or no surfactant) is an example of a good pre-wetting fluid composition.
  • TABLE 1
    Pre-wetting fluid of DI water and one other component.
    Feature Feature
    Sidewall Bottom Up
    Material Corrosion Filling
    DI water and One Other component Susceptabilty Rate
    DI Water Only Excellent Fair-Good
     >2 g/L Sulfuric Acid Poor Good
     >2 g/L Methane Sulfonic Acid Poor Good
     <2 g/L Sulfuric Acid Fair-Good Fair-Good
     <2 g/L Methane Sulfonic Acid Fair-Good Fair-Good
    <20 g/L Copper Sulfate Excellent Good
    <20 g/L Copper Methane Sulfonate Excellent Good
    >20 g/L Copper Sulfate Excellent Excellent
    >20 g/L Copper Methane Sulfonate Excellent Excellent
    >10 ppm Chloride/Bromide Poor Poor
    >15 ppm Suppressor Good Fair
    Accelerator Fair Poor
    Leveler Fair Poor
  • TABLE 2
    Pre-wetting fluid of DI water, greater than 2 g/L sulfuric
    acid or methane sulfonic acid, and one other component.
    Material Feature Feature
    DI water, >2 g/L Sulfuric Sidewall Bottom Up
    Acid or Methane Sulfonic Acid, Corrosion Filling
    and One Other component Susceptabilty Rate
     >2 g/L Methane Sulfonic (or Sulfuric) Acid Poor Good
     <2 g/L Methane Sulfonic (or Sulfuric) Acid Poor Good
    <20 g/L Copper Sulfate Fair Good
    <20 g/L Copper Methane Sulfonate Fair Good
    >20 g/L Copper Sulfate Fair Excellent
    >20 g/L Copper Methane Sulfonate Fair Excellent
    >10 ppm Chloride/Bromide Poor Poor
    >15 ppm Suppressor Good Fair
    Accelerator Poor Poor
    Leveler Poor Poor
  • TABLE 3
    Pre-wetting fluid of DI water, less than 2 g/L sulfuric acid or
    methane sulfonic acid, and one other component.
    Material Feature Feature
    DI water, <2 g/L Sulfuric Acid Sidewall Bottom Up
    or Methane Sulfonic Acid Corrosion Filling
    and One Other Component Susceptabilty Rate
     >2 g/L Methane Sulfonic (or Sulfuric) Acid Poor Good
     <2 g/L Methane Sulfonic (or Sulfuric) Acid Fair-Good Good
    <20 g/L Copper Methane Sulfonate Good Good
    <20 g/L Copper Sulfate Good Good
    >20 g/L Copper Sulfate Excellent Excellent
    >20 g/L Copper Methane Sulfonate Excellent Excellent
    >10 ppm Chloride/Bromide Poor Poor
    >15 ppm Suppressor Good Fair
    Accelerator Fair Poor
    Leveler Fair Poor
  • TABLE 4
    Pre-wetting fluid of DI water, less than 2 g/L sulfuric acid or
    methane sulfonic acid, greater than 20 g/L copper sulfate,
    and one other component.
    Material
    DI water, <2 g/L Sulfuric Acid Feature Feature
    (or Methane Sulfonic Acid), >20 g/L Sidewall Bottom Up
    Copper Sulfate, Corrosion Filling
    and One Other Component Susceptabilty Rate
    >2 g/L Sulfuric (or Methane Sulfonic) Acid Fair Excellent
    <2 g/L Sulfuric Acid (or Methane Sulfonic) Good Excellent
    Acid
    <20 g/L Copper Methane Sulfonate Excellent Excellent
    >20 g/L Copper Methane Sulfonate Excellent Excellent
    >10 ppm Chloride Poor Poor
    >15 ppm Suppressor Excellent Fair
    Accelerator Fair Poor
    Leveler Fair Poor
  • CONCLUSION
  • Although the foregoing apparatus designs and methods have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing both the process and compositions described herein. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and embodiments are not to be limited to the details given herein.

Claims (32)

1. An apparatus for pre-wetting a wafer substrate prior to electrolytically processing the wafer substrate, the apparatus comprising:
a degasser configured for removing one or more dissolved gases from a pre-wetting fluid prior to pre-wetting;
a process chamber having an inlet for admitting the pre-wetting fluid, wherein the process chamber is configured for pre-wetting the wafer substrate with a degassed pre-wetting fluid at a sub-atmospheric pressure; and
a wafer holder positioned within the process chamber and configured to hold the wafer substrate during the pre-wetting process.
2. The apparatus of claim 1, wherein the degasser is a membrane contactor degasser.
3. The apparatus of claim 1, wherein the degasser is configured for cooling the pre-wetting fluid to a temperature of less than about 20° C.
4. The apparatus of claim 1, wherein the process chamber includes an outlet adapted for connection with a vacuum pump.
5. The apparatus of claim 1, wherein the apparatus is configured for delivering the pre-wetting fluid onto the wafer substrate in a liquid form.
6. The apparatus of claim 5, wherein the apparatus is configured for immersing the wafer substrate into the pre-wetting fluid for a period of time.
7. The apparatus of claim 5, wherein the apparatus is configured for spraying or streaming the pre-wetting fluid onto the wafer substrate for a period of time.
8. The apparatus of claim 1, wherein the wafer substrate has at least one recessed feature, wherein the apparatus is configured for delivering the pre-wetting fluid onto the wafer substrate in a gaseous form, and wherein the pre-wetting fluid has substantially all incondensable gasses removed and subsequently condenses to form a liquid film on the wafer substrate that fills the recessed feature with pre-wetting fluid.
9. The apparatus of claim 1, wherein the apparatus is configured for maintaining a pressure of less than about 50 Torr in the process chamber during pre-wetting of the wafer substrate.
10. The apparatus of claim 1, wherein the apparatus is configured to initiate introduction of the pre-wetting fluid into the process chamber and onto the wafer substrate after the pressure in the process chamber has been reduced to less than about 50 Torr.
11. The apparatus of claim 1, wherein the apparatus is configured to initiate immersion of the wafer substrate into the pre-wetting fluid after the pressure in the process chamber has been reduced to less than about 50 Torr.
12. The apparatus of claim 1, wherein the wafer holder is configured to position the wafer substrate in a substantially horizontal orientation.
13. The apparatus of claim 1, wherein the wafer holder is configured to position the wafer substrate in a substantially vertical orientation.
14. The apparatus of claim 1, wherein the wafer holder is configured for rotating the wafer substrate.
15. The apparatus of claim 14, wherein the process chamber is configured to deliver the pre-wetting fluid onto a rotating wafer substrate.
16. The apparatus to claim 15, wherein the pre-wetting fluid first contacts the wafer substrate within about 3 cm of the center of the wafer substrate.
17. The apparatus of claim 1, wherein the process chamber is further configured for electroplating a layer of metal on the pre-wetted wafer substrate.
18. The apparatus of claim 1, wherein the apparatus further comprises a transfer mechanism configured for transferring the wafer substrate from the process chamber to an electroplating apparatus.
19. The apparatus or claim 1, wherein the apparatus is a station in a module, the module further comprising a station configured for an anodic process selected from the group consisting of electroetching or electropolishing.
20. The apparatus of claim 1, wherein the apparatus is a station in a module, the module further comprising an electroplating station configured to electroplate the wafer substrate with a metal.
21. The apparatus of claim 20, wherein the metal is copper.
22. The apparatus of claim 20, wherein electroplating station is configured to immerse the wafer substrate in a degassed plating electrolyte in the electroplating station.
23. The apparatus of claim 22, wherein the electroplating station is configured to cathodically polarize the wafer substrate before immersing the wafer substrate in the degassed plating electrolyte.
24. The apparatus of claim 20, wherein the module comprises a transfer mechanism configured for transferring the wafer substrate from the pre-wetting station to the electroplating station in less than about 1 minute.
25. The apparatus of claim 1, further comprising a controller comprising program instructions for:
reducing pressure in the process chamber to a subatmospheric pressure; and
subsequently contacting the wafer substrate with the pre-wetting fluid at a subatmospheric pressure to form a wetting layer on the wafer substrate.
26. The apparatus of claim 25, wherein the program instructions further comprise instructions for:
rotating the wafer substrate at a first rotation rate during delivery of a liquid pre-wetting fluid onto the wafer substrate at a subatmospheric pressure, wherein the fluid delivery is performed for between about 10 to 120 seconds;
stopping the delivery of the pre-wetting fluid;
after stopping the delivery of the pre-wetting fluid, rotating the wafer substrate at a second rotation rate to remove excess surface entrained pre-wetting fluid from the wafer substrate.
27. The apparatus of claim 26, wherein the program instructions further comprise instructions for:
increasing the pressure in the process chamber to atmospheric pressure after the delivery of the pre-wetting fluid is stopped and prior to removal of the excess surface entrained pre-wetting fluid.
28. The apparatus of claim 26, wherein the program instructions further comprise instructions for:
increasing the pressure in the process chamber to atmospheric pressure after removal of the excess surface entrained pre-wetting fluid.
29. The apparatus of claim 26, wherein the first rotation rate is less than about 300 rpm, and wherein the second rotation rate is at least about 300 rpm.
30. The apparatus of claim 26, wherein the first rotation rate is about 100 rpm or less, and wherein the second rotation rate is at least about 500 rpm.
31. The apparatus of claim 25, wherein the apparatus is configured for removing excess surface entrained pre-wetting fluid from the wafer substrate by a method selected from the group consisting of centrifugal spinning, air-knife drying, and wiping.
32. An apparatus for pre-wetting a wafer substrate prior to electrolytically processing the wafer substrate, the apparatus comprising:
a process chamber having an inlet for admitting a pre-wetting fluid, wherein the process chamber is configured for operating at a higher than atmospheric pressure during or after pre-wetting, to facilitate the removal of bubbles; and
a wafer holder positioned within the process chamber and configured to hold the wafer substrate during the pre-wetting process.
US12/684,792 2009-06-17 2010-01-08 Apparatus for wetting pretreatment for enhanced damascene metal filling Abandoned US20100320081A1 (en)

Priority Applications (12)

Application Number Priority Date Filing Date Title
US12/684,792 US20100320081A1 (en) 2009-06-17 2010-01-08 Apparatus for wetting pretreatment for enhanced damascene metal filling
TW104118528A TWI575116B (en) 2009-06-17 2010-06-15 Apparatus for wetting pretreatment for enhanced damascene metal filling
TW099119625A TWI499695B (en) 2009-06-17 2010-06-15 Apparatus for wetting pretreatment for enhanced damascene metal filling
KR1020107026340A KR101265416B1 (en) 2009-06-17 2010-06-16 Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling
PCT/US2010/038901 WO2010148147A2 (en) 2009-06-17 2010-06-16 Apparatus for wetting pretreatment for enhanced damascene metal filling
CN201080026847.7A CN102804343B (en) 2009-06-17 2010-06-16 Apparatus for wetting pretreatment for enhanced damascene metal filling
CN201510239529.3A CN104966671B (en) 2009-06-17 2010-06-16 The pretreated equipment of wetting for the filling of enhanced embedded with metal
US13/775,987 US9455139B2 (en) 2009-06-17 2013-02-25 Methods and apparatus for wetting pretreatment for through resist metal plating
US14/102,239 US9677188B2 (en) 2009-06-17 2013-12-10 Electrofill vacuum plating cell
US14/326,899 US9721800B2 (en) 2009-06-17 2014-07-09 Apparatus for wetting pretreatment for enhanced damascene metal filling
US15/181,150 US9828688B2 (en) 2009-06-17 2016-06-13 Methods and apparatus for wetting pretreatment for through resist metal plating
US15/724,120 US10301738B2 (en) 2009-06-17 2017-10-03 Methods and apparatus for wetting pretreatment for through resist metal plating

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21802409P 2009-06-17 2009-06-17
US12/684,792 US20100320081A1 (en) 2009-06-17 2010-01-08 Apparatus for wetting pretreatment for enhanced damascene metal filling

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/684,787 Continuation-In-Part US8962085B2 (en) 2009-06-17 2010-01-08 Wetting pretreatment for enhanced damascene metal filling

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US13/775,987 Continuation-In-Part US9455139B2 (en) 2009-06-17 2013-02-25 Methods and apparatus for wetting pretreatment for through resist metal plating
US14/102,239 Continuation-In-Part US9677188B2 (en) 2009-06-17 2013-12-10 Electrofill vacuum plating cell
US14/326,899 Continuation US9721800B2 (en) 2009-06-17 2014-07-09 Apparatus for wetting pretreatment for enhanced damascene metal filling

Publications (1)

Publication Number Publication Date
US20100320081A1 true US20100320081A1 (en) 2010-12-23

Family

ID=43353355

Family Applications (5)

Application Number Title Priority Date Filing Date
US12/684,787 Active 2032-04-12 US8962085B2 (en) 2009-06-17 2010-01-08 Wetting pretreatment for enhanced damascene metal filling
US12/684,792 Abandoned US20100320081A1 (en) 2009-06-17 2010-01-08 Apparatus for wetting pretreatment for enhanced damascene metal filling
US14/326,899 Active 2030-08-10 US9721800B2 (en) 2009-06-17 2014-07-09 Apparatus for wetting pretreatment for enhanced damascene metal filling
US14/593,676 Active 2031-01-08 US9852913B2 (en) 2009-06-17 2015-01-09 Wetting pretreatment for enhanced damascene metal filling
US15/818,329 Active 2030-08-03 US10840101B2 (en) 2009-06-17 2017-11-20 Wetting pretreatment for enhanced damascene metal filling

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/684,787 Active 2032-04-12 US8962085B2 (en) 2009-06-17 2010-01-08 Wetting pretreatment for enhanced damascene metal filling

Family Applications After (3)

Application Number Title Priority Date Filing Date
US14/326,899 Active 2030-08-10 US9721800B2 (en) 2009-06-17 2014-07-09 Apparatus for wetting pretreatment for enhanced damascene metal filling
US14/593,676 Active 2031-01-08 US9852913B2 (en) 2009-06-17 2015-01-09 Wetting pretreatment for enhanced damascene metal filling
US15/818,329 Active 2030-08-03 US10840101B2 (en) 2009-06-17 2017-11-20 Wetting pretreatment for enhanced damascene metal filling

Country Status (4)

Country Link
US (5) US8962085B2 (en)
CN (2) CN102804343B (en)
TW (2) TWI575116B (en)
WO (1) WO2010148147A2 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100320609A1 (en) * 2009-06-17 2010-12-23 Mayer Steven T Wetting pretreatment for enhanced damascene metal filling
US20140230860A1 (en) * 2013-02-20 2014-08-21 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US20140335633A1 (en) * 2011-09-09 2014-11-13 Tokyo Electron Limited Separation method, computer storage medium, and separation system
US8992757B2 (en) 2010-05-19 2015-03-31 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US20150311426A1 (en) * 2014-04-24 2015-10-29 National Taiwan University Method of manufacturing a transducer
US20150380280A1 (en) * 2013-10-30 2015-12-31 Tokyo Electron Limited Flow-rate regulator device, diluted chemical-liquid supply device, liquid processing apparatus and its operating system
US9359688B1 (en) 2012-12-05 2016-06-07 Novellus Systems, Inc. Apparatuses and methods for controlling PH in electroplating baths
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US9714462B2 (en) 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
US9732434B2 (en) 2014-04-18 2017-08-15 Lam Research Corporation Methods and apparatuses for electroplating nickel using sulfur-free nickel anodes
US20170253987A1 (en) * 2016-03-03 2017-09-07 Ebara Corporation Plating apparatus and plating method
US9816193B2 (en) 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
US9816196B2 (en) 2012-04-27 2017-11-14 Novellus Systems, Inc. Method and apparatus for electroplating semiconductor wafer when controlling cations in electrolyte
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US20180151406A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Tool With A Shield
US20180282892A1 (en) * 2017-03-31 2018-10-04 Ebara Corporation Plating method and plating apparatus
US10190232B2 (en) 2013-08-06 2019-01-29 Lam Research Corporation Apparatuses and methods for maintaining pH in nickel electroplating baths
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
CN112680758A (en) * 2019-10-17 2021-04-20 罗门哈斯电子材料有限责任公司 Method for enhanced copper electroplating
US11142825B2 (en) * 2019-02-01 2021-10-12 Averatek Corporation Coating of nano-scaled cavities
US20220213610A1 (en) * 2021-01-06 2022-07-07 Rohm And Haas Electronic Materials Llc Photoresist resolution capabilities by copper electroplating anisotropically
EP4088846A4 (en) * 2020-01-09 2024-01-17 Origin Co Ltd Deoxidized member production method and deoxidizing device

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120052204A1 (en) * 2010-08-31 2012-03-01 Applied Materials, Inc. Workpiece wetting and cleaning
FR2982877B1 (en) * 2011-11-18 2014-10-03 Alchimer MACHINE SUITABLE FOR METALLIZING A CAVITY OF A SEMICONDUCTOR OR CONDUCTIVE SUBSTRATE SUCH AS A VIA-TYPE VIA STRUCTURE
TWI609100B (en) 2012-03-30 2017-12-21 諾發系統有限公司 Cleaning electroplating substrate holders using reverse current deplating
CN103866365A (en) * 2012-12-11 2014-06-18 诺发系统公司 Electroplating filling vacuum plating tank
US10714436B2 (en) 2012-12-12 2020-07-14 Lam Research Corporation Systems and methods for achieving uniformity across a redistribution layer
CN103103585B (en) * 2012-12-29 2015-09-16 上海新阳半导体材料股份有限公司 A kind of high speed salient point electro-plating method for copper-connection
US9598787B2 (en) * 2013-03-14 2017-03-21 Rohm And Haas Electronic Materials Llc Method of filling through-holes
CN103474378B (en) * 2013-09-13 2016-03-16 华进半导体封装先导技术研发中心有限公司 Based on TSV processing procedure vacuum Prewetting apparatus and vacuumize the method for prewetting
US9321635B2 (en) * 2013-11-28 2016-04-26 Solid State System Co., Ltd. Method to release diaphragm in MEMS device
US9355836B2 (en) * 2013-12-31 2016-05-31 Lam Research Ag Method and apparatus for liquid treatment of wafer shaped articles
CN105304521A (en) * 2014-07-29 2016-02-03 盛美半导体设备(上海)有限公司 Device and method for wetting substrate in advance in semiconductor technology
US9472395B2 (en) * 2015-01-12 2016-10-18 Infineon Technologies Ag Semiconductor arrangement including buried anodic oxide and manufacturing method
CN107433517B (en) * 2016-05-25 2021-02-12 盛美半导体设备(上海)股份有限公司 Wafer polishing method
US10373864B2 (en) * 2016-12-27 2019-08-06 Applied Materials, Inc. Systems and methods for wetting substrates
JP2018149015A (en) * 2017-03-10 2018-09-27 コニカミノルタ株式会社 High-aspect-ratio structure manufacturing method, ultrasonic probe manufacturing method, high-aspect-ratio structure, and x-ray imaging apparatus
CN107833858B (en) * 2017-10-19 2020-07-10 华中科技大学 Three-step pre-soaking method for electroplating through silicon via
GB2574177B (en) 2018-01-25 2021-07-14 Semsysco Gmbh Method and device for plating a recess in a substrate
US20210366768A1 (en) * 2018-04-30 2021-11-25 Lam Research Corporation Improving substrate wettability for plating operations
CN110602920B (en) * 2018-06-30 2020-10-30 中航光电科技股份有限公司 Cold plate and method for producing a cold plate
US10734304B2 (en) * 2018-11-16 2020-08-04 Texas Instruments Incorporated Plating for thermal management
EP3885474A1 (en) 2020-03-25 2021-09-29 Semsysco GmbH Method for a chemical and/or electrolytic surface treatment of a substrate in a process station
CN115917048A (en) * 2020-04-07 2023-04-04 朗姆研究公司 Electro-fill from alkaline plating solutions
US20230260837A1 (en) * 2020-05-09 2023-08-17 Lam Research Corporation Methods to improve wafer wettability for plating - enhancement through sensors and control algorithms
JP2022063026A (en) * 2020-10-09 2022-04-21 株式会社荏原製作所 Plating method
CN112397422B (en) * 2020-11-19 2023-08-29 苏州尊恒半导体科技有限公司 Wafer deep hole electroplating pretreatment wetting method
CN112708926A (en) * 2020-12-16 2021-04-27 上海华力微电子有限公司 Buffer device for copper electroplating machine table and copper electroplating machine table
WO2023062778A1 (en) * 2021-10-14 2023-04-20 株式会社荏原製作所 Pre-wet treatment method
CN113862746B (en) * 2021-11-09 2023-02-17 新阳硅密(上海)半导体技术有限公司 Pre-wetting system and method for electroplating process
CN116169093A (en) * 2021-11-25 2023-05-26 上海华力集成电路制造有限公司 Method for improving pit defect after copper electroplating process

Citations (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1255395A (en) * 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US3360248A (en) * 1964-10-23 1967-12-26 Houdaille Industries Inc Thin stream direct contact fluid heater
US3849002A (en) * 1973-05-11 1974-11-19 Hach Chemical Co Method and apparatus for eliminating air during fluid turbidity measurement
US4101919A (en) * 1976-08-02 1978-07-18 Quantor Corporation Film processing apparatus
US4229191A (en) * 1978-08-04 1980-10-21 Moore Lester P Technique for modifying the capacity of gas-liquid separator
US4297217A (en) * 1979-05-23 1981-10-27 Imperial Chemical Industries Limited Treatment of wastewater
US4816081A (en) * 1987-02-17 1989-03-28 Fsi Corporation Apparatus and process for static drying of substrates
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5221449A (en) * 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
US5281485A (en) * 1990-10-26 1994-01-25 International Business Machines Corporation Structure and method of making Alpha-Ta in thin films
US5482611A (en) * 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
US5555234A (en) * 1994-02-17 1996-09-10 Dainippon Screen Mfg. Co., Ltd. Developing method and apparatus
US5800626A (en) * 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US5831727A (en) * 1997-04-29 1998-11-03 Hach Company Bubble elimination from liquid
US5982762A (en) * 1995-03-20 1999-11-09 Hitachi, Ltd Wireless LAN system, base station device and wireless terminal device therefor, and method for relaying information frame
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6004470A (en) * 1999-01-04 1999-12-21 Abril; Tim Apparatus and method for coolant/tramp oil separation
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6124203A (en) * 1998-12-07 2000-09-26 Advanced Micro Devices, Inc. Method for forming conformal barrier layers
US6126798A (en) * 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6139712A (en) * 1997-11-13 2000-10-31 Novellus Systems, Inc. Method of depositing metal layer
US6159354A (en) * 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6179973B1 (en) * 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6193854B1 (en) * 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6217716B1 (en) * 1998-05-06 2001-04-17 Novellus Systems, Inc. Apparatus and method for improving target erosion in hollow cathode magnetron sputter source
US6221757B1 (en) * 1999-01-20 2001-04-24 Infineon Technologies Ag Method of making a microelectronic structure
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6261433B1 (en) * 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6274008B1 (en) * 2000-01-21 2001-08-14 Applied Materials, Inc. Integrated process for copper via filling
US20010035346A1 (en) * 1998-04-24 2001-11-01 Keiichi Maeda Apparatus and method for electroplating
US6333275B1 (en) * 1999-10-01 2001-12-25 Novellus Systems, Inc. Etchant mixing system for edge bevel removal of copper from silicon wafers
US20020029973A1 (en) * 2000-07-07 2002-03-14 Applied Materials, Inc. Coated anode apparatus and associated method
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US20020084189A1 (en) * 2001-01-03 2002-07-04 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US20020084183A1 (en) * 2000-03-21 2002-07-04 Hanson Kyle M. Apparatus and method for electrochemically processing a microelectronic workpiece
US6503376B2 (en) * 2000-09-25 2003-01-07 Mitsubishi Denki Kabushiki Kaisha Electroplating apparatus
US6540899B2 (en) * 2001-04-05 2003-04-01 All Wet Technologies, Inc. Method of and apparatus for fluid sealing, while electrically contacting, wet-processed workpieces
US6544585B1 (en) * 1997-09-02 2003-04-08 Ebara Corporation Method and apparatus for plating a substrate
US6551487B1 (en) * 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6689257B2 (en) * 2000-05-26 2004-02-10 Ebara Corporation Substrate processing apparatus and substrate plating apparatus
US20040084315A1 (en) * 2002-10-31 2004-05-06 Dainippon Screen Mfg. Co., Ltd. Plating apparatus and plating method
US20040188257A1 (en) * 2001-08-31 2004-09-30 John Klocke Methods for processing micro-feature workpieces, patterned structures on micro-feature workpieces, and integrated tools for processing micro-feature workpieces
US6800187B1 (en) * 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US20040198190A1 (en) * 2003-03-27 2004-10-07 Basol Bulent M Method and apparatus for reduction of defects in wet processed layers
US20040200725A1 (en) * 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
US20040262165A1 (en) * 2003-04-16 2004-12-30 Hiroyuki Kanda Plating method
US20050026455A1 (en) * 2003-05-30 2005-02-03 Satomi Hamada Substrate processing apparatus and substrate processing method
US6964792B1 (en) * 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6964740B2 (en) * 2002-06-25 2005-11-15 Dwain E. Morse System and method of gas energy management for particle flotation and separation
US7014679B2 (en) * 2001-02-07 2006-03-21 Mykrolis Corporation Process for degassing an aqueous plating solution
US20060102485A1 (en) * 2003-07-30 2006-05-18 Hitachi, Ltd. Electroless plating method, electroless plating device, and production method and production device of semiconductor device
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US20060266393A1 (en) * 2000-06-26 2006-11-30 Steven Verhaverbeke Method and apparatus for wafer cleaning
US20080149487A1 (en) * 2006-12-23 2008-06-26 Min Hyung Lee Via Plating Method of System in Package and System Thereof
US20080200018A1 (en) * 2007-02-21 2008-08-21 Fujitsu Limited Substrate processing apparatus, substrate processing method, and method of manufacturing semiconductor device
US7670950B2 (en) * 2007-08-02 2010-03-02 Enthone Inc. Copper metallization of through silicon via
US20100200960A1 (en) * 2009-02-12 2010-08-12 International Business Machines Corporation Deep trench crackstops under contacts
US20110284386A1 (en) * 2010-05-19 2011-11-24 Willey Mark J Through silicon via filling using an electrolyte with a dual state inhibitor
US8404095B2 (en) * 2009-06-02 2013-03-26 The United States Of America, As Represented By The Secretary Of The Navy Preparing electrodes for electroplating
US20130171833A1 (en) * 2009-06-17 2013-07-04 Bryan L. Buckalew Methods and apparatus for wetting pretreatment for through resist metal plating

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0772357B2 (en) 1989-03-07 1995-08-02 日本電気株式会社 Electroplating method
SE467976B (en) 1991-02-20 1992-10-12 Dcm Innovation Ab DEVICE FOR ELECTRICAL PLATING, IN THE MANUFACTURE OF MATRISTS FOR THE MANUFACTURE OF EX EX CDS AND PROCEDURES FOR THE MANUFACTURE OF MATRICES BY THE DEVICE
JPH06151397A (en) 1992-11-09 1994-05-31 Ryoden Semiconductor Syst Eng Kk Wafer cleaning equipment
WO1999041434A2 (en) 1998-02-12 1999-08-19 Acm Research, Inc. Plating apparatus and method
US6402923B1 (en) * 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
TW522455B (en) 1998-11-09 2003-03-01 Ebara Corp Plating method and apparatus therefor
JP3589090B2 (en) 1999-06-01 2004-11-17 セイコーエプソン株式会社 Film formation method
US6391209B1 (en) 1999-08-04 2002-05-21 Mykrolis Corporation Regeneration of plating baths
US6596148B1 (en) 1999-08-04 2003-07-22 Mykrolis Corporation Regeneration of plating baths and system therefore
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US20040045832A1 (en) * 1999-10-14 2004-03-11 Nicholas Martyak Electrolytic copper plating solutions
KR100804714B1 (en) * 2000-03-17 2008-02-18 가부시키가이샤 에바라 세이사꾸쇼 Plating apparatus and method
JP4664320B2 (en) 2000-03-17 2011-04-06 株式会社荏原製作所 Plating method
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316889A (en) 2000-05-08 2001-11-16 Tokyo Electron Ltd Equipment and system for liquid treatment
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US20020074242A1 (en) * 2000-10-13 2002-06-20 Shipley Company, L.L.C. Seed layer recovery
JP2004528159A (en) 2001-02-08 2004-09-16 ユミコア・アクチエンゲゼルシャフト・ウント・コムパニー・コマンディットゲゼルシャフト Three-dimensional catalytic gauze woven with two or more layers
TW483040B (en) * 2001-05-30 2002-04-11 Taiwan Semiconductor Mfg Pre-wetting process system of chip for electroplating metal and its method
US6626196B2 (en) * 2001-06-15 2003-09-30 International Busines Machines Corporation Arrangement and method for degassing small-high aspect ratio drilled holes prior to wet chemical processing
US6592747B2 (en) * 2001-06-18 2003-07-15 International Business Machines Corporation Method of controlling additives in copper plating baths
JP2003129283A (en) 2001-10-18 2003-05-08 Hitachi Ltd Plating device and process for manufacturing semiconductor device using the same
US7771662B2 (en) 2001-10-19 2010-08-10 Hologic, Inc Vial system and method for processing liquid-based specimens
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
JP3979464B2 (en) 2001-12-27 2007-09-19 株式会社荏原製作所 Electroless plating pretreatment apparatus and method
US6843852B2 (en) * 2002-01-16 2005-01-18 Intel Corporation Apparatus and method for electroless spray deposition
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20040118697A1 (en) * 2002-10-01 2004-06-24 Applied Materials, Inc. Metal deposition process with pre-cleaning before electrochemical deposition
JP2004353004A (en) * 2003-05-27 2004-12-16 Ebara Corp Plating device
JP2006004955A (en) 2003-05-30 2006-01-05 Ebara Corp Substrate processing apparatus and substrate processing method
US7270734B1 (en) 2003-06-06 2007-09-18 Technic, Inc. Near neutral pH cleaning/activation process to reduce surface oxides on metal surfaces prior to electroplating
JP2005133160A (en) 2003-10-30 2005-05-26 Ebara Corp Substrate treatment device and method
EP1598704B1 (en) * 2004-05-17 2009-12-02 FUJIFILM Corporation Pattern forming method
US7438794B2 (en) 2004-09-30 2008-10-21 Intel Corporation Method of copper electroplating to improve gapfill
TWI328622B (en) * 2005-09-30 2010-08-11 Rohm & Haas Elect Mat Leveler compounds
DK1948852T3 (en) 2005-11-18 2019-01-02 Luxembourg Inst Science & Tech List MAIN ELECTRODE AND METHOD FOR CREATING MAIN ELECTRODE
KR20070071097A (en) * 2005-12-29 2007-07-04 매그나칩 반도체 유한회사 Apparatus for copper line plating and plating method for copper line using the apparatus
WO2007112768A1 (en) * 2006-03-30 2007-10-11 Freescale Semiconductor, Inc. Process for filling recessed features in a dielectric substrate
US7575666B2 (en) * 2006-04-05 2009-08-18 James Watkowski Process for electrolytically plating copper
US8261758B2 (en) 2006-08-17 2012-09-11 Novellus Systems, Inc. Apparatus and method for cleaning and removing liquids from front and back sides of a rotating workpiece
CN101636527B (en) * 2007-03-15 2011-11-09 日矿金属株式会社 Copper electrolyte solution and two-layer flexible substrate obtained by using the same
JP2009064599A (en) 2007-09-05 2009-03-26 Canon Inc Coating apparatus
US8211846B2 (en) 2007-12-14 2012-07-03 Lam Research Group Materials for particle removal by single-phase and two-phase media
CN101971037A (en) * 2008-03-14 2011-02-09 富士胶片株式会社 Probe guard
US20100163078A1 (en) 2008-12-31 2010-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Spinner and method of cleaning substrate using the spinner
KR101067608B1 (en) 2009-03-30 2011-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate Processing Apparatus and Substrate Processing Method
US9677188B2 (en) * 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
KR20130108978A (en) 2010-06-11 2013-10-07 알쉬메 Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
WO2012022660A1 (en) 2010-08-17 2012-02-23 Chemetall Gmbh Process for the electroless copper plating of metallic substrates
SG190931A1 (en) 2010-12-21 2013-07-31 Basf Se Composition for metal electroplating comprising leveling agent
US9816193B2 (en) 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
JP2012224944A (en) 2011-04-08 2012-11-15 Ebara Corp Electroplating method
US9028666B2 (en) 2011-05-17 2015-05-12 Novellus Systems, Inc. Wetting wave front control for reduced air entrapment during wafer entry into electroplating bath
KR102113883B1 (en) 2012-03-13 2020-05-22 노벨러스 시스템즈, 인코포레이티드 Methods and apparatus for wetting pretreatment for through resist metal plating
CN102786879B (en) 2012-07-17 2014-04-23 清华大学 Barium titanate chemico-mechanical polishing aqueous composition and its application
JP6079150B2 (en) 2012-11-07 2017-02-15 凸版印刷株式会社 Copper filling method of through hole by plating
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9054163B2 (en) 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias

Patent Citations (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1255395A (en) * 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US3360248A (en) * 1964-10-23 1967-12-26 Houdaille Industries Inc Thin stream direct contact fluid heater
US3849002A (en) * 1973-05-11 1974-11-19 Hach Chemical Co Method and apparatus for eliminating air during fluid turbidity measurement
US4101919A (en) * 1976-08-02 1978-07-18 Quantor Corporation Film processing apparatus
US4229191A (en) * 1978-08-04 1980-10-21 Moore Lester P Technique for modifying the capacity of gas-liquid separator
US4297217A (en) * 1979-05-23 1981-10-27 Imperial Chemical Industries Limited Treatment of wastewater
US4816081A (en) * 1987-02-17 1989-03-28 Fsi Corporation Apparatus and process for static drying of substrates
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5221449A (en) * 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
US5281485A (en) * 1990-10-26 1994-01-25 International Business Machines Corporation Structure and method of making Alpha-Ta in thin films
US5482611A (en) * 1991-09-30 1996-01-09 Helmer; John C. Physical vapor deposition employing ion extraction from a plasma
US5555234A (en) * 1994-02-17 1996-09-10 Dainippon Screen Mfg. Co., Ltd. Developing method and apparatus
US5982762A (en) * 1995-03-20 1999-11-09 Hitachi, Ltd Wireless LAN system, base station device and wireless terminal device therefor, and method for relaying information frame
US5800626A (en) * 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US5831727A (en) * 1997-04-29 1998-11-03 Hach Company Bubble elimination from liquid
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6544585B1 (en) * 1997-09-02 2003-04-08 Ebara Corporation Method and apparatus for plating a substrate
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6193859B1 (en) * 1997-11-13 2001-02-27 Novellus Systems, Inc. Electric potential shaping apparatus for holding a semiconductor wafer during electroplating
US6126798A (en) * 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6139712A (en) * 1997-11-13 2000-10-31 Novellus Systems, Inc. Method of depositing metal layer
US6156167A (en) * 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6159354A (en) * 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6261433B1 (en) * 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US20010035346A1 (en) * 1998-04-24 2001-11-01 Keiichi Maeda Apparatus and method for electroplating
US6217716B1 (en) * 1998-05-06 2001-04-17 Novellus Systems, Inc. Apparatus and method for improving target erosion in hollow cathode magnetron sputter source
US6099702A (en) * 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6162344A (en) * 1998-07-22 2000-12-19 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6074544A (en) * 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6110346A (en) * 1998-07-22 2000-08-29 Novellus Systems, Inc. Method of electroplating semicoductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6124203A (en) * 1998-12-07 2000-09-26 Advanced Micro Devices, Inc. Method for forming conformal barrier layers
US6004470A (en) * 1999-01-04 1999-12-21 Abril; Tim Apparatus and method for coolant/tramp oil separation
US6179973B1 (en) * 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6193854B1 (en) * 1999-01-05 2001-02-27 Novellus Systems, Inc. Apparatus and method for controlling erosion profile in hollow cathode magnetron sputter source
US6221757B1 (en) * 1999-01-20 2001-04-24 Infineon Technologies Ag Method of making a microelectronic structure
US6582578B1 (en) * 1999-04-08 2003-06-24 Applied Materials, Inc. Method and associated apparatus for tilting a substrate upon entry for metal deposition
US6333275B1 (en) * 1999-10-01 2001-12-25 Novellus Systems, Inc. Etchant mixing system for edge bevel removal of copper from silicon wafers
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6274008B1 (en) * 2000-01-21 2001-08-14 Applied Materials, Inc. Integrated process for copper via filling
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US20020084183A1 (en) * 2000-03-21 2002-07-04 Hanson Kyle M. Apparatus and method for electrochemically processing a microelectronic workpiece
US6689257B2 (en) * 2000-05-26 2004-02-10 Ebara Corporation Substrate processing apparatus and substrate plating apparatus
US20060266393A1 (en) * 2000-06-26 2006-11-30 Steven Verhaverbeke Method and apparatus for wafer cleaning
US20020029973A1 (en) * 2000-07-07 2002-03-14 Applied Materials, Inc. Coated anode apparatus and associated method
US6503376B2 (en) * 2000-09-25 2003-01-07 Mitsubishi Denki Kabushiki Kaisha Electroplating apparatus
US6964792B1 (en) * 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US20020084189A1 (en) * 2001-01-03 2002-07-04 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
US7014679B2 (en) * 2001-02-07 2006-03-21 Mykrolis Corporation Process for degassing an aqueous plating solution
US6540899B2 (en) * 2001-04-05 2003-04-01 All Wet Technologies, Inc. Method of and apparatus for fluid sealing, while electrically contacting, wet-processed workpieces
US6551487B1 (en) * 2001-05-31 2003-04-22 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer immersion
US6800187B1 (en) * 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US7097410B1 (en) * 2001-05-31 2006-08-29 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer positioning
US7686927B1 (en) * 2001-05-31 2010-03-30 Novellus Systems, Inc. Methods and apparatus for controlled-angle wafer positioning
US20040188257A1 (en) * 2001-08-31 2004-09-30 John Klocke Methods for processing micro-feature workpieces, patterned structures on micro-feature workpieces, and integrated tools for processing micro-feature workpieces
US6964740B2 (en) * 2002-06-25 2005-11-15 Dwain E. Morse System and method of gas energy management for particle flotation and separation
US20040084315A1 (en) * 2002-10-31 2004-05-06 Dainippon Screen Mfg. Co., Ltd. Plating apparatus and plating method
US20040198190A1 (en) * 2003-03-27 2004-10-07 Basol Bulent M Method and apparatus for reduction of defects in wet processed layers
US20040200725A1 (en) * 2003-04-09 2004-10-14 Applied Materials Inc. Application of antifoaming agent to reduce defects in a semiconductor electrochemical plating process
US20040262165A1 (en) * 2003-04-16 2004-12-30 Hiroyuki Kanda Plating method
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US20050026455A1 (en) * 2003-05-30 2005-02-03 Satomi Hamada Substrate processing apparatus and substrate processing method
US20060102485A1 (en) * 2003-07-30 2006-05-18 Hitachi, Ltd. Electroless plating method, electroless plating device, and production method and production device of semiconductor device
US20080149487A1 (en) * 2006-12-23 2008-06-26 Min Hyung Lee Via Plating Method of System in Package and System Thereof
US20080200018A1 (en) * 2007-02-21 2008-08-21 Fujitsu Limited Substrate processing apparatus, substrate processing method, and method of manufacturing semiconductor device
US7670950B2 (en) * 2007-08-02 2010-03-02 Enthone Inc. Copper metallization of through silicon via
US20100200960A1 (en) * 2009-02-12 2010-08-12 International Business Machines Corporation Deep trench crackstops under contacts
US8404095B2 (en) * 2009-06-02 2013-03-26 The United States Of America, As Represented By The Secretary Of The Navy Preparing electrodes for electroplating
US20130171833A1 (en) * 2009-06-17 2013-07-04 Bryan L. Buckalew Methods and apparatus for wetting pretreatment for through resist metal plating
US20110284386A1 (en) * 2010-05-19 2011-11-24 Willey Mark J Through silicon via filling using an electrolyte with a dual state inhibitor

Cited By (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9721800B2 (en) 2009-06-17 2017-08-01 Novellus Systems, Inc. Apparatus for wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9828688B2 (en) 2009-06-17 2017-11-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US10301738B2 (en) 2009-06-17 2019-05-28 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
US10840101B2 (en) 2009-06-17 2020-11-17 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9852913B2 (en) 2009-06-17 2017-12-26 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US20100320609A1 (en) * 2009-06-17 2010-12-23 Mayer Steven T Wetting pretreatment for enhanced damascene metal filling
US9138784B1 (en) 2009-12-18 2015-09-22 Novellus Systems, Inc. Deionized water conditioning system and methods
US8992757B2 (en) 2010-05-19 2015-03-31 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
US9593426B2 (en) 2010-05-19 2017-03-14 Novellus Systems, Inc. Through silicon via filling using an electrolyte with a dual state inhibitor
US10745817B2 (en) 2011-01-07 2020-08-18 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
US9816193B2 (en) 2011-01-07 2017-11-14 Novellus Systems, Inc. Configuration and method of operation of an electrodeposition system for improved process stability and performance
US20140335633A1 (en) * 2011-09-09 2014-11-13 Tokyo Electron Limited Separation method, computer storage medium, and separation system
US10008419B2 (en) * 2011-09-09 2018-06-26 Tokyo Electron Limited Separation method, computer storage medium, and separation system
US9816196B2 (en) 2012-04-27 2017-11-14 Novellus Systems, Inc. Method and apparatus for electroplating semiconductor wafer when controlling cations in electrolyte
US9359688B1 (en) 2012-12-05 2016-06-07 Novellus Systems, Inc. Apparatuses and methods for controlling PH in electroplating baths
KR102247081B1 (en) * 2013-02-20 2021-04-30 노벨러스 시스템즈, 인코포레이티드 Methods and apparatus for wetting pretreatment for throuhg resist metal plating
TWI646226B (en) * 2013-02-20 2019-01-01 美商諾發系統有限公司 Method and device for wet pretreatment of light-transmitting metal plating
US10128102B2 (en) * 2013-02-20 2018-11-13 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9613833B2 (en) * 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US20140230860A1 (en) * 2013-02-20 2014-08-21 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
KR102374892B1 (en) * 2013-02-20 2022-03-15 노벨러스 시스템즈, 인코포레이티드 Methods and apparatus for wetting pretreatment for throuhg resist metal plating
KR20140104395A (en) * 2013-02-20 2014-08-28 노벨러스 시스템즈, 인코포레이티드 Methods and apparatus for wetting pretreatment for throuhg resist metal plating
KR20210049752A (en) * 2013-02-20 2021-05-06 노벨러스 시스템즈, 인코포레이티드 Methods and apparatus for wetting pretreatment for throuhg resist metal plating
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US10190232B2 (en) 2013-08-06 2019-01-29 Lam Research Corporation Apparatuses and methods for maintaining pH in nickel electroplating baths
US20150380280A1 (en) * 2013-10-30 2015-12-31 Tokyo Electron Limited Flow-rate regulator device, diluted chemical-liquid supply device, liquid processing apparatus and its operating system
US10276408B2 (en) * 2013-10-30 2019-04-30 Tokyo Electron Limited Flow-rate regulator device, diluted chemical-liquid supply device, liquid processing apparatus and its operating system
US9435049B2 (en) 2013-11-20 2016-09-06 Lam Research Corporation Alkaline pretreatment for electroplating
US9732434B2 (en) 2014-04-18 2017-08-15 Lam Research Corporation Methods and apparatuses for electroplating nickel using sulfur-free nickel anodes
US10954604B2 (en) 2014-04-18 2021-03-23 Lam Research Corporation Methods and apparatuses for electroplating nickel using sulfur-free nickel anodes
US9607822B2 (en) 2014-04-21 2017-03-28 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US20150311426A1 (en) * 2014-04-24 2015-10-29 National Taiwan University Method of manufacturing a transducer
US9714462B2 (en) 2014-10-08 2017-07-25 Applied Materials, Inc. Vacuum pre-wetting apparatus and methods
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9481942B2 (en) 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US10889911B2 (en) 2016-03-03 2021-01-12 Ebara Corporation Plating apparatus and plating method
US10619265B2 (en) * 2016-03-03 2020-04-14 Ebara Corporation Plating apparatus and plating method
US20170253987A1 (en) * 2016-03-03 2017-09-07 Ebara Corporation Plating apparatus and plating method
US10755961B2 (en) 2016-11-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor tool with a shield
US10354908B2 (en) * 2016-11-29 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor tool with a shield
US20180151406A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Tool With A Shield
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US11208732B2 (en) 2017-03-30 2021-12-28 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10865492B2 (en) * 2017-03-31 2020-12-15 Ebara Corporation Plating method and plating apparatus
US11447885B2 (en) 2017-03-31 2022-09-20 Ebara Corporation Plating method and plating apparatus
US20180282892A1 (en) * 2017-03-31 2018-10-04 Ebara Corporation Plating method and plating apparatus
US11142825B2 (en) * 2019-02-01 2021-10-12 Averatek Corporation Coating of nano-scaled cavities
JP2021066956A (en) * 2019-10-17 2021-04-30 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Method of enhancing copper plating
US20210115581A1 (en) * 2019-10-17 2021-04-22 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
US20220228282A1 (en) * 2019-10-17 2022-07-21 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
CN112680758A (en) * 2019-10-17 2021-04-20 罗门哈斯电子材料有限责任公司 Method for enhanced copper electroplating
US11512406B2 (en) * 2019-10-17 2022-11-29 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
JP7287933B2 (en) 2019-10-17 2023-06-06 ローム アンド ハース エレクトロニック マテリアルズ エルエルシー Strengthening method of copper plating
US11686006B2 (en) * 2019-10-17 2023-06-27 Rohm And Haas Electronic Materials Llc Method of enhancing copper electroplating
EP4088846A4 (en) * 2020-01-09 2024-01-17 Origin Co Ltd Deoxidized member production method and deoxidizing device
US20220213610A1 (en) * 2021-01-06 2022-07-07 Rohm And Haas Electronic Materials Llc Photoresist resolution capabilities by copper electroplating anisotropically

Also Published As

Publication number Publication date
TW201109481A (en) 2011-03-16
WO2010148147A3 (en) 2011-03-24
TWI499695B (en) 2015-09-11
US10840101B2 (en) 2020-11-17
US9852913B2 (en) 2017-12-26
CN104966671B (en) 2019-01-08
US8962085B2 (en) 2015-02-24
CN102804343B (en) 2015-06-10
CN102804343A (en) 2012-11-28
WO2010148147A2 (en) 2010-12-23
TW201534771A (en) 2015-09-16
US9721800B2 (en) 2017-08-01
US20150096883A1 (en) 2015-04-09
US20100320609A1 (en) 2010-12-23
TWI575116B (en) 2017-03-21
US20180138044A1 (en) 2018-05-17
CN104966671A (en) 2015-10-07
US20150179458A1 (en) 2015-06-25

Similar Documents

Publication Publication Date Title
US10840101B2 (en) Wetting pretreatment for enhanced damascene metal filling
US10301738B2 (en) Methods and apparatus for wetting pretreatment for through resist metal plating
CN105845558B (en) Through silicon via filling process
CN106245073B (en) Method for electrochemically filling large, high aspect ratio recessed features with metal, aqueous solution plating bath solution, plating apparatus and system
US9856574B2 (en) Monitoring leveler concentrations in electroplating solutions
KR102348574B1 (en) Alkaline Pretreatment for Electroplating
CN103305886B (en) For soaking method and apparatus of the pretreatment to carry out insertion resist metal plating
TWI624567B (en) Electrofill vacuum plating cell
US20140097088A1 (en) Electrofill vacuum plating cell
KR20120026462A (en) By-product mitigation in through-silicon-via plating
WO2013180064A1 (en) Plating method, plating device, and storage medium
US20050109627A1 (en) Methods and chemistry for providing initial conformal electrochemical deposition of copper in sub-micron features
KR101265416B1 (en) Apparatus for Wetting Pretreatment for Enhanced Damascene Metal Filling

Legal Events

Date Code Title Description
AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MAYER, STEVEN T.;PORTER, DAVID W.;WILLEY, MARK J.;REEL/FRAME:023779/0815

Effective date: 20100108

AS Assignment

Owner name: NOVELLUS SYSTEMS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MAYER, STEVEN T.;PORTER, DAVID W.;WILLEY, MARK J.;AND OTHERS;SIGNING DATES FROM 20100607 TO 20100610;REEL/FRAME:024532/0887

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION