US20110039415A1 - Method of fabricating dual damascene structure - Google Patents

Method of fabricating dual damascene structure Download PDF

Info

Publication number
US20110039415A1
US20110039415A1 US12/911,719 US91171910A US2011039415A1 US 20110039415 A1 US20110039415 A1 US 20110039415A1 US 91171910 A US91171910 A US 91171910A US 2011039415 A1 US2011039415 A1 US 2011039415A1
Authority
US
United States
Prior art keywords
etching
plasma gas
cleaning
chamber
via hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/911,719
Inventor
An-Chi Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US12/911,719 priority Critical patent/US20110039415A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIU, AN-CHI
Publication of US20110039415A1 publication Critical patent/US20110039415A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Definitions

  • the invention is related to a method of fabricating a dual damascene structure, and more particularly, to a method of fabricating a dual damascene structure integrating all etching steps in the same etching chamber.
  • the multilevel interconnects of an integrated circuit are fabricated by damascene processes, which include single damascene and dual damascene processes.
  • the dual damascene process may reduce 20-30% of the steps of fabrication and also reduce the contact resist between metal lines and vias to improve reliability. Therefore, most of the metal interconnections in the integrated circuit are formed by dual damascene processes.
  • the conventional method of fabricating a dual damascene structure is to etch low-K dielectric layers to form a trench and a via hole. Then, the via hole and the trench are filled with copper, and a planarization process is performed to form the metal interconnects. This structure may reduce the resist and the parasitic capacity of the metal interconnections, and accelerate signal transduction.
  • the etching process has a very important role in dual damascene processes.
  • Different forming sequences of dual damascene structure such as a trench-first dual damascene process, a via-first dual damascene process, and a partial-via-first dual damascene process, use plasma gas to etch the dielectric layers.
  • the etching chamber is kept in a vacuum.
  • Most conventional etching chambers employ a “deposition mode” during wafer processing, i.e. a polymer layer is deposited on the wall surface of the etching chamber before etching. This may prevent the plasma gas from etching the wall surface of the etching chamber, which causes metal contamination.
  • the polymer layer has a higher selectivity than the photoresist pattern used as a mask during etching.
  • the photoresist pattern is removed in an ashing process and a cleaning step.
  • another etching mask or photoresist pattern is formed to perform the second etching process step, such as a trench etching process.
  • an additional photoresist stripper is used to remove the photoresist pattern. Therefore, the etching chamber has to be vented to remove the semiconductor wafer, and the semiconductor wafer is transferred to the photoresist stripper for the following ashing and cleaning processes. Afterward, the semiconductor wafer is returned to the etching chamber and the chamber is once more placed in a vacuum for performing the next etching process step. If, however, the photoresist is removed directly by an oxygen plasma gas in the etching chamber, the polymer layer on the wall surface of the etching chamber may be removed along with the photoresist.
  • etching processes may be performed in one etching chamber. This can cause a prior etching process to affect a later one. This is known as a “memory effect”.
  • the unstable environment of the etching chamber causes the dual damascene structure to be of poor quality and affects the stability of the semiconductor device. For this reason, the etching process and the ashing process of the dual damascene structure have to be performed in different etching chambers, or even in different machines.
  • the prior art method of forming the dual damascene structure includes steps of: 1) venting the etching chamber; 2) transferring the semiconductor wafer between different machines; 3) vacuuming the etching chamber; and 4) transferring the semiconductor wafer by mechanical arms.
  • the time required for forming the dual damascene structure also includes the time for warming machines. Complex steps of the transportation process may also affect the yield of the fabrication.
  • the present invention discloses a method of fabricating a dual damascene structure on a semiconductor wafer.
  • the semiconductor wafer comprises a substrate, a conductive layer, a dielectric layer comprising a via hole, a hard mask comprising a trench pattern, and a sacrificial layer disposed on the hard mask, the dielectric layer, and the via hole.
  • the method is performed in an etching chamber and comprises at least two sequential steps. Initially, a first etching process is performed.
  • the first etching process uses an oxygen (O2) based plasma gas to etch a part of the sacrificial layer and expose the hard mask, the dielectric layer, and a part of the via hole.
  • a second etching process is then performed.
  • the second etching process uses a tetrafluoromethane (CF 4 ) based plasma gas to etch the dielectric layer to form a trench. Then, a third etching process is performed. The third etching process uses an oxygen based plasma gas to remove the sacrificial layer to expose the dielectric layer through the via hole.
  • CF 4 tetrafluoromethane
  • the present invention also discloses a method of ashing and etching to fabricate a dual damascene structure on a semiconductor wafer in an etching chamber.
  • the semiconductor wafer comprises a substrate, a conductive layer, a cap layer, a dielectric layer comprising a via hole and a trench, a hard mask comprising a trench pattern, and a photoresist filled in the via hole.
  • an ashing process is performed.
  • the ashing process uses an oxygen based plasma gas to remove the photoresist to expose the cap layer through the via hole.
  • an etching process is performed.
  • the etching process uses a tetrafluoromethane based plasma to etch the cap layer to expose the conductive layer through the via hole.
  • the method of the present invention performs at least two sequential etching steps in the same etching chamber that substantially increases throughput.
  • the method of the invention may perform two sequential etching steps, three sequential etching steps, or four sequential etching steps, and thus the method of the present invention has advantages of flexibility and easy-regulation. Therefore, the method of the invention is adaptable for manufacturing machine management.
  • FIGS. 1 to 5 illustrate a method of fabricating a dual damascene structure according to a preferred embodiment of the present invention.
  • FIG. 6 through FIG. 8 are schematic diagrams illustrating forming a dual damascene structure upon a semiconductor wafer without a cap layer according to another preferred embodiment.
  • FIGS. 1 to 5 illustrate a method of fabricating a dual damascene structure according to a preferred embodiment of the present invention.
  • a semiconductor wafer 10 is provided.
  • the semiconductor wafer 10 comprises a substrate 12 , a conductive layer 14 , a cap layer 16 , a dielectric layer 18 comprising a via hole 24 , a hard mask 20 having a trench pattern 26 , and a sacrificial layer 22 disposed on the hard mask 20 , the dielectric layer 18 , and the via hole 24 .
  • the conductive layer 14 comprises a metal conductive layer, e.g. a metal conductive layer of copper.
  • the cap layer 16 and the hard mask 20 may comprise silicon nitride, silicon carbide, or silicon oxy-nitride.
  • the dielectric layer 18 comprises low-k materials, such as fluorinated silica glass, or organo-silicate.
  • the sacrificial layer 22 comprises a photoresist as the major material in the preferred embodiment.
  • the semiconductor wafer 10 is disposed on an electrostatic chunk (not shown) in an etching chamber.
  • the etching chamber has an yttria (Y 2 O 3 ) coating on the sidewall.
  • a first etching process is performed.
  • the first etching process comprises step 1.1.
  • Step 1.1 An oxygen (O 2 ) based plasma gas is used to etch the semiconductor wafer 10 in widespread.
  • the oxygen based plasma gas may comprise 100-500 standard cubic centimeters per minute (sccm) at a chamber pressure between 20-100 millitorrs (mT), and be generated by supplying 300-1500 watts (W) of RF power to an upper electrode and 300-1500 W of RF power to a lower electrode during etching.
  • the oxygen based plasma gas preferably comprises 300 sccm of O 2 at a chamber pressure of 30 mT, and is preferably generated by supplying 500 W of RF power to the upper electrodes and 400 W to the lower electrode.
  • the first etching process may comprise step 1.2 depending on requirements.
  • Step 1.2 A nitrogen (N 2 ) and oxygen based plasma gas is used to delicately etch the semiconductor wafer 10 .
  • the nitrogen and oxygen based plasma gas may comprise 100-300 sccm of N 2 and 10-30 sccm of O 2 at a chamber pressure between 20 - 100 mT, and be generated by supplying 100-1000 W of RF power to an upper electrode and 100-1000 W of RF power to a lower electrode during etching.
  • the flow rate of the N 2 and O 2 is about 10 to 1.
  • the nitrogen and oxygen based plasma gas preferably comprises 200 sccm of N 2 and 20 sccm of O 2 at a chamber pressure of 20 mT, and is preferably generated by supplying 1000 W of RF power to the upper electrodes and 100 W to the lower electrode.
  • the first etching process etches the sacrificial layer 22 to a predetermined depth to expose the hard mask 20 , the trench pattern 26 , and a part of the dielectric layer 18 .
  • a part of the sacrificial layer 22 is preserved in the via hole 24 and is removed in the following trench etching process.
  • a second etching process is performed in the same etching chamber.
  • the second etching process uses a tetrafluoromethane (CF 4 ) based plasma gas as an etch gas.
  • the etch gas may also comprise octafluorcyclobutan (C 4 F8), argon (Ar) or oxygen to enhance the ability of removing a polymer generated during the etching process.
  • the selectivity between the hard mask 20 , the sacrificial layer 22 and the dielectric layer 18 allows the etch gas to etch the dielectric layer 18 via the trench pattern 26 defined by the hard mask 20 to form a trench 28 .
  • a part of the sacrificial layer 22 is etched during the second etching process.
  • the etch gas comprises 5-30 sccm of C 4 F 8 , 100-200 sccm of CF 4 , 100-200 sccm of Ar, and 5-20 sccm of O 2 at a chamber pressure between 30-150 mT, and the etch gas is generated by supplying 150-1500 W of RF power to an upper electrode and 150-1500 W of RF power to a lower electrode during etching.
  • the etch gas preferably comprises 10 sccm of C 4 F 8 , 112 sccm of CF 4 , 150 sccm of Ar, and 6 sccm of O 2 at a chamber pressure of 60 mT, and is preferably generated by supplying 1200 W of RF power to the upper electrodes and 150 W to the lower electrode.
  • a third etching process is performed in the same etching chamber after the trench 28 is formed.
  • the third etching process uses an oxygen based plasma gas to remove the sacrificial layer 22 in the via hole 24 without removing the hard mask 20 .
  • the oxygen based etching gas comprises 500-1000 sccm of O2 at a chamber pressure between 20-50 mT, and the etch gas is generated by supplying 0-1500 W of RF power to an upper electrode and 0-1500 W of RF power to a lower electrode during etching.
  • the third etching process further comprises step 3.1 and step 3.2, in which the operation of step 3.1 depends on time arrangement and the condition of the semiconductor wafer 10. Step 3.1 and step 3.2 are illustrated as follows.
  • Step 3.1 The oxygen based plasma gas preferably comprises 750 sccm of O 2 at a chamber pressure of 20 mT, and is preferably generated by supplying 500 W of RF power to the upper electrodes and 0 W to the lower electrode. In addition, step 3.1 may reduce the memory effect during etching.
  • Step 3.2 The oxygen based plasma gas preferably comprises 300 sccm of O 2 at a chamber pressure of 20 mT, and is preferably generated by supplying 500 W of RF power to the upper electrodes and 200 W to the lower electrode to remove the sacrificial layer 22 in the via hole 24 without removing the hard mask 20 .
  • the sacrificial layer 22 is a photoresist, and therefore, the third etching process may be regarded as an ashing process.
  • a fourth etching process is performed to etch the cap layer 16 in the same etching chamber as the aforementioned etching process.
  • the fourth etching process comprises step 4.1.
  • Step 4.1 A tetrafluoromethane based plasma gas is used to etch the cap layer 16 .
  • the CF 4 based plasma gas comprises 100-500 sccm of CF 4 at a chamber pressure between 20-100 mT, and the etch gas is generated by supplying 150-1000 W of RF power to an upper electrode and 150-1000 W of RF power to a lower electrode during etching.
  • the CF 4 based plasma gas preferably comprises 140 sccm of CF 4 at a chamber pressure of 50 mT, and is preferably generated by supplying 600 W of RF power to the upper electrodes and 150 W to the lower electrode.
  • etching steps may also be employed depending on gas residue in the etching chamber and chamber stability. These etching steps include:
  • Step 4.2 using a nitrogen based plasma gas, which comprises 100-500 sccm of N 2 at a chamber pressure between 20-100 mT, and the etch gas is generated by supplying 0-500 W of RF power to an upper electrode and 0-500 W of RF power to a lower electrode during etching.
  • the N2 based plasma gas preferably comprises 260 sccm of N 2 at a chamber pressure of 60 mT, and is preferably generated by supplying 400 W of RF power to the upper electrodes and 0 W to the lower electrode.
  • Step 4.3 using a nitrogen based plasma gas, which comprises 100-500 sccm of N 2 at a chamber pressure between 10-100 mT.
  • the N 2 based plasma gas preferably comprises 260 sccm of N 2 at a chamber pressure of 10 mT.
  • Step 4.3 has a major objective of removing the residual side products in the etching chamber.
  • Step 4.4 using an argon based plasma gas, which comprises 500-1500 sccm of Ar at a chamber pressure between 20-50 mT.
  • the Ar based plasma gas preferably comprises 1000 sccm of Ar at a chamber pressure of 20 mT.
  • Step 4.4 has a major objective of further removing the residual side products in the etching chamber.
  • step 4.2, step 4.3, and step 4.4 can remove gas residue and moisture in the etching chamber.
  • step 4.2, step 4.3, or step 4.4 is performed optionally to obtain the objective of cleaning the etching chamber.
  • the present invention discloses another preferred embodiment as follows. At first, a semiconductor wafer comprising a substrate, a conductive layer, a cap layer, a dielectric layer comprising a via hole and a trench, a hard mask comprising a trench pattern, and a photoresist filled in the via hole is provided. The aforementioned first etching process and the second etching process are performed in one etching chamber.
  • the semiconductor wafer is transferred to another etching chamber and is performed with the third etching process to ash the photoresist in situ, the fourth etching process is performed to etch the cap layer to expose the conductivity layer through the via hole. Therefore, the etching processes of the method of fabricating a dual damascene structure are completed.
  • the first etching process, the second etching process, and the third etching process may be performed in one etching chamber, and the fourth etching process is performed in another etching chamber.
  • the method of the present invention has the advantages of flexibility, easy-regulation, and adaptability. The method of the present invention may be adjusted depending on product types and the condition of the machines to improve throughput.
  • FIG. 6 through FIG. 8 are schematic diagrams illustrating forming a dual damascene structure upon a semiconductor wafer without a cap layer according to another preferred embodiment.
  • a semiconductor wafer 30 is provided.
  • the semiconductor wafer 30 comprises a substrate 32 , a conductive layer 34 , a dielectric layer 36 comprising a via hole 42 , a hard mask 38 comprising a trench pattern 44 , and a photoresist 40 disposed on the hard mask 38 , the dielectric layer 36 , and the via hole 42 .
  • FIG. 6 is provided.
  • the semiconductor wafer 30 comprises a substrate 32 , a conductive layer 34 , a dielectric layer 36 comprising a via hole 42 , a hard mask 38 comprising a trench pattern 44 , and a photoresist 40 disposed on the hard mask 38 , the dielectric layer 36 , and the via hole 42 .
  • a first etching process and a second etching process are performed to form a trench 46 .
  • a third etching process is performed to ash the photoresist 40 in order to expose the conductive layer 34 through the via hole 42 without removing the hard mask 38 . In this way, the etching processes of the method of fabricating a dual damascene structure are completed.
  • a barrier layer, a seed layer, and a metal conductive layer are formed on the semiconductor dual damascene by a chemical vapor deposition (CVD) process, a physical vapor deposition process, or an electroplating process.
  • the metal conductive layer comprises copper, aluminum, tungsten, gold, or platinum.
  • a chemical mechanical polishing process is performed to form a metal line and a conductive plug.
  • the method of the invention employs a “clean mode” recipe, which reduces the memory effect resulting from the conventional deposition mode recipe, and prevents instability.
  • a cleaning process for the etching chamber may be performed optionally after the semiconductor wafer is removed. The cleaning process removes side products generated during the method of fabricating the dual damascene structure in the etching chamber. Since the etching chamber has the yttria coating on the sidewall, the plasma gas will not damage the etching chamber.
  • the cleaning process for the etching chamber is performed without a wafer disposed upon the lower electrode of the etching chamber. There is no unused place in the etching chamber and the cleaning process may be performed completely. Therefore, the cleaning process for the etching chamber may be named as a “ wafer-less dry clean process”.
  • the wafer-less dry clean process comprises the steps of:
  • the oxygen based cleaning plasma gas comprises 500-1000 sccm of O 2 at a chamber pressure between 20-30 mT, and the etch gas is generated by supplying 1000-2000 W of RF power to an upper electrode and 1000-2000 W of RF power to a lower electrode during etching.
  • the O 2 based cleaning plasma gas preferably comprises 600 sccm of O 2 at a chamber pressure of 200 mT, and is preferably generated by supplying 2000 W of RF power to the upper electrodes and 1500 W to the lower electrode.
  • the second cleaning process is performed during a period to confirm the etching chamber has been cleaned completely.
  • the O 2 based cleaning plasma gas preferably comprises 600 sccm of O 2 at a chamber pressure of 200 mT, and is preferably generated by supplying 2000 W of RF power to the upper electrodes and 1500 W to the lower electrode.
  • the following cleaning processes are performed to clean different segments of the etching chamber that may stabilize the condition of the etching chamber.
  • the wafer-less dry clean process further comprises the steps of:
  • the O 2 based cleaning plasma gas preferably comprises 1200 sccm of O 2 at a chamber pressure of 40 mT, and is preferably generated by supplying 2000 W of RF power to the upper electrodes and 1500 W to the lower electrode.
  • Fourth cleaning process using a powerless cleaning plasma gas comprising inert gas to expel the residual gases.
  • An argon based cleaning plasma gas is preferred, which comprises 500-1000 sccm of Ar at a chamber pressure between 20-30 mT.
  • the Ar based cleaning plasma gas preferably comprises 800 sccm of Ar at a chamber pressure of 25 mT.
  • the mixed cleaning plasma gas preferably comprises 140 sccm of CF 4 , 10 sccm of O 2 , and 150 sccm of Ar at a chamber pressure of 60 mT, and is preferably generated by supplying 800 W of RF power to the upper electrodes and 150 W to the lower electrode.
  • the wafer-less dry clean process may be performed between each etching process of the dual damascene structure fabrication, between each lot of the semiconductor wafer etching process, and even between any etching process being performed.
  • the present invention discloses a method of fabricating a dual damascene structure.
  • the etching processes and the ashing process of the method are performed in the same etching chamber. This may greatly reduce the transportation time between machines.
  • the sacrificial layer comprising the photoresist prevents the conductive layer at the bottom of the semiconductor wafer from plasma damage.
  • the method may be performed utilizing only two sequential etching processes to follow the arrangement of the production lines. With the performance of the wafer-less dry cleaning process, the method may elongate mean time between cleaning, and improve throughput of the semiconductor wafer fabrication.

Abstract

A semiconductor wafer includes a substrate, a conductive layer, a dielectric layer having a via, a hard mask defined a trench pattern, and a sacrificial layer. Then a sequential of etching processes is performed upon the semiconductor wafer in a chamber to form a trench and expose the conductive layer. By operating all procedures within one chamber, manufacturing time is efficiently shortened and yield is thus increased.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application of and claims the benefit of U.S. patent application Ser. No. 11/458,689, filed Jul. 20, 2006.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The invention is related to a method of fabricating a dual damascene structure, and more particularly, to a method of fabricating a dual damascene structure integrating all etching steps in the same etching chamber.
  • 2. Description of the Prior Art
  • The multilevel interconnects of an integrated circuit are fabricated by damascene processes, which include single damascene and dual damascene processes. The dual damascene process may reduce 20-30% of the steps of fabrication and also reduce the contact resist between metal lines and vias to improve reliability. Therefore, most of the metal interconnections in the integrated circuit are formed by dual damascene processes. At present, the conventional method of fabricating a dual damascene structure is to etch low-K dielectric layers to form a trench and a via hole. Then, the via hole and the trench are filled with copper, and a planarization process is performed to form the metal interconnects. This structure may reduce the resist and the parasitic capacity of the metal interconnections, and accelerate signal transduction. The etching process has a very important role in dual damascene processes.
  • Different forming sequences of dual damascene structure, such as a trench-first dual damascene process, a via-first dual damascene process, and a partial-via-first dual damascene process, use plasma gas to etch the dielectric layers. The etching chamber is kept in a vacuum. Most conventional etching chambers employ a “deposition mode” during wafer processing, i.e. a polymer layer is deposited on the wall surface of the etching chamber before etching. This may prevent the plasma gas from etching the wall surface of the etching chamber, which causes metal contamination. In addition, the polymer layer has a higher selectivity than the photoresist pattern used as a mask during etching. After the first etching process step, such as a via hole etching process, however, the photoresist pattern is removed in an ashing process and a cleaning step. Sequentially, another etching mask or photoresist pattern is formed to perform the second etching process step, such as a trench etching process. Because the majority of the photoresist is organic material, an additional photoresist stripper is used to remove the photoresist pattern. Therefore, the etching chamber has to be vented to remove the semiconductor wafer, and the semiconductor wafer is transferred to the photoresist stripper for the following ashing and cleaning processes. Afterward, the semiconductor wafer is returned to the etching chamber and the chamber is once more placed in a vacuum for performing the next etching process step. If, however, the photoresist is removed directly by an oxygen plasma gas in the etching chamber, the polymer layer on the wall surface of the etching chamber may be removed along with the photoresist.
  • Different etching processes may be performed in one etching chamber. This can cause a prior etching process to affect a later one. This is known as a “memory effect”. The unstable environment of the etching chamber causes the dual damascene structure to be of poor quality and affects the stability of the semiconductor device. For this reason, the etching process and the ashing process of the dual damascene structure have to be performed in different etching chambers, or even in different machines. The prior art method of forming the dual damascene structure includes steps of: 1) venting the etching chamber; 2) transferring the semiconductor wafer between different machines; 3) vacuuming the etching chamber; and 4) transferring the semiconductor wafer by mechanical arms. In addition, the time required for forming the dual damascene structure also includes the time for warming machines. Complex steps of the transportation process may also affect the yield of the fabrication.
  • SUMMARY OF THE INVENTION
  • It is therefore a primary objective of the present invention to provide a method of fabricating a dual damascene structure to reduce the cost and overcome the above-mentioned difficulties.
  • The present invention discloses a method of fabricating a dual damascene structure on a semiconductor wafer. The semiconductor wafer comprises a substrate, a conductive layer, a dielectric layer comprising a via hole, a hard mask comprising a trench pattern, and a sacrificial layer disposed on the hard mask, the dielectric layer, and the via hole. The method is performed in an etching chamber and comprises at least two sequential steps. Initially, a first etching process is performed. The first etching process uses an oxygen (O2) based plasma gas to etch a part of the sacrificial layer and expose the hard mask, the dielectric layer, and a part of the via hole. A second etching process is then performed. The second etching process uses a tetrafluoromethane (CF4) based plasma gas to etch the dielectric layer to form a trench. Then, a third etching process is performed. The third etching process uses an oxygen based plasma gas to remove the sacrificial layer to expose the dielectric layer through the via hole.
  • The present invention also discloses a method of ashing and etching to fabricate a dual damascene structure on a semiconductor wafer in an etching chamber. The semiconductor wafer comprises a substrate, a conductive layer, a cap layer, a dielectric layer comprising a via hole and a trench, a hard mask comprising a trench pattern, and a photoresist filled in the via hole. At first, an ashing process is performed. The ashing process uses an oxygen based plasma gas to remove the photoresist to expose the cap layer through the via hole. Then, an etching process is performed. The etching process uses a tetrafluoromethane based plasma to etch the cap layer to expose the conductive layer through the via hole.
  • The method of the present invention performs at least two sequential etching steps in the same etching chamber that substantially increases throughput. The method of the invention may perform two sequential etching steps, three sequential etching steps, or four sequential etching steps, and thus the method of the present invention has advantages of flexibility and easy-regulation. Therefore, the method of the invention is adaptable for manufacturing machine management.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 to 5 illustrate a method of fabricating a dual damascene structure according to a preferred embodiment of the present invention.
  • FIG. 6 through FIG. 8 are schematic diagrams illustrating forming a dual damascene structure upon a semiconductor wafer without a cap layer according to another preferred embodiment.
  • DETAILED DESCRIPTION
  • In the following detailed description, reference is made to the accompanying drawings, which form a part of this application. The drawings show, by way of illustration, specific embodiments in which the invention may be practiced. It is to be understood that other embodiments may be utilized and structural changes may be made without departing from the scope of the present invention.
  • FIGS. 1 to 5 illustrate a method of fabricating a dual damascene structure according to a preferred embodiment of the present invention. As shown in FIG. 1, a semiconductor wafer 10 is provided. The semiconductor wafer 10 comprises a substrate 12, a conductive layer 14, a cap layer 16, a dielectric layer 18 comprising a via hole 24, a hard mask 20 having a trench pattern 26, and a sacrificial layer 22 disposed on the hard mask 20, the dielectric layer 18, and the via hole 24. In the preferred embodiment, the conductive layer 14 comprises a metal conductive layer, e.g. a metal conductive layer of copper. The cap layer 16 and the hard mask 20 may comprise silicon nitride, silicon carbide, or silicon oxy-nitride. The dielectric layer 18 comprises low-k materials, such as fluorinated silica glass, or organo-silicate. The sacrificial layer 22 comprises a photoresist as the major material in the preferred embodiment.
  • As shown in FIG. 2, the semiconductor wafer 10 is disposed on an electrostatic chunk (not shown) in an etching chamber. The etching chamber has an yttria (Y2O3) coating on the sidewall. Then, a first etching process is performed. The first etching process comprises step 1.1.
  • Step 1.1: An oxygen (O2) based plasma gas is used to etch the semiconductor wafer 10 in widespread. The oxygen based plasma gas may comprise 100-500 standard cubic centimeters per minute (sccm) at a chamber pressure between 20-100 millitorrs (mT), and be generated by supplying 300-1500 watts (W) of RF power to an upper electrode and 300-1500 W of RF power to a lower electrode during etching. The oxygen based plasma gas preferably comprises 300 sccm of O2 at a chamber pressure of 30 mT, and is preferably generated by supplying 500 W of RF power to the upper electrodes and 400 W to the lower electrode.
  • The first etching process may comprise step 1.2 depending on requirements.
  • Step 1.2: A nitrogen (N2) and oxygen based plasma gas is used to delicately etch the semiconductor wafer 10. The nitrogen and oxygen based plasma gas may comprise 100-300 sccm of N2 and 10-30 sccm of O2 at a chamber pressure between 20-100 mT, and be generated by supplying 100-1000 W of RF power to an upper electrode and 100-1000 W of RF power to a lower electrode during etching. The flow rate of the N2 and O2 is about 10 to 1. The nitrogen and oxygen based plasma gas preferably comprises 200 sccm of N2 and 20 sccm of O2 at a chamber pressure of 20 mT, and is preferably generated by supplying 1000 W of RF power to the upper electrodes and 100 W to the lower electrode.
  • The first etching process etches the sacrificial layer 22 to a predetermined depth to expose the hard mask 20, the trench pattern 26, and a part of the dielectric layer 18. A part of the sacrificial layer 22 is preserved in the via hole 24 and is removed in the following trench etching process.
  • As shown in FIG. 3, a second etching process is performed in the same etching chamber. The second etching process uses a tetrafluoromethane (CF4) based plasma gas as an etch gas. The etch gas may also comprise octafluorcyclobutan (C4F8), argon (Ar) or oxygen to enhance the ability of removing a polymer generated during the etching process. The selectivity between the hard mask 20, the sacrificial layer 22 and the dielectric layer 18 allows the etch gas to etch the dielectric layer 18 via the trench pattern 26 defined by the hard mask 20 to form a trench 28. A part of the sacrificial layer 22 is etched during the second etching process. Thereafter, only a part of the sacrificial layer 22, which is filled in the via hole 24 is left to protect the cap layer 16 at the bottom of the via hole 24. In the preferred embodiment, the etch gas comprises 5-30 sccm of C4F8, 100-200 sccm of CF4, 100-200 sccm of Ar, and 5-20 sccm of O2 at a chamber pressure between 30-150 mT, and the etch gas is generated by supplying 150-1500 W of RF power to an upper electrode and 150-1500 W of RF power to a lower electrode during etching. The etch gas preferably comprises 10 sccm of C4F8, 112 sccm of CF4, 150 sccm of Ar, and 6 sccm of O2 at a chamber pressure of 60 mT, and is preferably generated by supplying 1200 W of RF power to the upper electrodes and 150 W to the lower electrode.
  • As shown in FIG. 4, a third etching process is performed in the same etching chamber after the trench 28 is formed. The third etching process uses an oxygen based plasma gas to remove the sacrificial layer 22 in the via hole 24 without removing the hard mask 20. The oxygen based etching gas comprises 500-1000 sccm of O2 at a chamber pressure between 20-50 mT, and the etch gas is generated by supplying 0-1500 W of RF power to an upper electrode and 0-1500 W of RF power to a lower electrode during etching. The third etching process further comprises step 3.1 and step 3.2, in which the operation of step 3.1 depends on time arrangement and the condition of the semiconductor wafer 10. Step 3.1 and step 3.2 are illustrated as follows.
  • Step 3.1: The oxygen based plasma gas preferably comprises 750 sccm of O2 at a chamber pressure of 20 mT, and is preferably generated by supplying 500 W of RF power to the upper electrodes and 0 W to the lower electrode. In addition, step 3.1 may reduce the memory effect during etching.
  • Step 3.2: The oxygen based plasma gas preferably comprises 300 sccm of O2 at a chamber pressure of 20 mT, and is preferably generated by supplying 500 W of RF power to the upper electrodes and 200 W to the lower electrode to remove the sacrificial layer 22 in the via hole 24 without removing the hard mask 20.
  • In the preferred embodiment, the sacrificial layer 22 is a photoresist, and therefore, the third etching process may be regarded as an ashing process.
  • As shown in FIG. 5, a fourth etching process is performed to etch the cap layer 16 in the same etching chamber as the aforementioned etching process. The fourth etching process comprises step 4.1.
  • Step 4.1: A tetrafluoromethane based plasma gas is used to etch the cap layer 16. The CF4 based plasma gas comprises 100-500 sccm of CF4 at a chamber pressure between 20-100 mT, and the etch gas is generated by supplying 150-1000 W of RF power to an upper electrode and 150-1000 W of RF power to a lower electrode during etching. The CF4 based plasma gas preferably comprises 140 sccm of CF4 at a chamber pressure of 50 mT, and is preferably generated by supplying 600 W of RF power to the upper electrodes and 150 W to the lower electrode.
  • Several etching steps may also be employed depending on gas residue in the etching chamber and chamber stability. These etching steps include:
  • Step 4.2: using a nitrogen based plasma gas, which comprises 100-500 sccm of N2 at a chamber pressure between 20-100 mT, and the etch gas is generated by supplying 0-500 W of RF power to an upper electrode and 0-500 W of RF power to a lower electrode during etching. The N2 based plasma gas preferably comprises 260 sccm of N2 at a chamber pressure of 60 mT, and is preferably generated by supplying 400 W of RF power to the upper electrodes and 0 W to the lower electrode.
  • Step 4.3: using a nitrogen based plasma gas, which comprises 100-500 sccm of N2 at a chamber pressure between 10-100 mT. The N2 based plasma gas preferably comprises 260 sccm of N2 at a chamber pressure of 10 mT. Step 4.3 has a major objective of removing the residual side products in the etching chamber.
  • Step 4.4: using an argon based plasma gas, which comprises 500-1500 sccm of Ar at a chamber pressure between 20-50 mT. The Ar based plasma gas preferably comprises 1000 sccm of Ar at a chamber pressure of 20 mT. Step 4.4 has a major objective of further removing the residual side products in the etching chamber.
  • The conductivity layer 14 of the semiconductor wafer 10 is exposed through the via hole 24 during the fourth etching process. Therefore, the etching processes of the dual damascene structure fabrication are finished. The performance of step 4.2, step 4.3, and step 4.4 can remove gas residue and moisture in the etching chamber. In addition, step 4.2, step 4.3, or step 4.4 is performed optionally to obtain the objective of cleaning the etching chamber.
  • According to the concept of the present invention, performing only two sequential steps of the first etching process, the second etching process, the third etching process, and the fourth etching process is allowable. The present invention discloses another preferred embodiment as follows. At first, a semiconductor wafer comprising a substrate, a conductive layer, a cap layer, a dielectric layer comprising a via hole and a trench, a hard mask comprising a trench pattern, and a photoresist filled in the via hole is provided. The aforementioned first etching process and the second etching process are performed in one etching chamber. Then, the semiconductor wafer is transferred to another etching chamber and is performed with the third etching process to ash the photoresist in situ, the fourth etching process is performed to etch the cap layer to expose the conductivity layer through the via hole. Therefore, the etching processes of the method of fabricating a dual damascene structure are completed. In addition, the first etching process, the second etching process, and the third etching process may be performed in one etching chamber, and the fourth etching process is performed in another etching chamber. According to the above, the method of the present invention has the advantages of flexibility, easy-regulation, and adaptability. The method of the present invention may be adjusted depending on product types and the condition of the machines to improve throughput.
  • The method of the present invention may also be performed on a semiconductor wafer without the cap layer to form the dual damascene structure. Please refer to FIG. 6 through FIG. 8. FIG. 6 through FIG. 8 are schematic diagrams illustrating forming a dual damascene structure upon a semiconductor wafer without a cap layer according to another preferred embodiment. As shown in FIG. 6, a semiconductor wafer 30 is provided. The semiconductor wafer 30 comprises a substrate 32, a conductive layer 34, a dielectric layer 36 comprising a via hole 42, a hard mask 38 comprising a trench pattern 44, and a photoresist 40 disposed on the hard mask 38, the dielectric layer 36, and the via hole 42. As shown in FIG. 7, a first etching process and a second etching process are performed to form a trench 46. Then, a third etching process is performed to ash the photoresist 40 in order to expose the conductive layer 34 through the via hole 42 without removing the hard mask 38. In this way, the etching processes of the method of fabricating a dual damascene structure are completed.
  • According to the above-mentioned embodiment, a barrier layer, a seed layer, and a metal conductive layer are formed on the semiconductor dual damascene by a chemical vapor deposition (CVD) process, a physical vapor deposition process, or an electroplating process. The metal conductive layer comprises copper, aluminum, tungsten, gold, or platinum. A chemical mechanical polishing process is performed to form a metal line and a conductive plug.
  • The method of the invention employs a “clean mode” recipe, which reduces the memory effect resulting from the conventional deposition mode recipe, and prevents instability. In addition, a cleaning process for the etching chamber may be performed optionally after the semiconductor wafer is removed. The cleaning process removes side products generated during the method of fabricating the dual damascene structure in the etching chamber. Since the etching chamber has the yttria coating on the sidewall, the plasma gas will not damage the etching chamber. In addition, the cleaning process for the etching chamber is performed without a wafer disposed upon the lower electrode of the etching chamber. There is no unused place in the etching chamber and the cleaning process may be performed completely. Therefore, the cleaning process for the etching chamber may be named as a “ wafer-less dry clean process”. The wafer-less dry clean process comprises the steps of:
  • First cleaning process: using an oxygen based cleaning plasma gas to clean the etching chamber, and then performing an end point detection to confirm. The oxygen based cleaning plasma gas comprises 500-1000 sccm of O2 at a chamber pressure between 20-30 mT, and the etch gas is generated by supplying 1000-2000 W of RF power to an upper electrode and 1000-2000 W of RF power to a lower electrode during etching. The O2 based cleaning plasma gas preferably comprises 600 sccm of O2 at a chamber pressure of 200 mT, and is preferably generated by supplying 2000 W of RF power to the upper electrodes and 1500 W to the lower electrode.
  • Second cleaning process: using the oxygen based cleaning plasma gas again in time mode. The second cleaning process is performed during a period to confirm the etching chamber has been cleaned completely. The O2 based cleaning plasma gas preferably comprises 600 sccm of O2 at a chamber pressure of 200 mT, and is preferably generated by supplying 2000 W of RF power to the upper electrodes and 1500 W to the lower electrode.
  • The following cleaning processes are performed to clean different segments of the etching chamber that may stabilize the condition of the etching chamber. The wafer-less dry clean process further comprises the steps of:
  • Third cleaning process: using an O2 based cleaning plasma gas that has lower pressure and high flow rate relative to the abovementioned cleaning plasma gases for expelling the residual gases. The O2 based cleaning plasma gas preferably comprises 1200 sccm of O2 at a chamber pressure of 40 mT, and is preferably generated by supplying 2000 W of RF power to the upper electrodes and 1500 W to the lower electrode.
  • Fourth cleaning process: using a powerless cleaning plasma gas comprising inert gas to expel the residual gases. An argon based cleaning plasma gas is preferred, which comprises 500-1000 sccm of Ar at a chamber pressure between 20-30 mT. The Ar based cleaning plasma gas preferably comprises 800 sccm of Ar at a chamber pressure of 25 mT.
  • Fifth cleaning process: using a mixed cleaning plasma comprising CF4, O2 and Ar as the cleaning plasma gas at a chamber pressure between 30-100 mT to keep the etching chamber in a stable condition. The flow rate of CF4/O2/Ar is 100-150/0-20/100-200. The mixed cleaning plasma gas preferably comprises 140 sccm of CF4, 10 sccm of O2, and 150 sccm of Ar at a chamber pressure of 60 mT, and is preferably generated by supplying 800 W of RF power to the upper electrodes and 150 W to the lower electrode.
  • It should be noted that the wafer-less dry clean process may be performed between each etching process of the dual damascene structure fabrication, between each lot of the semiconductor wafer etching process, and even between any etching process being performed.
  • As described above, the present invention discloses a method of fabricating a dual damascene structure. The etching processes and the ashing process of the method are performed in the same etching chamber. This may greatly reduce the transportation time between machines. The sacrificial layer comprising the photoresist prevents the conductive layer at the bottom of the semiconductor wafer from plasma damage. In addition, the method may be performed utilizing only two sequential etching processes to follow the arrangement of the production lines. With the performance of the wafer-less dry cleaning process, the method may elongate mean time between cleaning, and improve throughput of the semiconductor wafer fabrication.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (10)

1. A method of ashing and etching to fabricate a dual damascene structure on a semiconductor wafer, the semiconductor wafer comprising a substrate, a conductive layer, a cap layer, a dielectric layer comprising a via hole and a trench, a hard mask comprising a trench pattern larger than the via hole in bird's eye view, and a photoresist filled in the via hole, the method being performed in an etching chamber, the method comprising:
performing an ashing process, the ashing process using an oxygen based plasma gas to remove the photoresist to expose the cap layer through the via hole without removing the hard mask; and
performing an etching process, the etching process using a tetrafluoromethane based plasma to etch the cap layer to expose the conductive layer.
2. The method of claim 1, further comprising a wafer-less dry clean process for cleaning the etching chamber after the semiconductor wafer is removed.
3. The method of claim 2, wherein the wafer-less dry clean process uses a cleaning plasma gas comprising oxygen, argon, tetrafluoromethane, or a mixture of the above.
4. The method of claim 2, wherein the wafer-less dry clean process comprises the steps of:
using an oxygen based cleaning plasma gas and utilizing an endpoint detection for confirmation; and
using an oxygen based cleaning plasma gas in time mode for further cleaning.
5. The method of claim 4, wherein the wafer-less dry clean process further comprises the steps of:
using an oxygen based cleaning plasma gas with high flow rate and low pressure relative to the gases in claim 4;
using an argon based cleaning plasma gas; and
using a mixed cleaning plasma comprising tetrafluoromethane, oxygen, and argon.
6. The method of claim 1, wherein the etching chamber comprises an yttria coating on the sidewall.
7. The method of claim 1, wherein the ashing process further comprises a step of using an oxygen based plasma gas.
8. The method of claim 1, wherein the etching process further comprises a step of using a nitrogen based plasma gas.
9. The method of claim 8, wherein the etching process further comprises a sub-step of using a nitrogen based plasma gas for cleaning the etching chamber.
10. The method of claim 9, wherein the etching process further comprises a step of using an argon based plasma gas for cleaning the etching chamber.
US12/911,719 2006-07-20 2010-10-25 Method of fabricating dual damascene structure Abandoned US20110039415A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/911,719 US20110039415A1 (en) 2006-07-20 2010-10-25 Method of fabricating dual damascene structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/458,689 US7884026B2 (en) 2006-07-20 2006-07-20 Method of fabricating dual damascene structure
US12/911,719 US20110039415A1 (en) 2006-07-20 2010-10-25 Method of fabricating dual damascene structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/458,689 Continuation US7884026B2 (en) 2006-07-20 2006-07-20 Method of fabricating dual damascene structure

Publications (1)

Publication Number Publication Date
US20110039415A1 true US20110039415A1 (en) 2011-02-17

Family

ID=38971977

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/458,689 Active 2029-01-15 US7884026B2 (en) 2006-07-20 2006-07-20 Method of fabricating dual damascene structure
US12/911,719 Abandoned US20110039415A1 (en) 2006-07-20 2010-10-25 Method of fabricating dual damascene structure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/458,689 Active 2029-01-15 US7884026B2 (en) 2006-07-20 2006-07-20 Method of fabricating dual damascene structure

Country Status (1)

Country Link
US (2) US7884026B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170162596A1 (en) * 2014-10-30 2017-06-08 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory device and semiconductor memory device

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7563719B2 (en) * 2007-03-15 2009-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
KR101570482B1 (en) * 2009-10-15 2015-11-20 삼성디스플레이 주식회사 Thin film transistor array panel and method for manufacturing the same
US9437572B2 (en) * 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6325948B1 (en) * 1999-07-12 2001-12-04 Lam Research Co., Ltd. Waferless clean process of a dry etcher
US6559049B2 (en) * 2001-08-08 2003-05-06 Lam Research Corporation All dual damascene oxide etch process steps in one confined plasma chamber
US20030203321A1 (en) * 2002-04-25 2003-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for dual-damascene formation using a via plug
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US20050126488A1 (en) * 2000-11-10 2005-06-16 Tokyo Electron Limited Plasma processing apparatus and evacuation ring
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4850332B2 (en) * 2000-10-18 2012-01-11 東京エレクトロン株式会社 Etching method of dual damascene structure
CN100410421C (en) 2001-05-04 2008-08-13 拉姆研究公司 Duo-step plasma cleaning of chamber residues
US20040134608A1 (en) * 2001-05-17 2004-07-15 Lynn Forester Layered hard mask and dielectric materials and method therefor
TW516182B (en) 2002-01-23 2003-01-01 Taiwan Semiconductor Mfg Manufacturing method of dual damascene structure
TW580756B (en) 2003-01-07 2004-03-21 United Microelectronics Corp Dual damascene process
US7247555B2 (en) * 2004-01-29 2007-07-24 Chartered Semiconductor Manufacturing Ltd. Method to control dual damascene trench etch profile and trench depth uniformity
WO2005122224A2 (en) * 2004-06-03 2005-12-22 Epion Corporation Improved dual damascene integration structures and method of forming improved dual damascene integration structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6649515B2 (en) * 1998-09-30 2003-11-18 Intel Corporation Photoimageable material patterning techniques useful in fabricating conductive lines in circuit structures
US6325948B1 (en) * 1999-07-12 2001-12-04 Lam Research Co., Ltd. Waferless clean process of a dry etcher
US20050126488A1 (en) * 2000-11-10 2005-06-16 Tokyo Electron Limited Plasma processing apparatus and evacuation ring
US6559049B2 (en) * 2001-08-08 2003-05-06 Lam Research Corporation All dual damascene oxide etch process steps in one confined plasma chamber
US20030203321A1 (en) * 2002-04-25 2003-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for dual-damascene formation using a via plug
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7564136B2 (en) * 2006-02-24 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Integration scheme for Cu/low-k interconnects

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170162596A1 (en) * 2014-10-30 2017-06-08 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor memory device and semiconductor memory device
US10141329B2 (en) * 2014-10-30 2018-11-27 Toshiba Memory Corporation Method for manufacturing semiconductor memory device and semiconductor memory device
US10593694B2 (en) 2014-10-30 2020-03-17 Toshiba Memory Corporation Method for manufacturing semiconductor memory device and semiconductor memory device
US11322513B2 (en) 2014-10-30 2022-05-03 Kioxia Corporation Method for manufacturing semiconductor memory device and semiconductor memory device
US11744074B2 (en) 2014-10-30 2023-08-29 Kioxia Corporation Method for manufacturing semiconductor memory device and semiconductor memory device

Also Published As

Publication number Publication date
US20080020581A1 (en) 2008-01-24
US7884026B2 (en) 2011-02-08

Similar Documents

Publication Publication Date Title
KR101385346B1 (en) Methods and apparatus for in-situ substrate processing
US7575007B2 (en) Chamber recovery after opening barrier over copper
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US6440864B1 (en) Substrate cleaning process
US6796314B1 (en) Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6566242B1 (en) Dual damascene copper interconnect to a damascene tungsten wiring level
US20080286979A1 (en) Method of controlling sidewall profile by using intermittent, periodic introduction of cleaning species into the main plasma etching species
US6559049B2 (en) All dual damascene oxide etch process steps in one confined plasma chamber
US20110130007A1 (en) In-situ clean to reduce metal residues after etching titanium nitride
US7276450B2 (en) Etching processes using C4F8 for silicon dioxide and CF4 for titanium nitride
US20110039415A1 (en) Method of fabricating dual damascene structure
CN101452879A (en) Cleaning method after opening etching
US20040063331A1 (en) Etching method
US20080102638A1 (en) Etch depth control for dual damascene fabrication process
JP5232455B2 (en) Two-step etching of the bottom anti-reflection coating layer in dual damascene applications
US7569481B2 (en) Method for forming via-hole in semiconductor device
US8252694B2 (en) Plasma etching method and storage medium
US10950444B2 (en) Metal hard mask layers for processing of microelectronic workpieces
US20040161930A1 (en) In-situ discharge to avoid arcing during plasma etch processes
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
US7510965B2 (en) Method for fabricating a dual damascene structure
CN101123214B (en) Making method for dual enchasing structure
US7312157B2 (en) Methods and apparatus for cleaning semiconductor devices
JP2003224185A (en) Manufacturing method for semiconductor device
US20040140289A1 (en) Method for selectively etching organosilicate glass with respect to a doped silicon carbide

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIU, AN-CHI;REEL/FRAME:025190/0815

Effective date: 20060717

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION