US20110041764A1 - Batch processing platform for ald and cvd - Google Patents

Batch processing platform for ald and cvd Download PDF

Info

Publication number
US20110041764A1
US20110041764A1 US12/939,002 US93900210A US2011041764A1 US 20110041764 A1 US20110041764 A1 US 20110041764A1 US 93900210 A US93900210 A US 93900210A US 2011041764 A1 US2011041764 A1 US 2011041764A1
Authority
US
United States
Prior art keywords
cassette
processing
substrate
transfer
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/939,002
Inventor
Aaron Webb
Adam Brailove
Joseph Yudovsky
Nir Merry
Andrew Constant
Efrain Quiles
Michael R. Rice
Gary J. Rosen
Vinay K. Shah
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/939,002 priority Critical patent/US20110041764A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CONSTANT, ANDREW, SHAH, VINAY K., RICE, MICHAEL R., MERRY, NIR, QUILES, EFRAIN, WEBB, AARON, YUDOVSKY, JOSEPH, BRAILOVE, ADAM A., ROSEN, GARY J.
Publication of US20110041764A1 publication Critical patent/US20110041764A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Definitions

  • Embodiments of the present invention generally relate to an apparatus for processing substrates. More particularly, the invention relates to a batch processing platform for performing atomic layer deposition (ALD) and chemical vapor deposition (CVD) on substrates.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • the process of forming semiconductor devices is commonly conducted in substrate processing platforms containing multiple chambers.
  • the purpose of a multi-chamber processing platform or cluster tool is to perform two or more processes on a substrate sequentially in a controlled environment.
  • a multiple chamber processing platform may only perform a single processing step on substrates; the additional chambers are intended to maximize the rate at which substrates are processed by the platform.
  • the process performed on substrates is typically a batch process, wherein a relatively large number of substrates, e.g. 25 or 50, are processed in a given chamber simultaneously. Batch processing is especially beneficial for processes that are too time-consuming to be performed on individual substrates in an economically viable manner, such as for ALD processes and some chemical vapor deposition (CVD) processes.
  • COO cost of ownership
  • system footprint i.e., the total floor space required to operate the system in a fabrication plant
  • system throughput i.e., the number of substrates processed per hour.
  • Footprint typically includes access areas adjacent the system that are required for maintenance.
  • ALD atomic layer epitaxy
  • ALD atomic layer deposition
  • Embodiments of the present invention provide a batch processing platform used for ALD or CVD processing of substrates with minimized footprint and high throughput.
  • the processing platform comprises an atmospheric transfer region, at least one batch processing chamber with a buffer chamber and staging platform, and a transfer robot disposed in the transfer region wherein the transfer robot has at least one substrate transfer arm that comprises multiple substrate handling blades.
  • the transfer robot may be adapted to transfer substrates between a processing cassette and a staging cassette and may further be adapted to be a two bar linkage robot.
  • the platform may include two batch processing chambers configured with a service aisle disposed therebetween to provide necessary service access to the transfer robot and the deposition stations.
  • a fluid delivery system may be in fluid communication with the internal process volume of the at least one batch processing chamber and may be positioned in a facilities tower proximate thereto.
  • a FOUP (Front Opening Uniform Pod) management system may be positioned adjacent the platform.
  • the processing platform comprises at least one batch processing chamber, a substrate transfer robot that is adapted to transfer substrates between a FOUP and a processing cassette, and a cassette transfer region containing a cassette handler robot.
  • the cassette transfer region may be maintained at atmospheric pressure and the cassette handler robot may be a linear actuator with vertical lift capability or a rotary table.
  • the cassette transfer region may be maintained at a pressure below atmospheric pressure and may further comprise one or more load locks adapted to support the processing cassette proximate the substrate transfer robot.
  • the cassette handler robot may be a linear actuator with vertical lift capability or a rotary table with vertical lift capability.
  • the platform comprises two load locks and two batch processing chambers and the rotary table may be adapted to rotatably position a cassette under each load lock and under each deposition chamber and to vertically transfer cassettes between the cassette transfer region and the deposition chambers and between the cassette transfer region and the load locks.
  • a fluid delivery system may be in fluid communication with the internal process volume of the at least one batch processing chamber and may be positioned in a facilities tower proximate thereto.
  • a FOUP management system may be positioned adjacent the platform.
  • FIG. 1A is a schematic plan view of a batch processing platform that uses a multiple arm robot for substrate transfers.
  • FIG. 1B is a perspective view of the batch processing system of FIG. 1A .
  • FIG. 1C is a schematic vertical cross-sectional view of a batch processing system illustrating the factory interface, reactors, buffer chambers, and staging platforms.
  • FIG. 1D illustrates a schematic plan view of a batch processing system configured with two batch processing stations, each served by a two-cassette rotary table.
  • FIG. 1E is a cross-sectional side view of a batch processing station.
  • FIG. 1F illustrates one configuration of a robot assembly that may be used in a factory interface.
  • FIG. 1G illustrates a configuration of a robot hardware assembly containing a transfer robot that may be adapted to transfer a single substrate at a time.
  • FIG. 1H illustrates one configuration of a robot hardware assembly that contains two transfer robots that are positioned in an opposing orientation to each other.
  • FIG. 1I illustrates a five blade robot arm.
  • FIG. 1J illustrates a preferred configuration of robot hardware assembly that includes a single blade transfer robot and a multiple blade transfer robot.
  • FIG. 1K illustrates the clearance region of a cartesian robot.
  • FIG. 1L illustrates the clearance region of a conventional robot.
  • FIG. 1M illustrates a cross-sectional side view of one configuration of a two bar linkage robot.
  • FIG. 1N illustrates a schematic diagram of one configuration of a precursor delivery system.
  • FIG. 1O is a perspective view of a batch processing system with a precursor delivery system positioned on top of the system.
  • FIG. 1P is a side view of an exemplary stocker apparatus.
  • FIG. 1Q is a front elevation view of the stocker apparatus of FIG. 1P .
  • FIG. 2A is a schematic plan view of a batch processing platform.
  • FIG. 2B is a schematic side view of a batch processing platform.
  • FIG. 2C is a perspective view of a batch processing system.
  • FIG. 2D is a perspective view of a batch processing system.
  • FIG. 3A is a schematic plan view of a batch processing platform.
  • FIG. 3B is a schematic side view of a batch processing platform.
  • FIG. 4A is a schematic plan view of a batch processing platform.
  • FIG. 4B is a schematic side view of a batch processing platform.
  • FIG. 5 is a schematic plan view of a batch processing platform.
  • a batch processing platform for ALD and CVD applications wherein throughput is maximized and footprint is minimized.
  • throughput is improved by using a multiple arm robot to transfer substrates.
  • a cassette handler robot is used to transfer entire cassettes to improve throughput.
  • a robot with multiple arms transfers substrates between a staging cassette and a processing cassette using an arm configured with multiple blades to reduce transfer times therebetween. Because a processing chamber is idle during substrate transfers, it is beneficial for system throughput to minimize the time required for transferring substrates into and out of a processing cassette.
  • the robot also transfers substrates between a substrate transport pod and the staging cassette using another arm configured with a single blade to accommodate the difference in substrate spacing between the pod and the staging cassette.
  • Configurations include a cartesian robot-based platform as well as a configuration with two batch processing chambers and a common access space therebetween that allows all components of the platform to be accessed for maintenance without side access to the platform.
  • FIG. 1A is a schematic plan view of one aspect of the invention, a batch processing platform using a multiple arm robot for substrate transfers, hereinafter referred to as system 100 .
  • System 100 includes one or more batch processing stations 101 A, 101 B, a system controller 111 , a factory interface (FI) 102 , containing a transfer robot assembly 103 and one or more load stations 104 A-C, and a process fluid delivery system, which may be contained in a facilities tower 130 .
  • transfer robot assembly 103 is illustrated in three positions simultaneously, i.e., adjacent load stations 104 A-C, adjacent reactor 121 A and adjacent reactor 121 B.
  • the batch processing stations 101 A, 101 B are disposed adjacent FI 102 and proximate each other to minimize the overall footprint of batch processing platform 100 and the distance necessary for transfer robot assembly 103 to travel when transferring substrates between load stations 104 A-C and batch processing stations 101 A, 101 B.
  • Two batch processing stations 101 A, 101 B are illustrated in FIG. 1A , however additional stations may be added easily.
  • a facilities tower 130 may be positioned a service distance 137 from batch processing station 101 B and FI 102 and may be connected to other components of system 100 via an overhead rack 140 . Service distance 137 allows access door 135 A to be opened for servicing transfer robot assembly 103 .
  • Batch processing stations 101 A, 101 B may be configured to perform the same batch process simultaneously on different groups of substrates, or they may be configured to perform two different batch processes sequentially on the same group of substrates.
  • the starting time for substrate processing in each batch processing station may be staged, i.e., alternated, to minimize idle time associated with the transfer of substrates to and from batch processing stations 101 A, 101 B; transfer robot assembly 103 is only required to load and unload one batch processing station at a time.
  • a group of substrates undergoes a first batch process in one batch processing station and then undergoes a second batch process in the other batch processing station.
  • system 100 may be configured with a combination of batch processing stations and single-substrate processing stations. This configuration of system 100 is particularly useful when an unstable batch film requires some form of post-processing, such as a capping process, since the batch-processed substrates may immediately undergo the desired post-processing.
  • Transfer robot assembly 103 may transfer a first batch of substrates to a staging cassette adjacent the batch processing station while the batch processing station is processing a second batch of substrates in a processing cassette. Transfer robot assembly 103 may perform the transfer between FOUP's and staging platforms with a robot arm configured with a single blade. After processing, substrates may be swapped between the staging cassette and the desired processing cassette by transfer robot assembly 103 using a robot arm configured with multiple blades. If any single-substrate processing chambers are present on system 100 , transfer robot assembly 103 transfers substrates between the single-substrate processing chambers and the appropriate staging platform using a robot arm configured with a single blade.
  • substrates may be transferred to a batch processing station from a first staging cassette prior to processing and then transferred to a second staging cassette after processing.
  • transfer robot assembly 103 may transfer a group of substrates from a staging cassette 123 A to batch processing station 101 A for a first batch process.
  • transfer robot assembly 103 transfers the group of substrates from batch processing station 101 A to staging cassette 123 B.
  • batch processing station 101 B is available for processing, transfer robot assembly 103 then transfers the group of substrates from staging cassette 123 B to batch processing station 101 B for the second batch process.
  • a robot arm configured with multiple blades is used for transfers between staging cassettes and batch processing stations, since there is no difference in substrate spacing therebetween.
  • FIG. 1B is a perspective view of system 100 with access panels 120 A, 120 B and facilities tower 130 removed for clarity.
  • batch processing station 101 A includes a reactor 121 A, containing an internal process volume 127 , a buffer chamber 122 A positioned adjacent reactor 121 A, and a staging platform 123 A adapted to support a staging cassette (not shown) proximate batch processing chamber 121 A.
  • batch processing station 101 B includes a reactor 121 B, a buffer chamber 122 B, and a staging platform 123 B adapted to support a staging cassette (not shown) proximate batch processing chamber 121 B.
  • FIG. 1C is a schematic vertical cross-sectional view of system 100 illustrating FI 102 , reactors 121 A, 121 B, buffer chambers 122 A, 122 B, and staging platforms 123 A, 123 B.
  • buffer chambers 122 A, 122 B are not only adjacent to, but also vertically aligned with reactors 121 A, 121 B, respectively, minimizing the footprint of batch system 100 .
  • buffer chambers 122 A, 122 B are positioned directly below reactors 121 A, 121 B, respectively.
  • Buffer chambers 122 A, 122 B are adapted to act as vacuum load locks for the loading and unloading of a processing cassette 146 into and out of reactors 121 A, 121 B, respectively.
  • Buffer chambers 122 A, 122 B are fluidly coupled to a vacuum source.
  • the vacuum source may be a remote vacuum source or a vacuum pump 171 contained inside system 100 . It is important to minimize the time required for pumping down and venting buffer chambers 122 A, 122 B, because reactors 121 A, 121 B are idle during buffer chamber pumping and venting.
  • buffer chambers 122 A, 122 B are further adapted to contain the minimum volume necessary to contain the processing cassette in order to speed the pumping and venting process.
  • buffer chambers 122 A, 122 B are preferably configured as cylindrical chambers with a minimal vertical clearance above and below the processing cassette and with a minimal radial clearance around the processing cassette and substrates therein, as depicted in FIG. 1B .
  • Buffer chambers 122 A, 122 B both further include a lift mechanism 600 , transfer openings 36 , 37 , and vacuum-tight doors 156 , 157 .
  • Lift mechanism 600 may be pneumatic actuator, a stepper motor, or other vertical actuators known in the art.
  • processing cassette 146 is loaded with substrates W from staging cassette 186 via transfer robot assembly 103 while a buffer chamber (in this example, buffer chamber 122 A) is vented to atmosphere and transfer opening 36 is open to transfer region 135 .
  • a buffer chamber in this example, buffer chamber 122 A
  • transfer opening 36 is open to transfer region 135 .
  • FIG. 1C only one robot arm 162 , which is configured with five blades 161 is illustrated in FIG. 1C .
  • the substrate loading/unloading process is described below in conjunction with FIGS. 1F-1I .
  • Vacuum-tight door 156 is closed and buffer chamber 122 A is pumped down to the same level of vacuum present in process volume 127 , generally between about 0.5 and 20 Torr.
  • Vacuum-tight door 157 is then opened and lift mechanism 600 transfers processing cassette 146 into process volume 127 for ALD or CVD processing of substrates W.
  • it is desirable to pressure cycle substrates W in buffer chamber 122 A i.e., buffer chamber 122 A is alternately pumped down to process pressure and vented with a very dry gas to remove residual moisture adsorbed onto the surfaces of substrates W and processing cassette 146 .
  • lift mechanism 600 lowers back to buffer chamber 122 A and vacuum-tight door 157 closes during processing in process volume 127 .
  • lift mechanism 600 transfers processing cassette 146 back to buffer chamber 122 A and vacuum-tight door 157 closes, isolating process volume 127 from buffer chamber 122 A. Buffer chamber 122 A is then vented to atmospheric pressure and substrates W are transferred to staging cassette 186 for cooling and subsequent removal from system 100 .
  • Isolating process volume 127 from buffer chamber 122 A with vacuum-tight door 157 while transferring substrates W to staging cassette 186 allows process volume 127 to remain as close as possible to process temperature and pressure between batches of substrates. This is beneficial to process repeatability and throughput since little time is required for process conditions in process volume 127 to stabilize to desired conditions.
  • Process volume 127 for batch processing chambers may be relatively large to accommodate a typical processing cassette 146 , for example, on the order of 1 m in height. Because of this, stabilization of the pressure and temperature in process volume 127 can be time-consuming after being vented to atmospheric pressure. Hence, chamber idle time—in this case stabilization time—is reduced significantly by isolating process volume 127 during substrate transfers between processing cassette 146 and staging cassette 186 . In addition, fewer contaminants are able to enter process volume 127 as a result of transferring processing cassette 146 between buffer chamber 122 A and reactor 121 A.
  • lift mechanism 600 may also be adapted to assist in servicing the reactor. Referring to FIG. 1B , lift mechanism 600 may be used to lower difficult-to-access components of reactor 121 A into buffer chamber 122 A for easy removal from access panel 120 A. Improved serviceability reduces system downtime during maintenance procedures, improving COO.
  • Reactors 121 A, 121 B are adapted to perform a CVD and/or an ALD process on substrates W supported on a processing cassette 146 and contained therein.
  • a more detailed description of an ALD or CVD reactor that may be contained in some configurations of the invention may be found in commonly assigned U.S. patent application Ser. No. 11/286,063, filed on Nov. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
  • Reactors 121 A, 121 B are fluidly coupled to a process fluid delivery system that is adapted to provide the necessary appropriate reactive precursor and other process fluids.
  • the process fluid delivery system is contained in a facilities tower 130 and coupled to reactors 121 A, 121 B via an overhead rack 140 , illustrated in FIG. 1A .
  • Facilities tower 130 is described below in conjunction with FIG. 1N .
  • Electrical and other facilities, such as system controller 111 may also be located in facilities tower 130 .
  • the fluid delivery system may be positioned remotely in another area of the fabrication plant and may be fluidly coupled to reactors 121 A, 121 B via underfloor connections (not shown).
  • staging platforms 123 A, 123 B are positioned in FI 102 and are each adapted to support a staging cassette 186 proximate reactors 121 A, 121 B, respectively.
  • substrates are supported in a sealable substrate transport pod, hereinafter referred to as a front-opening uniform pod (FOUP), at a lower density than during batch processing in an ALD or CVD chamber, i.e., there is a 10 mm substrate-to-substrate spacing in a FOUP vs. a 6 mm to 8 mm spacing in a processing cassette 146 .
  • FOUP front-opening uniform pod
  • a staging cassette 186 supported proximate a batch processing chamber may be adapted to support substrates at the identical substrate density at which substrates are supported in a processing cassette 146 , providing substantial throughput and cost benefits.
  • a simple single blade robot arm such as that described below in conjunction with FIG. 1G , may be used to transfer substrates between staging cassettes 123 A, 123 B and load stations 104 A-C. Although transferring substrates therebetween with a multiple blade robot arm is faster that with a single blade robot arm, there is generally no throughput gain over single blade transfer of substrates.
  • staging cassettes 123 A, 123 B and load stations 104 A-C may take place “off-line”, i.e., while reactors 121 A, 121 B are processing substrates. Transfer times that directly affect system throughput are those between staging platforms 123 A, 123 B and buffer chambers 122 A, 122 B, as described above in conjunction with FIGS. 1A-C .
  • staging cassette 186 may be adapted to support substrates at the identical substrate density at which substrates are supported in processing cassette 146 , substrate transfers may be conducted therebetween with a multiple blade, fixed pitch robot, such as that described below in conjunction with FIG. 1I .
  • Multiple blade robots greatly reduce substrate transfer time since multiple substrates may be transferred at one time. System throughput may be improved significantly thereby, since shorter transfer times reduce reactor idle time.
  • Staging cassette 186 and processing cassette 146 may be adapted to support a relatively large number of substrates, i.e., more than are typically contained in a standard FOUP. Because some processes, e.g., ALD processes, are so time consuming, it is beneficial for COO for as many substrates as practicable to be processed in a single batch. Hence, staging cassette 186 and processing cassette 146 are preferably adapted to support a batch of between about 50 and about 100 substrates. Larger batches are also possible, but the manipulation of cassettes so large in a reliable and safe manner becomes increasingly problematic. Processing cassette 146 may be constructed of any suitable high temperature material such as, for instance, quartz, silicon carbide, or graphite, depending upon desired process characteristics
  • Staging platforms 123 A, 123 B may also serve as cooling platforms on which substrates may cool after unloading from reactors 121 A, 121 B. Typically, substrates unloaded from ALD and CVD chambers are too hot (i.e., >100° C.) to be loaded directly into a standard FOUP. Staging platforms 123 A, 123 B may also be adapted with a conventional robot vertical motion assembly 187 , as shown in FIG. 1C . To minimize the complexity of system 100 , it is preferred that staging platforms 123 A, 123 B are stationary components and the vertical motion required for substrate hand-offs is carried out by transfer robot assembly 103 .
  • a staging cassette 186 that is supported on staging platforms 123 A, 123 B may contain more substrate support shelves 185 than processing cassette 146 disposed in buffer chambers 122 A, 122 B. This allows substrates to be swapped between staging cassette 186 and processing cassette 146 without the use of a third substrate staging location and without the use of an additional transfer robot assembly, such as second transfer robot 86 B (described below in conjunction with FIG. 1H ).
  • second transfer robot 86 B described below in conjunction with FIG. 1H .
  • processing cassette 146 has nine substrate support shelves 185 and staging cassette 186 has nine support shelves 185 plus one or more additional shelves 185 A.
  • transfer robot assembly 103 may remove a processed substrate W from processing cassette 146 and place it in the unused additional shelf 185 A.
  • An unprocessed substrate is then removed from staging cassette 186 by transfer robot assembly 103 to the now empty support shelf 185 in processing cassette 146 , leaving one of support shelves 185 open in staging cassette 186 .
  • the above process may then be repeated until all substrates originally in processing cassette 146 have been swapped with the substrates originally in staging cassette 186 .
  • transfer robot assembly 103 includes a multi-blade robot arm (described below in conjunction with FIG. 1I ) for transferring substrates between staging cassette 186 and processing cassette 146
  • the number of additional shelves 185 A is equal to the number of blades on the multi-blade robot arm of transfer robot assembly 103 . This allows the same substrate swap procedure described above, but with multiple substrates being swapped at one time.
  • staging cassette 186 may contain multiple additional shelves 185 A for supporting dummy substrates, i.e., non-production substrates, during batch processing. Due to thermal non-uniformity and other factors, substrates near the top and bottom of a processing cassette are often not processed uniformly compared to the majority of substrates in the processing cassette. The placement of one or more dummy substrates in the top and bottom substrate support shelves of a processing cassette may ameliorate this problem. The non-production dummy substrates are placed in the top 1 to 5 substrate support shelves 185 and the bottom 1 to 5 support shelves 185 of processing cassette 146 .
  • Dummy substrates may be used for multiple batch processes, e.g., about 5 or 10 times, before being replaced, and therefore do not need to be removed from system 100 after each batch process is performed.
  • aspects of the invention contemplate the storage of dummy substrates on additional shelves 185 A contained in staging cassette 186 .
  • dummy substrates are stored in transfer region 135 in proximity to the batch processing stations 101 A, 101 B, whenever batch processes are not being performed therein.
  • storage of dummy substrates on additional shelves 185 A reduces the number of FOUP's that need to be stored in the stocker 150 (shown in FIG. 1B and described below in conjunction with FIGS. 1P and 1Q ).
  • staging platforms 123 A, 123 B are each adapted to serve as a two-cassette rotary table for rotatably swapping a first processing cassette of unprocessed substrates with a second processing cassette processed substrates.
  • FIG. 1D illustrates a schematic plan view of system 100 configured with two batch processing stations 101 A, 101 B, each served by a two-cassette rotary table 129 A, 129 B, respectively.
  • staging cassette 186 acts as the second processing cassette.
  • staging cassette 186 is being loaded with substrates from load stations 104 A-C.
  • processing cassette 146 is lowered onto rotary table 129 A by a lift mechanism (not shown for clarity).
  • Rotary table 129 A then rotates 180°, swapping the locations of processing cassette 146 and staging cassette 186 .
  • the processed substrates cool in transfer region 135 and are then transferred to one or more FOUP's positioned on load stations 104 A-C.
  • the lift mechanism transfers staging cassette 186 into reactor 121 A for processing. Hence, no significant length of time is required to transfer substrates from transfer region 135 to reactor 121 A.
  • the staging and processing cassettes are simply swapped by rotary table 129 A.
  • the batch processing stations 101 A, 101 B each include a buffer chamber for isolating reactors 121 A, 121 B as described above in conjunction with FIG. 1D .
  • FIG. 1E is a cross-sectional side view of a batch processing station 101 A which includes a reactor 121 A containing a processing cassette 146 A and a buffer chamber 128 containing a two-cassette rotary table 129 A and a second processing cassette 146 B.
  • a lift mechanism 600 A in this case a vertical indexer robot, transfers cassettes between rotary table 129 A and reactor 121 A.
  • buffer chamber 128 is vented to atmospheric pressure and a vacuum-tight door 156 opens to provide access to second processing cassette 146 B from transfer robot assembly 103 .
  • second processing cassette 146 B is loaded with substrates
  • vacuum-tight door 156 is closed and buffer chamber 128 is vented or pressure cycled in preparation for swapping second processing cassette 146 B with processing cassette 146 A.
  • This configuration allows the speedy reloading of reactor 121 A with a processing cassette, minimizing reactor downtime. All pump-down and venting of buffer chamber 128 take place while substrates are being processed in reactor 121 A.
  • the factory interface (FI) 102 contains a transfer robot assembly 103 , a transfer region 135 , an environmental control assembly 110 and one or more load stations 104 A-C (shown in FIG. 1A ).
  • FI 102 maintains transfer region 135 as a clean mini-environment, i.e., a localized, atmospheric pressure, low-contaminant environment, via a fan-powered air filtration unit.
  • FI 102 is intended to provide a clean environment, i.e., transfer region 135 , in which a substrate may be transferred between a FOUP positioned on any of load stations 104 A-C and reactors 121 A, 121 B.
  • Recently processed substrates are also able to cool after processing in the low-contamination environment of transfer region 135 prior to being transferred out of system 100 and into a FOUP.
  • FIG. 1C is a schematic vertical cross-sectional view of system 100 illustrating FI 102 , reactors 121 A, 121 B, buffer chambers 122 A, 122 B, and staging platforms 123 A, 123 B. For clarity, load stations 104 A-C are not shown.
  • environmental control assembly 110 contains a filtration unit 190 that may contain a filter 191 , such as a HEPA filter, and a fan unit 192 .
  • the fan unit 192 is adapted to push air through the filter 191 , through transfer region 135 , and out the base 193 A of the FI 102 .
  • FI 102 includes walls 193 to enclose transfer region 135 to better provide a controlled environment to perform the substrate processing steps.
  • the environmental control assembly 110 is adapted to control the air flow rate, flow regime (e.g., laminar or turbulent flow) and particulate contamination levels in the transfer region 135 .
  • the environmental control assembly 110 may also control the air temperature, relative humidity, the amount of static charge in the air and other typical processing parameters that can be controlled by use of conventional clean room compatible heating, ventilation, and air conditioning (HVAC) systems known in the art.
  • HVAC heating, ventilation, and air conditioning
  • Load stations 104 A-C are adapted to support, open, and close a FOUP or other sealable substrate transport pod placed thereon. Hence, load stations 104 A-C fluidly couple substrates contained in a load station-supported FOUP to transfer region 135 without exposing the substrates to contaminants that may be present outside the FOUP and/or transfer region 135 . This allows substrates to be removed, replaced, and resealed in a FOUP in a clean and fully automated manner.
  • FIG. 1F illustrates one configuration of a robot assembly 11 that may be used as transfer robot assembly 103 in FI 102 .
  • the robot assembly 11 generally contains a robot hardware assembly 85 , a vertical robot assembly 95 and a horizontal robot assembly 90 .
  • a substrate can thus be positioned in any desired x, y and z position in the transfer region 135 by the cooperative motion of the robot hardware assemblies 85 , vertical robot assembly 95 and horizontal robot assembly 90 , from commands sent by the system controller 111 .
  • the robot hardware assembly 85 generally contains one or more transfer robots 86 that are adapted to retain, transfer and position one or more substrates by use of commands sent from the system controller 111 .
  • two transfer robots 86 are included in robot hardware assembly 85 .
  • the transfer robots 86 are adapted to transfer substrates in a horizontal plane, such as a plane that includes the X and Y directions illustrated in FIGS. 1A and 1F , due to the motion of the various transfer robot 86 components.
  • the transfer robots 86 are adapted to transfer a substrate in a plane that is generally parallel to the substrate supporting surface 87 C (see FIG. 1M ) of robot blade 87 .
  • the operation of one configuration of transfer robots 86 is described below in conjunction with FIG. 1M .
  • FIG. 1G illustrates a configuration of robot hardware assembly 85 containing a transfer robot 86 that may be adapted to transfer a single substrate W at a time.
  • a single substrate transfer capability for transfer robot assembly 103 is beneficial to system 100 because it allows the transfer of substrates between a FOUP disposed on one of load stations 104 A-C and staging platforms 123 A, 123 B despite the difference in substrate density generally present between a standard FOUP and staging platforms 123 A, 123 B.
  • Multiple blade transfer of substrates therebetween necessitates a variable pitch robot blade, i.e., a multiple blade robot arm with the capability to vary the distance, or pitch, between substrates.
  • Variable pitch robot blades while known in the art, are relatively complex, which may impact overall system downtime and therefore COO.
  • FIG. 1H illustrates one configuration of robot hardware assembly 85 that contains two transfer robots 86 A, 86 B that are positioned in an opposing orientation to each other, i.e., vertically mirrored, so that the blades 87 A-B (and first linkages 310 A- 310 B) can be placed a small distance apart.
  • the configuration shown in FIG. 1H i.e., an “over/under” type blade configuration, may be advantageous, for example, where it is desired to “swap” substrates, i.e., to remove a substrate from a location and immediately replace it with another substrate with minimal robot motions.
  • 1H allows unprocessed substrates disposed on staging platforms 123 A, 123 B to be swapped with processed substrates disposed in buffer chambers 122 A, 122 B respectively. Hence, no additional staging/cooling location for substrates is required to enable this substrate swap when the over/under blade configuration, or variations thereof, is used. This significantly reduces the footprint of system 100 while minimizing the time reactors 121 A, 121 B are idle while processing cassette 146 is being emptied and refilled with substrates.
  • robot hardware assembly 85 may further include at least one multiple blade, fixed-pitch robot arm, enabling swapping of multiple substrates between staging platforms 123 A, 123 B and buffer chambers 122 A, 122 B as described above.
  • transfer robot 86 A includes a five blade robot arm 87 H, as illustrated in FIG. 1I .
  • transfer robot 86 A and second transfer robot 86 B both include a multiple blade robot arm, enabling swapping of multiple substrates between staging platforms 123 A, 123 B and buffer chambers 122 A, 122 B, respectively, as described above in conjunction with FIG. 1H .
  • FIG. 1J illustrates a preferred configuration of robot hardware assembly 85 of robot assembly 11 , which includes a single blade transfer robot 86 C and a multiple blade transfer robot 86 D.
  • Single blade transfer robot 86 C may transfer substrates W between load stations 104 A-C and staging cassette 186 .
  • Multiple blade transfer robot 86 D may transfer substrates W between staging cassette 186 and processing cassette 146 .
  • system 100 allows the transfer of substrates between staging platforms 123 A, 123 B and buffer chambers 122 A, 122 B, respectively, without the need for horizontal translation of vertical robot assembly 95 by horizontal robot assembly 90 , which substantially reduces transfer times.
  • This configuration significantly increases system throughput by minimizing processing chamber idle time. Because reactors 121 A, 121 B are idle whenever their respective processing cassette 146 is being unloaded, the substrate transfer should be carried out as quickly as possible. Eliminating the need for horizontal translation of vertical robot assembly 95 during substrate transfer accomplishes this goal.
  • FIGS. 1F-1J An additional advantage of the use of a cartesian robot, as illustrated in FIGS. 1F-1J , is that a smaller system footprint is required for substrate transfers to be carried out within transfer region 135 compared to conventional substrate transfer robots, such as a selective compliance assembly robot arm (SCARA). This is illustrated by FIGS. 1K and 1L .
  • the width W 1 , W 2 of a clearance region 90 A that surrounds a transfer robot assembly 103 is minimized.
  • Clearance region 90 A is defined as a region adjacent a substrate transferring robot, such as transfer robot assembly 103 , wherein the substrate transferring robot's components and a substrate S are free to move without colliding with other cluster tool components external to the substrate transferring robot.
  • clearance region 90 A may be described as a volume, often the most important aspect of the clearance region 90 A is the horizontal area (x and y-directions), or footprint, occupied by the clearance region 90 A, which directly affects a cluster tool's footprint and COO.
  • the footprint of clearance region 90 A is illustrated in FIGS. 1K , 1 L as the regions defined by the length L and width W 1 , W 2 , respectively.
  • a smaller clearance region allows closer positioning between transfer robot assembly 103 and locations that are accessed thereby, such as buffer chambers 122 A, 122 B and staging platforms 123 A, 123 B, reducing substrate transfer times and increasing throughput.
  • transfer robot assembly 103 has particular advantage over a SCARA robot CR illustrated in FIG. 1L . This is due to the way in which the transfer robot 86 , as illustrated in FIG. 1K , may retract its components to be oriented along the major length L of clearance region 90 A. A SCARA robot CR, as illustrated in FIG. 1L , cannot.
  • FIGS. 1G , 1 H, 1 I and 1 M illustrate one configuration of a two bar linkage robot 305 that, when used as transfer robot 86 , may retract as shown in FIG. 1K .
  • Two bar linkage robot 305 generally contains a support plate 321 , a first linkage 310 , a robot blade 87 , a transmission system 312 , an enclosure 313 and a motor 320 .
  • the two bar linkage robot 305 which is serving as transfer robot 86 , is attached to the vertical motion assembly 95 through the support plate 321 which is attached to the vertical motion assembly 95 (shown in FIG. 1F ).
  • FIG. 1F illustrates one configuration of a two bar linkage robot 305 that, when used as transfer robot 86 , may retract as shown in FIG. 1K .
  • Two bar linkage robot 305 generally contains a support plate 321 , a first linkage 310 , a robot blade 87 , a transmission system 312 , an enclosure 313 and a motor
  • the transmission system 312 in the two bar linkage robot 305 generally contains one or more power transmitting elements that are adapted to cause the movement of the robot blade 87 by motion of the power transmitting elements, such as by the rotation of motor 320 .
  • the transmission system 312 may contain gears, pulleys, etc. that are adapted to transfer rotational or translation motion from one element to another.
  • the transmission system 312 as shown in FIG. 1M , contains a first pulley system 355 and a second pulley system 361 .
  • the first pulley system 355 has a first pulley 358 that is attached to the motor 320 , a second pulley 356 attached to the first linkage 310 , and a belt 359 that connects the first pulley 358 to the second pulley 356 , so that the motor 320 can drive the first linkage 310 .
  • a plurality of bearings 356 A are adapted to allow the second pulley 356 to rotate about the axis V 1 of the third pulley 354 .
  • the second pulley system 361 has a third pulley 354 that is attached to support plate 321 , a fourth pulley 352 that is attached to the blade 87 and a belt 362 that connects the third pulley 354 to the fourth pulley 352 so that the rotation of the first linkage 310 causes the blade 87 to rotate about the bearing axis 353 (pivot V 2 ) coupled to the first linkage 310 .
  • the motor drives the first pulley 358 which causes the second pulley 356 and first linkage 310 to rotate, which causes the fourth pulley 352 to rotate due to the angular rotation of the first linkage 310 and belt 362 about the stationary third pulley 354 .
  • the motor 320 and system controller 111 are adapted to form a closed-loop control system that allows the angular position of the motor 320 and all the components attached thereto to be controlled.
  • the motor 320 is a stepper motor or DC servomotor.
  • the term process fluid is generally meant to include a gas, vapor, or a liquid.
  • the first treatment method is a sublimation process in which the precursor, which is in solid form in an ampoule, is vaporized using a controlled process, allowing the precursor to change state from a solid to a gas or vapor in the ampoule.
  • the precursor-containing gas or vapor is then delivered to the process volume of a processing chamber.
  • the second method used to generate a precursor-containing process gas is by an evaporation process, in which a carrier gas is bubbled through a temperature controlled liquid precursor, and thus is carried away with the flowing carrier gas.
  • a third process used to generate a precursor is a liquid delivery system in which a liquid precursor is delivered to a vaporizer by use of a pump, in which the liquid precursor changes state from a liquid to a gas by the addition of energy transferred from the vaporizer. The added energy is typically in the form of heat added to the liquid.
  • FIG. 1N illustrates a schematic diagram of one configuration of a precursor delivery system 501 that is used to deliver a process fluid to the process volume of a processing chamber, such as reactor 121 A.
  • precursor delivery system 501 is a liquid delivery type process fluid source.
  • the components of precursor delivery system 501 may be contained proximate each other in a facilities tower 130 , which is illustrated in FIG. 1A .
  • Precursor delivery system 501 is fluidly coupled to reactor 121 A via inlet line 505 , which may be contained in an overhead rack 140 .
  • the routing of inlet line 505 to reactor 121 A through overhead rack 140 enables positioning of precursor delivery system 501 proximate reactors 121 A without impeding service access to batch processing stations 101 A, 101 B.
  • precursor delivery system 501 is located significantly further from reactor 121 A, for example in a different room or even a different floor.
  • precursor delivery system 501 in this configuration, generally includes the following components: an ampoule gas source 512 , an ampoule 139 containing a precursor “A”, a metering pump 525 , a vaporizer 530 , an isolation valve 535 , a collection vessel assembly 540 and a final valve 503 .
  • the collection vessel assembly 540 generally includes the following components: an inlet 546 , an outlet 548 , a vessel 543 , a resistive heating element 541 surrounding the vessel 543 , a heater controller 542 and a sensor 544 .
  • the heater controller 542 is part of the system controller 111 .
  • Precursor delivery system 501 is adapted to deliver a process gas to the process volume 127 of reactor 121 A from the ampoule 139 containing a liquid precursor.
  • the liquid precursor is vaporized by use of a metering pump 525 which pumps the precursor into the vaporizer 530 , which adds energy to the liquid, causing it to change state from a liquid to a gas.
  • Metering pump 525 is adapted to control and deliver the liquid precursor at a desired flow rate set point throughout the process recipe step, by use of commands from the system controller 111 .
  • the vaporized precursor is then delivered to the collection vessel assembly 540 where it is stored until it is injected into the process volume 127 and across the surface of the substrates W.
  • the inlet line 505 is heated to assure that an injected precursor does not condense and remain on the surface of inlet line 505 , which can generate particles and affect the chamber process. It is also common to control the temperature of the inlet line 505 and other components of precursor delivery system 501 below the precursor decomposition temperature to prevent gas phase decomposition and/or surface decomposition of the precursor thereon. Hence, reliable temperature control of numerous components of precursor delivery system 501 , including inlet line 505 , is important to CVD and particularly ALD processes. The temperature control should reliably maintain the necessary components of precursor delivery system 501 within a well-defined temperature window to avoid serious process problems.
  • inlet line 505 may be minimized by positioning precursor delivery system 501 as close as possible to the reactors serviced thereby.
  • precursor delivery system 501 may be located in facilities tower 130 , which is proximate reactors 121 A, 121 B. To that end, facilities tower 130 is positioned as close as possible to reactors 121 A, 121 B while still maintaining a service distance 137 that is adequate to accommodate service of facilities tower 130 and other components of system 100 , such as batch processing station 101 B and transfer robot assembly 103 via access door 135 A.
  • Service distance 137 may be a SEMI (Semiconductor Equipment and Materials International) compliant service distance, usually on the order of 36 inches.
  • precursor delivery system 501 may be positioned in cabinets 146 A, 146 B proximate batch processing stations 101 A, 101 B, respectively, as shown in FIG. 1B .
  • precursor delivery system 501 may be positioned on top of system 100 in cabinets 145 , as illustrated in FIG. 1O .
  • a batch processing system typically processes substrates from multiple FOUP's simultaneously.
  • a standard FOUP contains up to 25 substrates whereas a batch of substrates processed by system 100 may be as large as 50 or 100 substrates.
  • system 100 may include two or more batch processing stations, as many as 100 to 200 substrates may be undergoing processing at any one time in system 100 , the equivalent of up to 12 or more FOUP's.
  • FI 102 typically only includes two or three load stations 104 A-C, as illustrated in FIG. 1A .
  • system 100 may be configured with a FOUP stocker 150 (shown in FIG. 1B ) positioned proximate load stations 104 A-C.
  • the FOUP stocker may include one or more storage shelves and FOUP transfer mechanisms that may include a shelf capable of raising or lowering a FOUP between the FOUP storage locations and load stations 104 A-C of system 100 .
  • the storage shelves are themselves adapted to raise and lower a FOUP therebetween.
  • a FOUP handler or other FOUP transfer device may be adapted to transfer a FOUP between the FOUP storage locations and load stations 104 A-C.
  • the FOUP stocker may be positioned in front of or beside the fabrication tool, but to avoid increasing the footprint of system 100 , the FOUP stocker is preferably positioned over load stations 104 A-C.
  • FIG. 1P is a side view of a stocker apparatus, stocker 150 , adapted for the management of sealed substrate transport pods, such as FOUP's, during the processing by a batch processing platform, such as system 100 .
  • the stocker 150 includes first and second vertical transfer mechanisms, i.e., a first robot 713 and a second robot 715 , respectively.
  • the first robot 713 includes a first y-axis component 717 and a first x-axis component 719 movably coupled to the first y-axis component 717 such that the first x-axis component 719 may travel along the length of the first y-axis component 717 .
  • the second robot 715 includes a second y-axis component 721 and a second x-axis component 723 movably coupled to the second y-axis component 721 such that the second x-axis component 723 may travel along the length of the second y-axis component 721 .
  • Operatively coupled between the first robot 713 and the second robot 715 are one or more storage locations 725 a, 725 b.
  • the first robot 713 is configured such that when the first x-axis component 719 is at the lower portion of the first y-axis component 717 it may access the one or more load stations 104 A-B and position a FOUP thereon.
  • the first robot 713 is further configured such that when the first x-axis component 719 is at the upper portion of the first y-axis component 717 it may access an overhead wafer carrier transport system such as a monorail, referenced generally by the numeral 729 a.
  • the second robot 715 is configured such that when the second x-axis component 723 is at the lower portion of the second y-axis component 721 it may also access the one or more load stations 104 A-B and position a FOUP thereon.
  • Both the first x-axis component 719 and the second x-axis component 23 are configured so as to reach any of the storage locations 725 a , 725 b .
  • first robot 713 is adapted with a plurality of first y-axis components 717 in lieu of storage locations 725 a , 725 b .
  • second robot 715 is similarly configured.
  • FIG. 1Q is a front elevation view of the stocker 150 of FIG. 1P which shows a preferred arrangement of four storage locations 725 a , 725 b , 725 c , and 725 d , above load stations 104 A, 104 B.
  • FOUP's 751 , 753 , 755 , and 757 are in storage on the storage locations 725 a , 725 b , 725 c and 725 d , respectively.
  • the FOUP capacity of the stocker 150 may be increased with additional storage locations added above and/or adjacent storage locations 725 a , 725 b , 725 c and 725 d .
  • Additional storage locations positioned adjacent storage locations 725 a , 725 b , 725 c and 725 d may require one or more additional robots similar to first robot 713 and second robot 715 , each configured with an x-axis component and a y-axis component.
  • the multiple arm robot platform includes two batch processing chambers configured with a service aisle disposed therebetween to provide necessary service access to the transfer robot and the deposition stations.
  • Required service areas are generally included as part of the footprint in the COO calculation for a substrate processing system, often making up a substantial fraction of the overall footprint of the system. Further, if required access areas are not only reduced but are eliminated on both sides of a processing system, one processing system may be situated abutting other systems, maximizing efficient use of floor space. Therefore, incorporation of all required service areas into other regions of a substrate processing system in a manner that eliminates the need for side access can substantially reduce the effective footprint thereof.
  • FIG. 2A is a schematic plan view of one aspect of the invention, a batch processing platform, hereinafter referred to as system 200 , wherein no side access is required in order to service all components thereof.
  • FIG. 2B is a schematic side view of system 200 .
  • FIG. 2C is a perspective view thereof.
  • System 200 generally includes two or more batch processing stations 201 A, 201 B, a system controller 111 , a factory interface (FI) 102 , containing a transfer robot 220 and one or more load stations 104 A, 104 B, and a process fluid delivery system.
  • the fluid delivery system may be contained in facilities towers 130 A, 130 B and is organized substantially the same as the process fluid delivery system for system 100 , described above in conjunction with FIG. 1N .
  • a FOUP stocker (not shown) may be positioned over load stations 104 A, 104 B to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • the batch processing stations 201 A, 201 B are disposed adjacent FI 102 and are separated from each other by a common access space 250 , which is adapted to provide service access to batch processing stations 201 A, 201 B and to transfer robot 220 .
  • the presence of common access space 250 obviates the need for side access areas along sides 251 , 252 of system 200 , allowing system 200 to be positioned directly in contact with a wall or other processing system along sides 251 , 252 .
  • batch processing station 201 A includes a reactor 221 A, a buffer chamber 222 A positioned adjacent reactor 221 A, and a staging platform 223 A positioned in FI 102 and adapted to support a staging cassette (not shown) proximate reactor 221 A.
  • batch processing station 201 B includes a reactor 221 B, a buffer chamber 222 B, and a staging platform 223 B positioned in FI 102 and adapted to support a staging cassette (not shown) proximate reactor 221 B.
  • Batch processing stations 201 A, 201 B, FI 102 , and overhead tack 210 are generally organized the same as their counterparts in system 100 , batch processing stations 101 A, 101 B described above in conjunction with FIG. 1A .
  • system 200 there is preferably one load station positioned opposite each batch processing station. In the configuration illustrated in FIG. 2A for example, load stations 104 A, 104 B are positioned opposite batch processing stations 201 A, 201 B, respectively.
  • transfer robot 220 is preferably not a cartesian robot, unlike transfer robot assembly 103 .
  • Transfer robot 220 may be a conventional SCARA robot mounted on a track 220 T. Transfer robot 220 is adapted to travel along track 220 T to serve all batch processing stations 201 A, 201 B of system 200 . Because less service access is required for this configuration of robot, it may be serviced adequately from common access space 250 or from front skin 253 .
  • transfer robot 220 is substantially the same as transfer robot assembly 103 , including the use of a single blade robot arm for transferring substrates from the a low density FOUP to a higher density staging cassette and the use of a multiple blade robot arm for transferring multiple substrates from a staging FOUP to an equal density processing cassette.
  • a stationary transfer robot i.e., not track-mounted, is disposed between each batch processing station 201 A, 201 B and load station 104 A, 104 B, respectively.
  • each transfer robot serves a single batch processing station. If batch processing stations 201 A, 201 B are each adapted to perform a different process on groups of substrates sequentially, stocker 150 enables the transfer of substrates between batch processing stations 201 A, 201 B by moving FOUP's between load stations 104 A, 104 B as required.
  • System 200 may include a dedicated facilities tower 130 A, 130 B for each batch processing station 201 A, 201 B, as illustrated in FIGS. 2A and 2D , each containing a precursor delivery system 501 .
  • the use of facilities towers 130 A, 130 B creates an access opening 130 C between facilities towers 130 A and 130 B.
  • facilities towers 130 A, 130 B may be combined into a single facilities tower containing a precursor delivery system 501 for each batch processing station 201 A, 201 B.
  • a cassette handler transfers the processing cassette between a processing chamber and a cooling station to minimize chamber idle time.
  • a single arm robot transfers individual substrates between a substrate transport pod and a processing cassette.
  • the cassette handler is a linear translator adapted to transfer a processing cassette between one or more processing chambers and a cooling station.
  • the cassette handler is a rotary table adapted to swap a cassette of unprocessed substrates with a cassette of processed substrates.
  • FIG. 3A is a schematic plan view of one aspect of the invention, a batch processing platform containing a linear translator, hereinafter referred to as system 300 .
  • the linear translator robot is adapted to transfer processing cassettes between a staging platform, at least one batch processing chamber, and a cassette loading station.
  • FIG. 3B is a schematic side view of system 300 .
  • reactor idle time Contributing factors to reactor idle time include long pump-down and vent times for the reactor, substrate cooling time, and substrate transfer time.
  • the configuration illustrated in FIGS. 3A , 3 B may reduce or eliminate the contribution of each of these factors on system throughput.
  • System 300 includes one or more reactors 1301 , 1302 , a cassette transfer region 1305 , a factory interface (FI) 102 , and a process fluid delivery system.
  • FI 102 contains one or more load stations 104 A-C, a cassette loading station 1303 , an environmental control assembly 110 , and a loading robot 1304 adapted to transfer substrates between the load stations 104 A-C and a processing cassette positioned on cassette loading station 1303 .
  • Cassette transfer region 1305 contains a staging platform 1306 and a linear translator robot 1320 , which is mounted to a horizontal rail 1321 and is adapted to transfer processing cassettes between the staging platform 1306 , the reactors 1301 , 1302 , and the cassette loading station 1303 .
  • the process fluid delivery system may be contained in facilities towers 130 A, 130 B and is organized substantially the same as the process fluid delivery system for system 100 , described above in conjunction with FIG. 1N .
  • a FOUP stocker may be positioned over load stations 104 A-C to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • Components of system 300 that are substantially the same in organization and operation as the corresponding components of system 200 include FI 102 , transfer robot 1304 , reactors 1301 , 1302 , facilities towers 130 A, 130 B, and the process fluid delivery system.
  • a first processing cassette 1330 disposed in FI 102 and positioned on cassette loading station 1303 is loaded with substrates from one or more FOUP's positioned on load stations 104 A-C by transfer robot 1304 .
  • transfer robot 1304 may be a single track-mounted robot similar to transfer robot 220 , described above in conjunction with FIGS. 2A-C .
  • First processing cassette 1330 is then vertically translated to a position adjacent load lock 1309 by a vertical lift mechanism 1303 A, such as a vertical indexer or a motorized lift.
  • Processing cassette 1330 is then loaded into load lock 1309 and is pumped down to a level of vacuum substantially equal to that present in cassette transfer region 1305 and reactors 1301 , 1302 .
  • Processing cassette 1330 may also be pressure cycled prior to entry into cassette transfer region 1305 .
  • vacuum-tight door 1312 opens and processing cassette 1330 is transferred from load lock 309 into cassette transfer region 1305 by linear translator robot 1320 , which is adapted with a cassette lift mechanism.
  • Linear translator robot 1320 is adapted to translate a processing cassette along horizontal path 1322 , to transfer a processing cassette vertically into and out of the one or more reactors 1301 , 1302 along vertical paths 1323 , and to transfer a processing cassette on or off of staging platform 1306 .
  • First processing cassette 1330 is then loaded into an idle reactor, such as reactor 1301 or 1302 by linear translator robot 1320 .
  • first processing cassette 1330 is unloaded from reactor 1301 by linear translator robot 1320 and transferred to staging cassette 1306 for cooling. After the substrates are sufficiently cooled, first processing cassette 1330 is transferred to load lock 1309 by linear translator robot 1320 , vented to atmospheric pressure, lowered into FI 102 by vertical lift mechanism 1303 A, and unloaded by transfer robot 1304 . Alternatively, first processing cassette may undergo atmospheric cooling in load lock 1309 after being vented to atmosphere. In this configuration, free or forced convective cooling may be used.
  • first processing cassette 1330 is positioned in load lock 1309 with unprocessed substrates before processing is completed on second processing cassette 1331 in reactor 1301 .
  • reactor 1301 is idle for a short time, i.e., on the order of about 1 minute.
  • Reactor idle time is no longer than the time necessary for linear translator robot 1320 to transfer second processing cassette 1331 to staging platform 1306 plus the time to transfer first processing cassette 1330 into reactor 1301 .
  • Substrate loading and unloading as well as load lock pumping and venting are carried out “off-line”, i.e., while the reactors are processing substrates.
  • reactors 1301 , 1302 are staged, i.e., substrate processing is started alternately in each, to ensure that reactor loading/unloading is not limited by the availability of linear translator robot 1320 .
  • cassette transfer region 1305 is an atmospheric pressure transfer region, preferably purged with low moisture, inert gas, such as dry nitrogen.
  • a processing cassette is loaded with substrates in FI 102 and transferred directly to reactors 1301 , 1302 without passing through a vacuum load lock.
  • vertical lift mechanism 1303 A and load lock 1309 are not needed.
  • each of reactors 1301 , 1302 of system 300 may be adapted to sequentially perform a different batch process on the same group of substrates.
  • the preferred processing sequence includes processing first processing cassette 1330 in reactor 1301 with the first batch process, transferring first processing cassette 1330 to reactor 1302 with linear translator robot 1320 for processing with a second batch process. First processing cassette 1330 is then transferred to staging platform 1306 for cooling and subsequent removal from systems 300 as described above.
  • FIG. 4A is a schematic plan view of one aspect of the invention, a batch processing platform, hereinafter referred to as system 400 , wherein a rotational cross robot is adapted to rotatably swap two pairs of processing cassettes between two reactors and two vacuum load locks.
  • FIG. 4B is a schematic side view of system 400 .
  • system throughput is substantially improved by performing the most time-consuming elements of substrate transfer while the reactors are processing substrates, such as substrate loading and unloading and load lock pumping and venting.
  • the configuration illustrated in FIGS. 4A and 4B may reduce or eliminate the contribution of these factors on system throughput.
  • System 400 includes two reactors 401 , 402 , two vacuum load locks 403 , 404 , an evacuated cassette transfer region 406 positioned beneath the vacuum load locks 403 , 404 and the reactors 401 , 402 , a factory interface (FI) 102 , and a process fluid delivery system.
  • Load locks 403 , 404 may serve as cool-down stations for cassettes containing processed substrates and may further serve as loading stations for transferring substrates between processing cassettes disposed therein and load stations 104 A-C.
  • FI 102 contains one or more load stations 104 A-C, an environmental control assembly 110 , and a transfer robot 405 adapted to transfer substrates between the load stations 104 A-C and the vacuum load locks 403 , 404 .
  • Transfer robot 405 is substantially the same single track-mounted robot as transfer robot 220 , described above in conjunction with FIGS. 2A-D , but with an extended z-motion capability.
  • System 400 also includes a rotational cross robot 407 is positioned in evacuated cassette transfer region 406 .
  • Rotational cross robot 407 is adapted to position cassettes in and remove cassettes from reactors 401 , 402 and vacuum load locks 403 , 404 by vertical motion along vertical path 407 A.
  • Rotational cross robot 407 is further adapted to rotatably swap two processing cassettes containing processed substrates with two processing cassettes containing unprocessed substrates.
  • Components of system 400 that are substantially the same in organization and operation as the corresponding components of system 200 include FI 102 , transfer robot 405 , reactors 401 , 402 , facilities towers 130 A, 130 B, overhead rack 140 , and the process fluid delivery system.
  • a FOUP stocker may be positioned over load stations 104 A-C to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • processing cassettes located in loadlocks 403 , 404 are loaded with substrates from load stations 104 A-C with transfer robot 405 .
  • Vacuum-tight door 156 closes and loadlocks 403 , 404 are evacuated to the same level of vacuum present in evacuated transfer region 406 .
  • Gate valve 420 opens and the processing cassettes are lowered into evacuated transfer region 406 by rotational cross robot 407 .
  • Rotational cross robot 407 then rotates 180°, positioning the processing cassettes under reactors 401 , 402 .
  • Gate valve 421 opens and rotational cross robot 407 loads the processing cassettes into reactors 401 , 402 , gate valve 421 closes, and ALD or CVD processing may be performed on the substrates contained in the processing cassettes.
  • rotational cross robot 407 After processing in reactors 401 , 402 is complete, rotational cross robot 407 returns the processing cassettes to load locks 403 , 404 by a similar process of lowering, rotating, and lifting. Load locks 403 , 404 are vented to atmospheric pressure and, once sufficiently cooled, are transferred to one or more FOUP's positioned on load stations 104 A-C.
  • two processing cassettes are processed in reactors 401 , 402 at the same time that two processing cassettes in load locks 403 , 404 are being loaded with unprocessed substrates.
  • cassettes containing unprocessed substrates are loaded and pumped down while the reactors are processing two other cassettes.
  • cassettes containing freshly processed substrates are vented to atmosphere, cooled, and unloaded while the reactors are processing other cassettes.
  • reactor idle time is reduced to a few seconds, i.e., the time necessary for the rotational cross robot 407 to lower, rotate and raise the processing cassettes.
  • FIG. 5 is a schematic plan view of one aspect of the invention, a batch processing platform, hereinafter referred to as system 500 , wherein a rotary table with a linear horizontal motion transfers processing cassettes between two staging platforms and two batch processing stations.
  • a processing platform may have a relatively high nominal throughput, i.e., substrates processed per hour, but if it suffers from substantially higher downtime compared to other systems, it may effectively have a long-term throughput, i.e., substrates processed per month, that is much lower than other systems.
  • having fewer robots that perform less complex motions is a beneficial feature of a processing platform.
  • the configuration illustrated in FIG. 5 has this feature.
  • System 500 includes two batch processing stations, 501 A, 501 B, an atmospheric transfer region 502 , two staging platforms 503 A, 503 B, a single transfer robot 504 , a processing fluid delivery system, and a rotary table 505 A adapted to transfer processing cassettes rotationally and with a linear horizontal motion.
  • the atmospheric transfer region 502 is similar in organization and operation to FI 102 , described above in conjunction with FIG. 1C , and contains transfer robot 504 , one or more load stations 104 A-B, and an environmental control assembly (not shown for clarity).
  • Batch processing stations, 501 A, 501 B are similar in organization and operation to batch processing stations 101 A, 101 B, described above in conjunction with FIGS. 1A , 1 B.
  • staging platforms 503 A, 503 B are not positioned adjacent batch processing stations 501 A, 501 B, respectively.
  • processing cassettes are transferred between staging platforms 503 A, 503 B and the buffer chambers contained in batch processing stations 501 A, 501 B.
  • the processing cassettes are loaded horizontally into buffer chamber via a horizontal motion radially by rotary table 505 A.
  • Transfer robot 504 is substantially the same single track-mounted robot as transfer robot 220 , described above in conjunction with FIGS. 2A-D .
  • Transfer robot 504 may be stationary, however, reducing the cost and complexity of transfer robot 504 as well as improving the reliability thereof. Due to the difference in substrate between a typical FOUP and a processing cassette, transfer robot is preferably equipped with only single blade robot arms, which further reduces the complexity and cost of transfer robot 504 .
  • system 500 includes facilities towers 130 A, 130 B, overhead rack 140 , and the process fluid delivery system.
  • a FOUP stocker may be positioned over load stations 104 AB to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • processing cassettes located on staging platforms 503 A, 503 B may be loaded with unprocessed substrates by transfer robot 504 .
  • Staging platforms 503 A, 503 B may further serve as cooling stations for freshly processed substrates.
  • Rotary table 505 A is adapted to remove a processing cassette loaded with unprocessed substrates using a horizontal actuator and a small Z-motion. Rotary table 505 A then rotates as necessary to position the processing cassette of unprocessed substrates adjacent an idle batch processing station. After processing, rotary table 505 A returns cassettes to staging platforms 503 A, 503 B for cooling, unloading, and reloading with unprocessed substrates.
  • substrate cooling and loading/unloading operations are performed while batch processing stations 501 A, 501 B are processing substrates.
  • a first processing cassette is positioned on a staging platform, for example staging platform 503 A, and loaded with substrates while a batch processing station, for example batch processing station 501 A, is processing substrates in a second processing cassette.
  • rotary table 505 A Prior to the completion of processing in batch processing station 501 A, rotary table 505 A removes the first processing cassette from staging platform 503 A.
  • rotary table 505 A removes the second processing cassette from batch processing station 501 A, rotates 180°, and places the first processing cassette into batch processing station 501 A.
  • Rotary table 505 A then positions the second processing cassette on an available staging platform 503 A, 503 B for cooling and subsequent unloading.
  • batch processing station 501 A is only idle for a matter of seconds, i.e. the time necessary for rotary table 505 A to remove a cassette, rotate 180°, and position a second cassette in a batch processing station.
  • the configuration illustrated in FIG. 5 has fewer and/or simpler robots than other configurations of batch processing platform.
  • staging platforms 503 A, 503 B are capable of sufficient vertical motion to accommodate the transfer of substrates and/or processing cassettes thereon. This configuration further simplifies the design of rotary table 505 A, increasing the reliability thereof.

Abstract

A batch processing platform used for ALD or CVD processing is configured for high throughput and minimal footprint. In one embodiment, the processing platform comprises an atmospheric transfer region, at least one batch processing chamber with a buffer chamber and staging platform, and a transfer robot disposed in the transfer region wherein the transfer robot has at least one substrate transfer arm that comprises multiple substrate handling blades. The platform may include two batch processing chambers configured with a service aisle disposed therebetween to provide necessary service access to the transfer robot and the deposition stations. In another embodiment, the processing platform comprises at least one batch processing chamber, a substrate transfer robot that is adapted to transfer substrates between a FOUP and a processing cassette, and a cassette transfer region containing a cassette handler robot. The cassette handler robot may be a linear actuator or a rotary table.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of co-pending U.S. patent application Ser. No. 11/426,563, filed Jun. 26, 2006, which is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to an apparatus for processing substrates. More particularly, the invention relates to a batch processing platform for performing atomic layer deposition (ALD) and chemical vapor deposition (CVD) on substrates.
  • 2. Description of the Related Art
  • The process of forming semiconductor devices is commonly conducted in substrate processing platforms containing multiple chambers. In some instances, the purpose of a multi-chamber processing platform or cluster tool is to perform two or more processes on a substrate sequentially in a controlled environment. In other instances, however, a multiple chamber processing platform may only perform a single processing step on substrates; the additional chambers are intended to maximize the rate at which substrates are processed by the platform. In the latter case, the process performed on substrates is typically a batch process, wherein a relatively large number of substrates, e.g. 25 or 50, are processed in a given chamber simultaneously. Batch processing is especially beneficial for processes that are too time-consuming to be performed on individual substrates in an economically viable manner, such as for ALD processes and some chemical vapor deposition (CVD) processes.
  • The effectiveness of a substrate processing platform, or system, is often quantified by cost of ownership (COO). The COO, while influenced by many factors, is largely affected by the system footprint, i.e., the total floor space required to operate the system in a fabrication plant, and system throughput, i.e., the number of substrates processed per hour. Footprint typically includes access areas adjacent the system that are required for maintenance. Hence, although a substrate processing platform may be relatively small, if it requires access from all sides for operation and maintenance, the system's effective footprint may still be prohibitively large.
  • The semiconductor industry's tolerance for process variability continues to decrease as the size of semiconductor devices shrink. To meet these tighter process requirements, the industry has developed a host of new processes which meet the tighter process window requirements, but these processes often take a longer time to complete. For example, for forming a copper diffusion barrier layer conformally onto the surface of a high aspect ratio, 65 nm or smaller interconnect feature, it may be necessary to use an ALD process. ALD is a variant of CVD that demonstrates superior step coverage compared to CVD. ALD is based upon atomic layer epitaxy (ALE) that was originally employed to fabricate electroluminescent displays. ALD employs chemisorption to deposit a saturated monolayer of reactive precursor molecules on a substrate surface. This is achieved by alternating the pulsing of an appropriate reactive precursors into a deposition chamber. Each injection of a reactive precursor is typically separated by an inert gas purge to provide a new atomic layer to previous deposited layers to form a uniform layer on the substrate. The cycle is repeated to form the layer to a desired thickness. The biggest drawback with ALD techniques is that the deposition rate is much lower than typical CVD techniques by at least an order of magnitude. For example, some ALD processes can require a chamber processing time from about 10 to about 200 minutes to deposit a high quality layer on the surface of the substrate. While forced to choose such processes due to device performance requirements, the cost to fabricate the devices in a conventional single substrate processing chamber will increase due to the low substrate throughput. Hence, a batch processing approach is typically taken when implementing such processes to make them economically viable.
  • Therefore, there is a need for a batch processing platform for ALD and CVD applications wherein throughput is maximized and footprint is minimized.
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention provide a batch processing platform used for ALD or CVD processing of substrates with minimized footprint and high throughput. In one embodiment, the processing platform comprises an atmospheric transfer region, at least one batch processing chamber with a buffer chamber and staging platform, and a transfer robot disposed in the transfer region wherein the transfer robot has at least one substrate transfer arm that comprises multiple substrate handling blades. The transfer robot may be adapted to transfer substrates between a processing cassette and a staging cassette and may further be adapted to be a two bar linkage robot. The platform may include two batch processing chambers configured with a service aisle disposed therebetween to provide necessary service access to the transfer robot and the deposition stations. A fluid delivery system may be in fluid communication with the internal process volume of the at least one batch processing chamber and may be positioned in a facilities tower proximate thereto. A FOUP (Front Opening Uniform Pod) management system may be positioned adjacent the platform.
  • In another embodiment the processing platform comprises at least one batch processing chamber, a substrate transfer robot that is adapted to transfer substrates between a FOUP and a processing cassette, and a cassette transfer region containing a cassette handler robot. The cassette transfer region may be maintained at atmospheric pressure and the cassette handler robot may be a linear actuator with vertical lift capability or a rotary table. Alternatively, the cassette transfer region may be maintained at a pressure below atmospheric pressure and may further comprise one or more load locks adapted to support the processing cassette proximate the substrate transfer robot. In this aspect, the cassette handler robot may be a linear actuator with vertical lift capability or a rotary table with vertical lift capability. In one configuration, the platform comprises two load locks and two batch processing chambers and the rotary table may be adapted to rotatably position a cassette under each load lock and under each deposition chamber and to vertically transfer cassettes between the cassette transfer region and the deposition chambers and between the cassette transfer region and the load locks. A fluid delivery system may be in fluid communication with the internal process volume of the at least one batch processing chamber and may be positioned in a facilities tower proximate thereto. A FOUP management system may be positioned adjacent the platform.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1A is a schematic plan view of a batch processing platform that uses a multiple arm robot for substrate transfers.
  • FIG. 1B is a perspective view of the batch processing system of FIG. 1A.
  • FIG. 1C is a schematic vertical cross-sectional view of a batch processing system illustrating the factory interface, reactors, buffer chambers, and staging platforms.
  • FIG. 1D illustrates a schematic plan view of a batch processing system configured with two batch processing stations, each served by a two-cassette rotary table.
  • FIG. 1E is a cross-sectional side view of a batch processing station.
  • FIG. 1F illustrates one configuration of a robot assembly that may be used in a factory interface.
  • FIG. 1G illustrates a configuration of a robot hardware assembly containing a transfer robot that may be adapted to transfer a single substrate at a time.
  • FIG. 1H illustrates one configuration of a robot hardware assembly that contains two transfer robots that are positioned in an opposing orientation to each other.
  • FIG. 1I illustrates a five blade robot arm.
  • FIG. 1J illustrates a preferred configuration of robot hardware assembly that includes a single blade transfer robot and a multiple blade transfer robot.
  • FIG. 1K illustrates the clearance region of a cartesian robot.
  • FIG. 1L illustrates the clearance region of a conventional robot.
  • FIG. 1M illustrates a cross-sectional side view of one configuration of a two bar linkage robot.
  • FIG. 1N illustrates a schematic diagram of one configuration of a precursor delivery system.
  • FIG. 1O is a perspective view of a batch processing system with a precursor delivery system positioned on top of the system.
  • FIG. 1P is a side view of an exemplary stocker apparatus.
  • FIG. 1Q is a front elevation view of the stocker apparatus of FIG. 1P.
  • FIG. 2A is a schematic plan view of a batch processing platform.
  • FIG. 2B is a schematic side view of a batch processing platform.
  • FIG. 2C is a perspective view of a batch processing system.
  • FIG. 2D is a perspective view of a batch processing system.
  • FIG. 3A is a schematic plan view of a batch processing platform.
  • FIG. 3B is a schematic side view of a batch processing platform.
  • FIG. 4A is a schematic plan view of a batch processing platform.
  • FIG. 4B is a schematic side view of a batch processing platform.
  • FIG. 5 is a schematic plan view of a batch processing platform.
  • DETAILED DESCRIPTION
  • A batch processing platform for ALD and CVD applications is provided, wherein throughput is maximized and footprint is minimized. In one embodiment, throughput is improved by using a multiple arm robot to transfer substrates. In another embodiment, a cassette handler robot is used to transfer entire cassettes to improve throughput.
  • Multiple Arm Robot Platform
  • In this embodiment, a robot with multiple arms transfers substrates between a staging cassette and a processing cassette using an arm configured with multiple blades to reduce transfer times therebetween. Because a processing chamber is idle during substrate transfers, it is beneficial for system throughput to minimize the time required for transferring substrates into and out of a processing cassette. The robot also transfers substrates between a substrate transport pod and the staging cassette using another arm configured with a single blade to accommodate the difference in substrate spacing between the pod and the staging cassette. Configurations include a cartesian robot-based platform as well as a configuration with two batch processing chambers and a common access space therebetween that allows all components of the platform to be accessed for maintenance without side access to the platform.
  • FIG. 1A is a schematic plan view of one aspect of the invention, a batch processing platform using a multiple arm robot for substrate transfers, hereinafter referred to as system 100. System 100 includes one or more batch processing stations 101A, 101B, a system controller 111, a factory interface (FI) 102, containing a transfer robot assembly 103 and one or more load stations 104A-C, and a process fluid delivery system, which may be contained in a facilities tower 130. For illustrative purposes, transfer robot assembly 103 is illustrated in three positions simultaneously, i.e., adjacent load stations 104A-C, adjacent reactor 121A and adjacent reactor 121B. The batch processing stations 101A, 101B are disposed adjacent FI 102 and proximate each other to minimize the overall footprint of batch processing platform 100 and the distance necessary for transfer robot assembly 103 to travel when transferring substrates between load stations 104A-C and batch processing stations 101A, 101B. Two batch processing stations 101A, 101B are illustrated in FIG. 1A, however additional stations may be added easily. A facilities tower 130 may be positioned a service distance 137 from batch processing station 101B and FI 102 and may be connected to other components of system 100 via an overhead rack 140. Service distance 137 allows access door 135A to be opened for servicing transfer robot assembly 103.
  • Batch processing stations 101A, 101B may be configured to perform the same batch process simultaneously on different groups of substrates, or they may be configured to perform two different batch processes sequentially on the same group of substrates. In the former configuration, the starting time for substrate processing in each batch processing station may be staged, i.e., alternated, to minimize idle time associated with the transfer of substrates to and from batch processing stations 101A, 101B; transfer robot assembly 103 is only required to load and unload one batch processing station at a time. In the latter configuration, a group of substrates undergoes a first batch process in one batch processing station and then undergoes a second batch process in the other batch processing station. Alternatively, system 100 may be configured with a combination of batch processing stations and single-substrate processing stations. This configuration of system 100 is particularly useful when an unstable batch film requires some form of post-processing, such as a capping process, since the batch-processed substrates may immediately undergo the desired post-processing.
  • In general operation, substrates are typically transported to system 100 in FOUP's, that are positioned on the load stations 104A-C. Transfer robot assembly 103 may transfer a first batch of substrates to a staging cassette adjacent the batch processing station while the batch processing station is processing a second batch of substrates in a processing cassette. Transfer robot assembly 103 may perform the transfer between FOUP's and staging platforms with a robot arm configured with a single blade. After processing, substrates may be swapped between the staging cassette and the desired processing cassette by transfer robot assembly 103 using a robot arm configured with multiple blades. If any single-substrate processing chambers are present on system 100, transfer robot assembly 103 transfers substrates between the single-substrate processing chambers and the appropriate staging platform using a robot arm configured with a single blade.
  • In a configuration of system 100 in which sequential batch processes are performed on the same group of substrates, substrates may be transferred to a batch processing station from a first staging cassette prior to processing and then transferred to a second staging cassette after processing. For example, transfer robot assembly 103 may transfer a group of substrates from a staging cassette 123A to batch processing station 101A for a first batch process. Upon completion of the first batch process, transfer robot assembly 103 transfers the group of substrates from batch processing station 101A to staging cassette 123B. When batch processing station 101B is available for processing, transfer robot assembly 103 then transfers the group of substrates from staging cassette 123B to batch processing station 101B for the second batch process. As noted above, a robot arm configured with multiple blades is used for transfers between staging cassettes and batch processing stations, since there is no difference in substrate spacing therebetween.
  • Batch Processing Stations
  • FIG. 1B is a perspective view of system 100 with access panels 120A, 120B and facilities tower 130 removed for clarity. Referring to FIGS. 1A and 1B, batch processing station 101A includes a reactor 121A, containing an internal process volume 127, a buffer chamber 122A positioned adjacent reactor 121A, and a staging platform 123A adapted to support a staging cassette (not shown) proximate batch processing chamber 121A. Similarly, batch processing station 101B includes a reactor 121B, a buffer chamber 122B, and a staging platform 123B adapted to support a staging cassette (not shown) proximate batch processing chamber 121 B.
  • FIG. 1C is a schematic vertical cross-sectional view of system 100 illustrating FI 102, reactors 121A, 121B, buffer chambers 122A, 122B, and staging platforms 123A, 123B. Preferably, and as illustrated in FIGS. 1B and 1C, buffer chambers 122A, 122B are not only adjacent to, but also vertically aligned with reactors 121A, 121B, respectively, minimizing the footprint of batch system 100. In the configuration illustrated in FIGS. 1B, 1C, buffer chambers 122A, 122B are positioned directly below reactors 121A, 121B, respectively. Buffer chambers 122A, 122B are adapted to act as vacuum load locks for the loading and unloading of a processing cassette 146 into and out of reactors 121A, 121B, respectively. Buffer chambers 122A, 122B are fluidly coupled to a vacuum source. The vacuum source may be a remote vacuum source or a vacuum pump 171 contained inside system 100. It is important to minimize the time required for pumping down and venting buffer chambers 122A, 122B, because reactors 121A, 121B are idle during buffer chamber pumping and venting. To that end, buffer chambers 122A, 122B are further adapted to contain the minimum volume necessary to contain the processing cassette in order to speed the pumping and venting process. For example, for a processing cassette adapted to support circular substrates in a vertically aligned column, buffer chambers 122A, 122B are preferably configured as cylindrical chambers with a minimal vertical clearance above and below the processing cassette and with a minimal radial clearance around the processing cassette and substrates therein, as depicted in FIG. 1B. Buffer chambers 122A, 122B both further include a lift mechanism 600, transfer openings 36, 37, and vacuum- tight doors 156, 157. Lift mechanism 600 may be pneumatic actuator, a stepper motor, or other vertical actuators known in the art.
  • In operation, processing cassette 146 is loaded with substrates W from staging cassette 186 via transfer robot assembly 103 while a buffer chamber (in this example, buffer chamber 122A) is vented to atmosphere and transfer opening 36 is open to transfer region 135. For clarity, only one robot arm 162, which is configured with five blades 161 is illustrated in FIG. 1C. The substrate loading/unloading process is described below in conjunction with FIGS. 1F-1I. Vacuum-tight door 156 is closed and buffer chamber 122A is pumped down to the same level of vacuum present in process volume 127, generally between about 0.5 and 20 Torr. Vacuum-tight door 157 is then opened and lift mechanism 600 transfers processing cassette 146 into process volume 127 for ALD or CVD processing of substrates W. For some ALD and CVD processes, it is desirable to pressure cycle substrates W in buffer chamber 122A, i.e., buffer chamber 122A is alternately pumped down to process pressure and vented with a very dry gas to remove residual moisture adsorbed onto the surfaces of substrates W and processing cassette 146. In one configuration, lift mechanism 600 lowers back to buffer chamber 122A and vacuum-tight door 157 closes during processing in process volume 127. After processing is complete, lift mechanism 600 transfers processing cassette 146 back to buffer chamber 122A and vacuum-tight door 157 closes, isolating process volume 127 from buffer chamber 122A. Buffer chamber 122A is then vented to atmospheric pressure and substrates W are transferred to staging cassette 186 for cooling and subsequent removal from system 100.
  • Isolating process volume 127 from buffer chamber 122A with vacuum-tight door 157 while transferring substrates W to staging cassette 186 allows process volume 127 to remain as close as possible to process temperature and pressure between batches of substrates. This is beneficial to process repeatability and throughput since little time is required for process conditions in process volume 127 to stabilize to desired conditions. Process volume 127 for batch processing chambers may be relatively large to accommodate a typical processing cassette 146, for example, on the order of 1 m in height. Because of this, stabilization of the pressure and temperature in process volume 127 can be time-consuming after being vented to atmospheric pressure. Hence, chamber idle time—in this case stabilization time—is reduced significantly by isolating process volume 127 during substrate transfers between processing cassette 146 and staging cassette 186. In addition, fewer contaminants are able to enter process volume 127 as a result of transferring processing cassette 146 between buffer chamber 122A and reactor 121A.
  • In one configuration, lift mechanism 600 may also be adapted to assist in servicing the reactor. Referring to FIG. 1B, lift mechanism 600 may be used to lower difficult-to-access components of reactor 121A into buffer chamber 122A for easy removal from access panel 120A. Improved serviceability reduces system downtime during maintenance procedures, improving COO.
  • Reactors 121A, 121B are adapted to perform a CVD and/or an ALD process on substrates W supported on a processing cassette 146 and contained therein. A more detailed description of an ALD or CVD reactor that may be contained in some configurations of the invention may be found in commonly assigned U.S. patent application Ser. No. 11/286,063, filed on Nov. 22, 2005, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention. Reactors 121A, 121B are fluidly coupled to a process fluid delivery system that is adapted to provide the necessary appropriate reactive precursor and other process fluids. Preferably, the process fluid delivery system is contained in a facilities tower 130 and coupled to reactors 121A, 121B via an overhead rack 140, illustrated in FIG. 1A. Facilities tower 130 is described below in conjunction with FIG. 1N. Electrical and other facilities, such as system controller 111 may also be located in facilities tower 130. Alternatively, the fluid delivery system may be positioned remotely in another area of the fabrication plant and may be fluidly coupled to reactors 121A, 121B via underfloor connections (not shown).
  • Referring to FIG. 1C, staging platforms 123A, 123B are positioned in FI 102 and are each adapted to support a staging cassette 186 proximate reactors 121A, 121B, respectively. Typically, substrates are supported in a sealable substrate transport pod, hereinafter referred to as a front-opening uniform pod (FOUP), at a lower density than during batch processing in an ALD or CVD chamber, i.e., there is a 10 mm substrate-to-substrate spacing in a FOUP vs. a 6 mm to 8 mm spacing in a processing cassette 146. It is important to note that a staging cassette 186 supported proximate a batch processing chamber may be adapted to support substrates at the identical substrate density at which substrates are supported in a processing cassette 146, providing substantial throughput and cost benefits. For example, a simple single blade robot arm, such as that described below in conjunction with FIG. 1G, may be used to transfer substrates between staging cassettes 123A, 123B and load stations 104A-C. Although transferring substrates therebetween with a multiple blade robot arm is faster that with a single blade robot arm, there is generally no throughput gain over single blade transfer of substrates. This is because substrate transfers between staging cassettes 123A, 123B and load stations 104A-C may take place “off-line”, i.e., while reactors 121A, 121B are processing substrates. Transfer times that directly affect system throughput are those between staging platforms 123A, 123B and buffer chambers 122A, 122B, as described above in conjunction with FIGS. 1A-C.
  • Because staging cassette 186 may be adapted to support substrates at the identical substrate density at which substrates are supported in processing cassette 146, substrate transfers may be conducted therebetween with a multiple blade, fixed pitch robot, such as that described below in conjunction with FIG. 1I. Multiple blade robots greatly reduce substrate transfer time since multiple substrates may be transferred at one time. System throughput may be improved significantly thereby, since shorter transfer times reduce reactor idle time.
  • Staging cassette 186 and processing cassette 146 may be adapted to support a relatively large number of substrates, i.e., more than are typically contained in a standard FOUP. Because some processes, e.g., ALD processes, are so time consuming, it is beneficial for COO for as many substrates as practicable to be processed in a single batch. Hence, staging cassette 186 and processing cassette 146 are preferably adapted to support a batch of between about 50 and about 100 substrates. Larger batches are also possible, but the manipulation of cassettes so large in a reliable and safe manner becomes increasingly problematic. Processing cassette 146 may be constructed of any suitable high temperature material such as, for instance, quartz, silicon carbide, or graphite, depending upon desired process characteristics
  • Staging platforms 123A, 123B may also serve as cooling platforms on which substrates may cool after unloading from reactors 121A, 121B. Typically, substrates unloaded from ALD and CVD chambers are too hot (i.e., >100° C.) to be loaded directly into a standard FOUP. Staging platforms 123A, 123B may also be adapted with a conventional robot vertical motion assembly 187, as shown in FIG. 1C. To minimize the complexity of system 100, it is preferred that staging platforms 123A, 123B are stationary components and the vertical motion required for substrate hand-offs is carried out by transfer robot assembly 103.
  • In one configuration of system 100, a staging cassette 186 that is supported on staging platforms 123A, 123B may contain more substrate support shelves 185 than processing cassette 146 disposed in buffer chambers 122A, 122B. This allows substrates to be swapped between staging cassette 186 and processing cassette 146 without the use of a third substrate staging location and without the use of an additional transfer robot assembly, such as second transfer robot 86B (described below in conjunction with FIG. 1H). For example, referring to FIG. 1C, processing cassette 146 has nine substrate support shelves 185 and staging cassette 186 has nine support shelves 185 plus one or more additional shelves 185A. Hence, transfer robot assembly 103 may remove a processed substrate W from processing cassette 146 and place it in the unused additional shelf 185A. An unprocessed substrate is then removed from staging cassette 186 by transfer robot assembly 103 to the now empty support shelf 185 in processing cassette 146, leaving one of support shelves 185 open in staging cassette 186. The above process may then be repeated until all substrates originally in processing cassette 146 have been swapped with the substrates originally in staging cassette 186. In a similar configuration, when transfer robot assembly 103 includes a multi-blade robot arm (described below in conjunction with FIG. 1I) for transferring substrates between staging cassette 186 and processing cassette 146, it is preferred that the number of additional shelves 185A is equal to the number of blades on the multi-blade robot arm of transfer robot assembly 103. This allows the same substrate swap procedure described above, but with multiple substrates being swapped at one time.
  • In another configuration of system 100, staging cassette 186 may contain multiple additional shelves 185A for supporting dummy substrates, i.e., non-production substrates, during batch processing. Due to thermal non-uniformity and other factors, substrates near the top and bottom of a processing cassette are often not processed uniformly compared to the majority of substrates in the processing cassette. The placement of one or more dummy substrates in the top and bottom substrate support shelves of a processing cassette may ameliorate this problem. The non-production dummy substrates are placed in the top 1 to 5 substrate support shelves 185 and the bottom 1 to 5 support shelves 185 of processing cassette 146. Dummy substrates may be used for multiple batch processes, e.g., about 5 or 10 times, before being replaced, and therefore do not need to be removed from system 100 after each batch process is performed. To reduce the time required to reload dummy substrates into processing cassettes, aspects of the invention contemplate the storage of dummy substrates on additional shelves 185A contained in staging cassette 186. Hence, dummy substrates are stored in transfer region 135 in proximity to the batch processing stations 101A, 101B, whenever batch processes are not being performed therein. In addition to reducing the time required to load dummy substrates into a processing cassette, storage of dummy substrates on additional shelves 185A reduces the number of FOUP's that need to be stored in the stocker 150 (shown in FIG. 1B and described below in conjunction with FIGS. 1P and 1Q).
  • In one configuration, staging platforms 123A, 123B are each adapted to serve as a two-cassette rotary table for rotatably swapping a first processing cassette of unprocessed substrates with a second processing cassette processed substrates. FIG. 1D illustrates a schematic plan view of system 100 configured with two batch processing stations 101A, 101B, each served by a two-cassette rotary table 129A, 129B, respectively. In this configuration, staging cassette 186 acts as the second processing cassette.
  • While a batch of substrates in processing cassette 146 are being processed in the reactor 121A of batch processing station 101A, staging cassette 186 is being loaded with substrates from load stations 104A-C. After processing is complete in reactor 121A, processing cassette 146 is lowered onto rotary table 129A by a lift mechanism (not shown for clarity). Rotary table 129A then rotates 180°, swapping the locations of processing cassette 146 and staging cassette 186. The processed substrates cool in transfer region 135 and are then transferred to one or more FOUP's positioned on load stations 104A-C. Simultaneously, the lift mechanism transfers staging cassette 186 into reactor 121A for processing. Hence, no significant length of time is required to transfer substrates from transfer region 135 to reactor 121A. Rather than transferring individual substrates between a staging cassette and a processing cassette, in this configuration of system 100 the staging and processing cassettes are simply swapped by rotary table 129A. In one example, the batch processing stations 101A, 101B each include a buffer chamber for isolating reactors 121A, 121B as described above in conjunction with FIG. 1D.
  • In another configuration, rotary tables 129A, 129B are each contained in a buffer chamber 128, as illustrated in FIG. 1E. FIG. 1E is a cross-sectional side view of a batch processing station 101A which includes a reactor 121A containing a processing cassette 146A and a buffer chamber 128 containing a two-cassette rotary table 129A and a second processing cassette 146B. A lift mechanism 600A, in this case a vertical indexer robot, transfers cassettes between rotary table 129A and reactor 121A. During processing of processing cassette 146A, buffer chamber 128 is vented to atmospheric pressure and a vacuum-tight door 156 opens to provide access to second processing cassette 146B from transfer robot assembly 103. After second processing cassette 146B is loaded with substrates, vacuum-tight door 156 is closed and buffer chamber 128 is vented or pressure cycled in preparation for swapping second processing cassette 146B with processing cassette 146A. This configuration allows the speedy reloading of reactor 121A with a processing cassette, minimizing reactor downtime. All pump-down and venting of buffer chamber 128 take place while substrates are being processed in reactor 121A.
  • Factory Interface
  • Referring back to FIG. 1C, the factory interface (FI) 102, contains a transfer robot assembly 103, a transfer region 135, an environmental control assembly 110 and one or more load stations 104A-C (shown in FIG. 1A). FI 102 maintains transfer region 135 as a clean mini-environment, i.e., a localized, atmospheric pressure, low-contaminant environment, via a fan-powered air filtration unit. FI 102 is intended to provide a clean environment, i.e., transfer region 135, in which a substrate may be transferred between a FOUP positioned on any of load stations 104A-C and reactors 121A, 121B. Recently processed substrates are also able to cool after processing in the low-contamination environment of transfer region 135 prior to being transferred out of system 100 and into a FOUP.
  • FIG. 1C is a schematic vertical cross-sectional view of system 100 illustrating FI 102, reactors 121A, 121B, buffer chambers 122A, 122B, and staging platforms 123A, 123B. For clarity, load stations 104A-C are not shown. In one aspect, environmental control assembly 110 contains a filtration unit 190 that may contain a filter 191, such as a HEPA filter, and a fan unit 192. The fan unit 192 is adapted to push air through the filter 191, through transfer region 135, and out the base 193A of the FI 102. FI 102 includes walls 193 to enclose transfer region 135 to better provide a controlled environment to perform the substrate processing steps. Generally the environmental control assembly 110 is adapted to control the air flow rate, flow regime (e.g., laminar or turbulent flow) and particulate contamination levels in the transfer region 135. In one aspect, the environmental control assembly 110 may also control the air temperature, relative humidity, the amount of static charge in the air and other typical processing parameters that can be controlled by use of conventional clean room compatible heating, ventilation, and air conditioning (HVAC) systems known in the art.
  • Load stations 104A-C are adapted to support, open, and close a FOUP or other sealable substrate transport pod placed thereon. Hence, load stations 104A-C fluidly couple substrates contained in a load station-supported FOUP to transfer region 135 without exposing the substrates to contaminants that may be present outside the FOUP and/or transfer region 135. This allows substrates to be removed, replaced, and resealed in a FOUP in a clean and fully automated manner.
  • Cartesian Robot
  • FIG. 1F illustrates one configuration of a robot assembly 11 that may be used as transfer robot assembly 103 in FI 102. The robot assembly 11 generally contains a robot hardware assembly 85, a vertical robot assembly 95 and a horizontal robot assembly 90. A substrate can thus be positioned in any desired x, y and z position in the transfer region 135 by the cooperative motion of the robot hardware assemblies 85, vertical robot assembly 95 and horizontal robot assembly 90, from commands sent by the system controller 111.
  • The robot hardware assembly 85 generally contains one or more transfer robots 86 that are adapted to retain, transfer and position one or more substrates by use of commands sent from the system controller 111. In the configuration depicted in FIG. 1F, two transfer robots 86 are included in robot hardware assembly 85. In a preferred configuration, the transfer robots 86 are adapted to transfer substrates in a horizontal plane, such as a plane that includes the X and Y directions illustrated in FIGS. 1A and 1F, due to the motion of the various transfer robot 86 components. Hence, the transfer robots 86 are adapted to transfer a substrate in a plane that is generally parallel to the substrate supporting surface 87C (see FIG. 1M) of robot blade 87. The operation of one configuration of transfer robots 86 is described below in conjunction with FIG. 1M.
  • FIG. 1G illustrates a configuration of robot hardware assembly 85 containing a transfer robot 86 that may be adapted to transfer a single substrate W at a time. A single substrate transfer capability for transfer robot assembly 103 is beneficial to system 100 because it allows the transfer of substrates between a FOUP disposed on one of load stations 104A-C and staging platforms 123A, 123B despite the difference in substrate density generally present between a standard FOUP and staging platforms 123A, 123B. Multiple blade transfer of substrates therebetween necessitates a variable pitch robot blade, i.e., a multiple blade robot arm with the capability to vary the distance, or pitch, between substrates. Variable pitch robot blades, while known in the art, are relatively complex, which may impact overall system downtime and therefore COO.
  • FIG. 1H illustrates one configuration of robot hardware assembly 85 that contains two transfer robots 86A, 86B that are positioned in an opposing orientation to each other, i.e., vertically mirrored, so that the blades 87A-B (and first linkages 310A-310B) can be placed a small distance apart. The configuration shown in FIG. 1H, i.e., an “over/under” type blade configuration, may be advantageous, for example, where it is desired to “swap” substrates, i.e., to remove a substrate from a location and immediately replace it with another substrate with minimal robot motions. For example, it is desirable to remove a processed substrate from processing cassette 146 with transfer robot 86A and immediately replace it with an unprocessed substrate that has already been taken from staging cassette 186 and is available on second transfer robot 86B. Because there is no need to transfer the processed substrate to another location before loading the unprocessed substrate, this substrate swap can take place without necessitating robot hardware assembly 85 or robot assembly 11 leaving their basic positions, substantially improving system throughput. This is particularly the case for system 100 during transfer of substrates between staging platforms 123A, 123B and buffer chambers 122A, 122B, respectively. The over/under blade configuration illustrated in FIG. 1H allows unprocessed substrates disposed on staging platforms 123A, 123B to be swapped with processed substrates disposed in buffer chambers 122A, 122B respectively. Hence, no additional staging/cooling location for substrates is required to enable this substrate swap when the over/under blade configuration, or variations thereof, is used. This significantly reduces the footprint of system 100 while minimizing the time reactors 121A, 121B are idle while processing cassette 146 is being emptied and refilled with substrates.
  • In another configuration, robot hardware assembly 85 may further include at least one multiple blade, fixed-pitch robot arm, enabling swapping of multiple substrates between staging platforms 123A, 123B and buffer chambers 122A, 122B as described above. In one example, transfer robot 86A includes a five blade robot arm 87H, as illustrated in FIG. 1I. In another example, transfer robot 86A and second transfer robot 86B both include a multiple blade robot arm, enabling swapping of multiple substrates between staging platforms 123A, 123B and buffer chambers 122A, 122B, respectively, as described above in conjunction with FIG. 1H.
  • FIG. 1J illustrates a preferred configuration of robot hardware assembly 85 of robot assembly 11, which includes a single blade transfer robot 86C and a multiple blade transfer robot 86D. Single blade transfer robot 86C may transfer substrates W between load stations 104A-C and staging cassette 186. Multiple blade transfer robot 86D may transfer substrates W between staging cassette 186 and processing cassette 146.
  • It is important to note that the configuration of system 100, as illustrated in FIG. 1A, allows the transfer of substrates between staging platforms 123A, 123B and buffer chambers 122A, 122B, respectively, without the need for horizontal translation of vertical robot assembly 95 by horizontal robot assembly 90, which substantially reduces transfer times. This configuration significantly increases system throughput by minimizing processing chamber idle time. Because reactors 121A, 121B are idle whenever their respective processing cassette 146 is being unloaded, the substrate transfer should be carried out as quickly as possible. Eliminating the need for horizontal translation of vertical robot assembly 95 during substrate transfer accomplishes this goal.
  • An additional advantage of the use of a cartesian robot, as illustrated in FIGS. 1F-1J, is that a smaller system footprint is required for substrate transfers to be carried out within transfer region 135 compared to conventional substrate transfer robots, such as a selective compliance assembly robot arm (SCARA). This is illustrated by FIGS. 1K and 1L. The width W1, W2 of a clearance region 90A that surrounds a transfer robot assembly 103 is minimized. Clearance region 90A is defined as a region adjacent a substrate transferring robot, such as transfer robot assembly 103, wherein the substrate transferring robot's components and a substrate S are free to move without colliding with other cluster tool components external to the substrate transferring robot. While the clearance region 90A may be described as a volume, often the most important aspect of the clearance region 90A is the horizontal area (x and y-directions), or footprint, occupied by the clearance region 90A, which directly affects a cluster tool's footprint and COO. The footprint of clearance region 90A is illustrated in FIGS. 1K, 1L as the regions defined by the length L and width W1, W2, respectively. In addition to smaller system footprint, a smaller clearance region allows closer positioning between transfer robot assembly 103 and locations that are accessed thereby, such as buffer chambers 122A, 122B and staging platforms 123A, 123B, reducing substrate transfer times and increasing throughput. The configurations of transfer robot assembly 103 described herein have particular advantage over a SCARA robot CR illustrated in FIG. 1L. This is due to the way in which the transfer robot 86, as illustrated in FIG. 1K, may retract its components to be oriented along the major length L of clearance region 90A. A SCARA robot CR, as illustrated in FIG. 1L, cannot.
  • FIGS. 1G, 1H, 1I and 1M illustrate one configuration of a two bar linkage robot 305 that, when used as transfer robot 86, may retract as shown in FIG. 1K. Referring to FIG. 1M, Two bar linkage robot 305 generally contains a support plate 321, a first linkage 310, a robot blade 87, a transmission system 312, an enclosure 313 and a motor 320. In this configuration the two bar linkage robot 305, which is serving as transfer robot 86, is attached to the vertical motion assembly 95 through the support plate 321 which is attached to the vertical motion assembly 95 (shown in FIG. 1F). FIG. 1M illustrates a cross-sectional side view of one configuration of the two bar linkage robot 305 type of transfer robot assembly 86. The transmission system 312 in the two bar linkage robot 305 generally contains one or more power transmitting elements that are adapted to cause the movement of the robot blade 87 by motion of the power transmitting elements, such as by the rotation of motor 320. In general, the transmission system 312 may contain gears, pulleys, etc. that are adapted to transfer rotational or translation motion from one element to another. In one aspect the transmission system 312, as shown in FIG. 1M, contains a first pulley system 355 and a second pulley system 361. The first pulley system 355 has a first pulley 358 that is attached to the motor 320, a second pulley 356 attached to the first linkage 310, and a belt 359 that connects the first pulley 358 to the second pulley 356, so that the motor 320 can drive the first linkage 310. In one aspect, a plurality of bearings 356A are adapted to allow the second pulley 356 to rotate about the axis V1 of the third pulley 354.
  • The second pulley system 361 has a third pulley 354 that is attached to support plate 321, a fourth pulley 352 that is attached to the blade 87 and a belt 362 that connects the third pulley 354 to the fourth pulley 352 so that the rotation of the first linkage 310 causes the blade 87 to rotate about the bearing axis 353 (pivot V2) coupled to the first linkage 310. When in transferring a substrate the motor drives the first pulley 358 which causes the second pulley 356 and first linkage 310 to rotate, which causes the fourth pulley 352 to rotate due to the angular rotation of the first linkage 310 and belt 362 about the stationary third pulley 354. In one embodiment, the motor 320 and system controller 111 are adapted to form a closed-loop control system that allows the angular position of the motor 320 and all the components attached thereto to be controlled. In one aspect the motor 320 is a stepper motor or DC servomotor.
  • A more detailed description of a cartesian robot that may be contained in some configurations of the invention may be found in commonly assigned U.S. patent application Ser. No. 11/398,218 filed on Apr. 5, 2006, which is hereby incorporated by reference in its entirety to the extent not inconsistent with the claimed invention.
  • Process Fluid Delivery System
  • For ALD and CVD processing of substrates, there are generally three methods that chemical precursors are treated to form a process fluid that can be delivered to a process volume of a processing chamber to deposit a layer of a desired material on a substrate. The term process fluid, as used herein, is generally meant to include a gas, vapor, or a liquid. The first treatment method is a sublimation process in which the precursor, which is in solid form in an ampoule, is vaporized using a controlled process, allowing the precursor to change state from a solid to a gas or vapor in the ampoule. The precursor-containing gas or vapor is then delivered to the process volume of a processing chamber. The second method used to generate a precursor-containing process gas is by an evaporation process, in which a carrier gas is bubbled through a temperature controlled liquid precursor, and thus is carried away with the flowing carrier gas. A third process used to generate a precursor is a liquid delivery system in which a liquid precursor is delivered to a vaporizer by use of a pump, in which the liquid precursor changes state from a liquid to a gas by the addition of energy transferred from the vaporizer. The added energy is typically in the form of heat added to the liquid. In any of the three methods described above for creating a precursor-containing process fluid, it is typically necessary to control the temperature of the precursor ampoule as well as the fluid delivery lines between the ampoule and the processing chamber. This is particularly true of ALD processes, wherein temperature control of said delivery lines is very important in achieving process repeatability. Hence, when tight control of precursor temperature is required, the distance between the precursor ampoule and the processing chamber served thereby should be minimized to avoid unnecessary system cost, complexity, and reliability.
  • FIG. 1N illustrates a schematic diagram of one configuration of a precursor delivery system 501 that is used to deliver a process fluid to the process volume of a processing chamber, such as reactor 121A. In the example illustrated, precursor delivery system 501 is a liquid delivery type process fluid source. The components of precursor delivery system 501 may be contained proximate each other in a facilities tower 130, which is illustrated in FIG. 1A. Precursor delivery system 501 is fluidly coupled to reactor 121A via inlet line 505, which may be contained in an overhead rack 140. The routing of inlet line 505 to reactor 121A through overhead rack 140 enables positioning of precursor delivery system 501 proximate reactors 121A without impeding service access to batch processing stations 101A, 101B. Ordinarily, precursor delivery system 501 is located significantly further from reactor 121A, for example in a different room or even a different floor. Referring back to FIG. 1N, precursor delivery system 501, in this configuration, generally includes the following components: an ampoule gas source 512, an ampoule 139 containing a precursor “A”, a metering pump 525, a vaporizer 530, an isolation valve 535, a collection vessel assembly 540 and a final valve 503. The collection vessel assembly 540 generally includes the following components: an inlet 546, an outlet 548, a vessel 543, a resistive heating element 541 surrounding the vessel 543, a heater controller 542 and a sensor 544. In one configuration, the heater controller 542 is part of the system controller 111.
  • Precursor delivery system 501 is adapted to deliver a process gas to the process volume 127 of reactor 121A from the ampoule 139 containing a liquid precursor. To form a gas from a liquid precursor, the liquid precursor is vaporized by use of a metering pump 525 which pumps the precursor into the vaporizer 530, which adds energy to the liquid, causing it to change state from a liquid to a gas. Metering pump 525 is adapted to control and deliver the liquid precursor at a desired flow rate set point throughout the process recipe step, by use of commands from the system controller 111. The vaporized precursor is then delivered to the collection vessel assembly 540 where it is stored until it is injected into the process volume 127 and across the surface of the substrates W.
  • The inlet line 505 is heated to assure that an injected precursor does not condense and remain on the surface of inlet line 505, which can generate particles and affect the chamber process. It is also common to control the temperature of the inlet line 505 and other components of precursor delivery system 501 below the precursor decomposition temperature to prevent gas phase decomposition and/or surface decomposition of the precursor thereon. Hence, reliable temperature control of numerous components of precursor delivery system 501, including inlet line 505, is important to CVD and particularly ALD processes. The temperature control should reliably maintain the necessary components of precursor delivery system 501 within a well-defined temperature window to avoid serious process problems.
  • Because reliable and accurate temperature control of inlet line 505 are made much more problematic and expensive for a longer inlet line 505, inlet line 505 may be minimized by positioning precursor delivery system 501 as close as possible to the reactors serviced thereby. Referring to FIG. 1A, precursor delivery system 501 may be located in facilities tower 130, which is proximate reactors 121A, 121B. To that end, facilities tower 130 is positioned as close as possible to reactors 121A, 121B while still maintaining a service distance 137 that is adequate to accommodate service of facilities tower 130 and other components of system 100, such as batch processing station 101B and transfer robot assembly 103 via access door 135A. Service distance 137 may be a SEMI (Semiconductor Equipment and Materials International) compliant service distance, usually on the order of 36 inches. Alternatively, precursor delivery system 501 may be positioned in cabinets 146A, 146B proximate batch processing stations 101A, 101B, respectively, as shown in FIG. 1B. In another configuration, precursor delivery system 501 may be positioned on top of system 100 in cabinets 145, as illustrated in FIG. 1O.
  • FOUP Stocker
  • Unlike single-substrate processing systems, a batch processing system, such as system 100, typically processes substrates from multiple FOUP's simultaneously. For example, a standard FOUP contains up to 25 substrates whereas a batch of substrates processed by system 100 may be as large as 50 or 100 substrates. Considering that system 100 may include two or more batch processing stations, as many as 100 to 200 substrates may be undergoing processing at any one time in system 100, the equivalent of up to 12 or more FOUP's. In order to minimize the footprint of system 100, however, FI 102 typically only includes two or three load stations 104A-C, as illustrated in FIG. 1A. Empty FOUP's waiting for processed substrates must therefore be removed from the load stations 104A-C to allow loading and unloading of substrates from other FOUP's. In addition, each FOUP must be correctly staged to load stations 104A-C after processing so that the correct substrates are loaded therein. Further, FOUP's must be received from and returned to the central FOUP transport system of the fabrication plant, such as an overhead monorail FOUP transport system. Hence, managing a large number of FOUP's during processing without slowing throughput or unreasonably expanding the footprint of system 100 is a non-trivial consideration.
  • To that end, system 100 may be configured with a FOUP stocker 150 (shown in FIG. 1B) positioned proximate load stations 104A-C. The FOUP stocker may include one or more storage shelves and FOUP transfer mechanisms that may include a shelf capable of raising or lowering a FOUP between the FOUP storage locations and load stations 104A-C of system 100. In one configuration, the storage shelves are themselves adapted to raise and lower a FOUP therebetween. In another configuration, a FOUP handler or other FOUP transfer device may be adapted to transfer a FOUP between the FOUP storage locations and load stations 104A-C. The FOUP stocker may be positioned in front of or beside the fabrication tool, but to avoid increasing the footprint of system 100, the FOUP stocker is preferably positioned over load stations 104A-C.
  • FIG. 1P is a side view of a stocker apparatus, stocker 150, adapted for the management of sealed substrate transport pods, such as FOUP's, during the processing by a batch processing platform, such as system 100. The stocker 150 includes first and second vertical transfer mechanisms, i.e., a first robot 713 and a second robot 715, respectively. The first robot 713 includes a first y-axis component 717 and a first x-axis component 719 movably coupled to the first y-axis component 717 such that the first x-axis component 719 may travel along the length of the first y-axis component 717. Similarly, the second robot 715 includes a second y-axis component 721 and a second x-axis component 723 movably coupled to the second y-axis component 721 such that the second x-axis component 723 may travel along the length of the second y-axis component 721. Operatively coupled between the first robot 713 and the second robot 715 are one or more storage locations 725 a, 725 b.
  • The first robot 713 is configured such that when the first x-axis component 719 is at the lower portion of the first y-axis component 717 it may access the one or more load stations 104A-B and position a FOUP thereon. The first robot 713 is further configured such that when the first x-axis component 719 is at the upper portion of the first y-axis component 717 it may access an overhead wafer carrier transport system such as a monorail, referenced generally by the numeral 729 a. The second robot 715 is configured such that when the second x-axis component 723 is at the lower portion of the second y-axis component 721 it may also access the one or more load stations 104A-B and position a FOUP thereon. Both the first x-axis component 719 and the second x-axis component 23 are configured so as to reach any of the storage locations 725 a, 725 b. In a preferred configuration, first robot 713 is adapted with a plurality of first y-axis components 717 in lieu of storage locations 725 a, 725 b. In this preferred configuration, second robot 715 is similarly configured.
  • FIG. 1Q is a front elevation view of the stocker 150 of FIG. 1P which shows a preferred arrangement of four storage locations 725 a, 725 b, 725 c, and 725 d, above load stations 104A, 104B. FOUP's 751, 753, 755, and 757 are in storage on the storage locations 725 a, 725 b, 725 c and 725 d, respectively. The FOUP capacity of the stocker 150 may be increased with additional storage locations added above and/or adjacent storage locations 725 a, 725 b, 725 c and 725 d. Additional storage locations positioned adjacent storage locations 725 a, 725 b, 725 c and 725 d may require one or more additional robots similar to first robot 713 and second robot 715, each configured with an x-axis component and a y-axis component.
  • Multiple Arm Robot Platform—Zero Side Access Configuration
  • In one aspect of the invention, the multiple arm robot platform includes two batch processing chambers configured with a service aisle disposed therebetween to provide necessary service access to the transfer robot and the deposition stations. Required service areas are generally included as part of the footprint in the COO calculation for a substrate processing system, often making up a substantial fraction of the overall footprint of the system. Further, if required access areas are not only reduced but are eliminated on both sides of a processing system, one processing system may be situated abutting other systems, maximizing efficient use of floor space. Therefore, incorporation of all required service areas into other regions of a substrate processing system in a manner that eliminates the need for side access can substantially reduce the effective footprint thereof.
  • FIG. 2A is a schematic plan view of one aspect of the invention, a batch processing platform, hereinafter referred to as system 200, wherein no side access is required in order to service all components thereof. FIG. 2B is a schematic side view of system 200. FIG. 2C is a perspective view thereof.
  • System 200 generally includes two or more batch processing stations 201A, 201B, a system controller 111, a factory interface (FI) 102, containing a transfer robot 220 and one or more load stations 104A, 104B, and a process fluid delivery system. The fluid delivery system may be contained in facilities towers 130A, 130B and is organized substantially the same as the process fluid delivery system for system 100, described above in conjunction with FIG. 1N. As with system 100, a FOUP stocker (not shown) may be positioned over load stations 104A, 104B to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • The batch processing stations 201A, 201B are disposed adjacent FI 102 and are separated from each other by a common access space 250, which is adapted to provide service access to batch processing stations 201A, 201 B and to transfer robot 220. The presence of common access space 250 obviates the need for side access areas along sides 251, 252 of system 200, allowing system 200 to be positioned directly in contact with a wall or other processing system along sides 251, 252.
  • Referring to FIGS. 2A-D, batch processing station 201A includes a reactor 221A, a buffer chamber 222A positioned adjacent reactor 221A, and a staging platform 223A positioned in FI 102 and adapted to support a staging cassette (not shown) proximate reactor 221A. Similarly, batch processing station 201B includes a reactor 221B, a buffer chamber 222B, and a staging platform 223B positioned in FI 102 and adapted to support a staging cassette (not shown) proximate reactor 221B. Batch processing stations 201A, 201B, FI 102, and overhead tack 210 are generally organized the same as their counterparts in system 100, batch processing stations 101A, 101B described above in conjunction with FIG. 1A.
  • One difference between the organization and operation of system 200 from system 100 is the relative orientation of FI 102, batch processing stations 201A, 201B, and the transfer robot. In system 200, there is preferably one load station positioned opposite each batch processing station. In the configuration illustrated in FIG. 2A for example, load stations 104A, 104B are positioned opposite batch processing stations 201A, 201B, respectively. Another difference between system 100 and system 200 is the configuration of the transfer robot. In system 200, transfer robot 220 is preferably not a cartesian robot, unlike transfer robot assembly 103. Transfer robot 220 may be a conventional SCARA robot mounted on a track 220T. Transfer robot 220 is adapted to travel along track 220T to serve all batch processing stations 201A, 201B of system 200. Because less service access is required for this configuration of robot, it may be serviced adequately from common access space 250 or from front skin 253.
  • Other features of transfer robot 220 are substantially the same as transfer robot assembly 103, including the use of a single blade robot arm for transferring substrates from the a low density FOUP to a higher density staging cassette and the use of a multiple blade robot arm for transferring multiple substrates from a staging FOUP to an equal density processing cassette.
  • In one configuration, a stationary transfer robot, i.e., not track-mounted, is disposed between each batch processing station 201A, 201B and load station 104A, 104B, respectively. In this configuration, each transfer robot serves a single batch processing station. If batch processing stations 201A, 201B are each adapted to perform a different process on groups of substrates sequentially, stocker 150 enables the transfer of substrates between batch processing stations 201A, 201B by moving FOUP's between load stations 104A, 104B as required.
  • System 200 may include a dedicated facilities tower 130A, 130B for each batch processing station 201A, 201B, as illustrated in FIGS. 2A and 2D, each containing a precursor delivery system 501. In this configuration, the use of facilities towers 130A, 130B creates an access opening 130C between facilities towers 130A and 130B. In another configuration, facilities towers 130A, 130B may be combined into a single facilities tower containing a precursor delivery system 501 for each batch processing station 201A, 201B.
  • Cassette Handler Platform
  • In another embodiment of the invention, a cassette handler transfers the processing cassette between a processing chamber and a cooling station to minimize chamber idle time. A single arm robot transfers individual substrates between a substrate transport pod and a processing cassette. In one aspect, the cassette handler is a linear translator adapted to transfer a processing cassette between one or more processing chambers and a cooling station. In another aspect, the cassette handler is a rotary table adapted to swap a cassette of unprocessed substrates with a cassette of processed substrates.
  • Linear Translator Configuration
  • FIG. 3A is a schematic plan view of one aspect of the invention, a batch processing platform containing a linear translator, hereinafter referred to as system 300. The linear translator robot is adapted to transfer processing cassettes between a staging platform, at least one batch processing chamber, and a cassette loading station. FIG. 3B is a schematic side view of system 300.
  • To maintain high throughput for a batch processing platform, it is important to minimize reactor idle time. Contributing factors to reactor idle time include long pump-down and vent times for the reactor, substrate cooling time, and substrate transfer time. The configuration illustrated in FIGS. 3A, 3B may reduce or eliminate the contribution of each of these factors on system throughput.
  • System 300 includes one or more reactors 1301, 1302, a cassette transfer region 1305, a factory interface (FI) 102, and a process fluid delivery system. FI 102 contains one or more load stations 104A-C, a cassette loading station 1303, an environmental control assembly 110, and a loading robot 1304 adapted to transfer substrates between the load stations 104A-C and a processing cassette positioned on cassette loading station 1303. Cassette transfer region 1305 contains a staging platform 1306 and a linear translator robot 1320, which is mounted to a horizontal rail 1321 and is adapted to transfer processing cassettes between the staging platform 1306, the reactors 1301, 1302, and the cassette loading station 1303. The process fluid delivery system may be contained in facilities towers 130A, 130B and is organized substantially the same as the process fluid delivery system for system 100, described above in conjunction with FIG. 1N. As with system 100, a FOUP stocker may be positioned over load stations 104A-C to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • Components of system 300 that are substantially the same in organization and operation as the corresponding components of system 200 include FI 102, transfer robot 1304, reactors 1301, 1302, facilities towers 130A, 130B, and the process fluid delivery system.
  • In operation, a first processing cassette 1330 disposed in FI 102 and positioned on cassette loading station 1303 is loaded with substrates from one or more FOUP's positioned on load stations 104A-C by transfer robot 1304. In one configuration, transfer robot 1304 may be a single track-mounted robot similar to transfer robot 220, described above in conjunction with FIGS. 2A-C. First processing cassette 1330 is then vertically translated to a position adjacent load lock 1309 by a vertical lift mechanism 1303A, such as a vertical indexer or a motorized lift. Processing cassette 1330 is then loaded into load lock 1309 and is pumped down to a level of vacuum substantially equal to that present in cassette transfer region 1305 and reactors 1301, 1302. Processing cassette 1330 may also be pressure cycled prior to entry into cassette transfer region 1305. After pump-down, vacuum-tight door 1312 opens and processing cassette 1330 is transferred from load lock 309 into cassette transfer region 1305 by linear translator robot 1320, which is adapted with a cassette lift mechanism. Linear translator robot 1320 is adapted to translate a processing cassette along horizontal path 1322, to transfer a processing cassette vertically into and out of the one or more reactors 1301, 1302 along vertical paths 1323, and to transfer a processing cassette on or off of staging platform 1306. First processing cassette 1330 is then loaded into an idle reactor, such as reactor 1301 or 1302 by linear translator robot 1320. After processing is complete, first processing cassette 1330 is unloaded from reactor 1301 by linear translator robot 1320 and transferred to staging cassette 1306 for cooling. After the substrates are sufficiently cooled, first processing cassette 1330 is transferred to load lock 1309 by linear translator robot 1320, vented to atmospheric pressure, lowered into FI 102 by vertical lift mechanism 1303A, and unloaded by transfer robot 1304. Alternatively, first processing cassette may undergo atmospheric cooling in load lock 1309 after being vented to atmosphere. In this configuration, free or forced convective cooling may be used.
  • In a preferred sequence, first processing cassette 1330 is positioned in load lock 1309 with unprocessed substrates before processing is completed on second processing cassette 1331 in reactor 1301. In so doing, reactor 1301 is idle for a short time, i.e., on the order of about 1 minute. Reactor idle time is no longer than the time necessary for linear translator robot 1320 to transfer second processing cassette 1331 to staging platform 1306 plus the time to transfer first processing cassette 1330 into reactor 1301. Substrate loading and unloading as well as load lock pumping and venting are carried out “off-line”, i.e., while the reactors are processing substrates. Hence, the reactors are not idle while the time-consuming steps involved in transferring substrates from load stations 104A-C to reactors 1301, 1302 take place, maximizing system throughput. Preferably, reactors 1301, 1302 are staged, i.e., substrate processing is started alternately in each, to ensure that reactor loading/unloading is not limited by the availability of linear translator robot 1320.
  • In an alternate configuration, cassette transfer region 1305 is an atmospheric pressure transfer region, preferably purged with low moisture, inert gas, such as dry nitrogen. In this configuration, a processing cassette is loaded with substrates in FI 102 and transferred directly to reactors 1301, 1302 without passing through a vacuum load lock. In this configuration, vertical lift mechanism 1303A and load lock 1309 are not needed.
  • In another alternate configuration, each of reactors 1301, 1302 of system 300 may be adapted to sequentially perform a different batch process on the same group of substrates. In this configuration, the preferred processing sequence includes processing first processing cassette 1330 in reactor 1301 with the first batch process, transferring first processing cassette 1330 to reactor 1302 with linear translator robot 1320 for processing with a second batch process. First processing cassette 1330 is then transferred to staging platform 1306 for cooling and subsequent removal from systems 300 as described above.
  • Rotational Cross Configuration
  • FIG. 4A is a schematic plan view of one aspect of the invention, a batch processing platform, hereinafter referred to as system 400, wherein a rotational cross robot is adapted to rotatably swap two pairs of processing cassettes between two reactors and two vacuum load locks. FIG. 4B is a schematic side view of system 400.
  • As noted above, system throughput is substantially improved by performing the most time-consuming elements of substrate transfer while the reactors are processing substrates, such as substrate loading and unloading and load lock pumping and venting. The configuration illustrated in FIGS. 4A and 4B may reduce or eliminate the contribution of these factors on system throughput.
  • System 400 includes two reactors 401, 402, two vacuum load locks 403, 404, an evacuated cassette transfer region 406 positioned beneath the vacuum load locks 403, 404 and the reactors 401, 402, a factory interface (FI) 102, and a process fluid delivery system. Load locks 403, 404 may serve as cool-down stations for cassettes containing processed substrates and may further serve as loading stations for transferring substrates between processing cassettes disposed therein and load stations 104A-C. FI 102 contains one or more load stations 104A-C, an environmental control assembly 110, and a transfer robot 405 adapted to transfer substrates between the load stations 104A-C and the vacuum load locks 403, 404. Transfer robot 405 is substantially the same single track-mounted robot as transfer robot 220, described above in conjunction with FIGS. 2A-D, but with an extended z-motion capability. System 400 also includes a rotational cross robot 407 is positioned in evacuated cassette transfer region 406. Rotational cross robot 407 is adapted to position cassettes in and remove cassettes from reactors 401, 402 and vacuum load locks 403, 404 by vertical motion along vertical path 407A. Rotational cross robot 407 is further adapted to rotatably swap two processing cassettes containing processed substrates with two processing cassettes containing unprocessed substrates.
  • Components of system 400 that are substantially the same in organization and operation as the corresponding components of system 200 include FI 102, transfer robot 405, reactors 401,402, facilities towers 130A, 130B, overhead rack 140, and the process fluid delivery system. As with system 100, a FOUP stocker may be positioned over load stations 104A-C to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • In operation, processing cassettes located in loadlocks 403, 404 are loaded with substrates from load stations 104A-C with transfer robot 405. Vacuum-tight door 156 closes and loadlocks 403, 404 are evacuated to the same level of vacuum present in evacuated transfer region 406. Gate valve 420 opens and the processing cassettes are lowered into evacuated transfer region 406 by rotational cross robot 407. Rotational cross robot 407 then rotates 180°, positioning the processing cassettes under reactors 401, 402. Gate valve 421 opens and rotational cross robot 407 loads the processing cassettes into reactors 401, 402, gate valve 421 closes, and ALD or CVD processing may be performed on the substrates contained in the processing cassettes. After processing in reactors 401, 402 is complete, rotational cross robot 407 returns the processing cassettes to load locks 403, 404 by a similar process of lowering, rotating, and lifting. Load locks 403, 404 are vented to atmospheric pressure and, once sufficiently cooled, are transferred to one or more FOUP's positioned on load stations 104A-C.
  • In a preferred sequence, two processing cassettes are processed in reactors 401, 402 at the same time that two processing cassettes in load locks 403, 404 are being loaded with unprocessed substrates. In this way, cassettes containing unprocessed substrates are loaded and pumped down while the reactors are processing two other cassettes. In addition, cassettes containing freshly processed substrates are vented to atmosphere, cooled, and unloaded while the reactors are processing other cassettes. Hence, reactor idle time is reduced to a few seconds, i.e., the time necessary for the rotational cross robot 407 to lower, rotate and raise the processing cassettes.
  • Atmospheric Rotary Table Configuration
  • FIG. 5 is a schematic plan view of one aspect of the invention, a batch processing platform, hereinafter referred to as system 500, wherein a rotary table with a linear horizontal motion transfers processing cassettes between two staging platforms and two batch processing stations.
  • An important component of the COO of a substrate processing platform is downtime related to planned and unplanned maintenance. Hence, a processing platform may have a relatively high nominal throughput, i.e., substrates processed per hour, but if it suffers from substantially higher downtime compared to other systems, it may effectively have a long-term throughput, i.e., substrates processed per month, that is much lower than other systems. To that end, having fewer robots that perform less complex motions is a beneficial feature of a processing platform. The configuration illustrated in FIG. 5 has this feature.
  • System 500 includes two batch processing stations, 501A, 501B, an atmospheric transfer region 502, two staging platforms 503A, 503B, a single transfer robot 504, a processing fluid delivery system, and a rotary table 505A adapted to transfer processing cassettes rotationally and with a linear horizontal motion. The atmospheric transfer region 502 is similar in organization and operation to FI 102, described above in conjunction with FIG. 1C, and contains transfer robot 504, one or more load stations 104A-B, and an environmental control assembly (not shown for clarity). Batch processing stations, 501A, 501B are similar in organization and operation to batch processing stations 101A, 101B, described above in conjunction with FIGS. 1A, 1B. An important difference is that staging platforms 503A, 503B are not positioned adjacent batch processing stations 501A, 501B, respectively. In stead, processing cassettes are transferred between staging platforms 503A, 503B and the buffer chambers contained in batch processing stations 501A, 501B. The processing cassettes are loaded horizontally into buffer chamber via a horizontal motion radially by rotary table 505A. Transfer robot 504 is substantially the same single track-mounted robot as transfer robot 220, described above in conjunction with FIGS. 2A-D. Transfer robot 504 may be stationary, however, reducing the cost and complexity of transfer robot 504 as well as improving the reliability thereof. Due to the difference in substrate between a typical FOUP and a processing cassette, transfer robot is preferably equipped with only single blade robot arms, which further reduces the complexity and cost of transfer robot 504.
  • Other components of system 500 that are substantially the same in organization and operation as the corresponding components of systems 200 include facilities towers 130A, 130B, overhead rack 140, and the process fluid delivery system. As with systems 100, 200, a FOUP stocker may be positioned over load stations 104AB to provide local storage of FOUP's or other substrate transport pods during batch processing of substrates.
  • In operation, processing cassettes located on staging platforms 503A, 503B may be loaded with unprocessed substrates by transfer robot 504. Staging platforms 503A, 503B may further serve as cooling stations for freshly processed substrates. Rotary table 505A is adapted to remove a processing cassette loaded with unprocessed substrates using a horizontal actuator and a small Z-motion. Rotary table 505A then rotates as necessary to position the processing cassette of unprocessed substrates adjacent an idle batch processing station. After processing, rotary table 505A returns cassettes to staging platforms 503A, 503B for cooling, unloading, and reloading with unprocessed substrates.
  • In a preferred sequence, substrate cooling and loading/unloading operations are performed while batch processing stations 501A, 501B are processing substrates. A first processing cassette is positioned on a staging platform, for example staging platform 503A, and loaded with substrates while a batch processing station, for example batch processing station 501A, is processing substrates in a second processing cassette. Prior to the completion of processing in batch processing station 501A, rotary table 505A removes the first processing cassette from staging platform 503A. Once processing is completed on the second processing cassette, rotary table 505A removes the second processing cassette from batch processing station 501A, rotates 180°, and places the first processing cassette into batch processing station 501A. Rotary table 505A then positions the second processing cassette on an available staging platform 503A, 503B for cooling and subsequent unloading. In this way, batch processing station 501A is only idle for a matter of seconds, i.e. the time necessary for rotary table 505A to remove a cassette, rotate 180°, and position a second cassette in a batch processing station. In addition, the configuration illustrated in FIG. 5 has fewer and/or simpler robots than other configurations of batch processing platform.
  • In one configuration, staging platforms 503A, 503B are capable of sufficient vertical motion to accommodate the transfer of substrates and/or processing cassettes thereon. This configuration further simplifies the design of rotary table 505A, increasing the reliability thereof.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A substrate processing apparatus, comprising:
a substrate processing chamber;
a buffer chamber positioned adjacent to the substrate processing chamber;
a processing cassette that is adapted to support two or more substrates at a first spacing, wherein the processing cassette is transferable between the buffer chamber and the substrate processing chamber;
a staging cassette that is adapted to support two or more substrates at the first spacing; and
a transfer robot adapted to transfer a substrate between a substrate transport pod and the staging cassette using a single substrate handling blade, and to transfer substrates between the staging cassette and the processing cassette using multiple substrate handling blades.
2. The apparatus of claim 1, further comprising a factory interface having:
an atmospheric transfer region in which the staging cassette and the transfer robot are disposed;
a filtration unit that is adapted to provide filtered air to the atmospheric transfer region; and
at least one load station for mounting the substrate transport pod adjacent the atmospheric transfer region,
wherein the at least one load station is further adapted to open the substrate transport pod so that the interior of the substrate transport pod is in fluid communication with the atmospheric transfer region, and wherein the substrate transport pod is adapted to contain two or more substrates horizontally at a second spacing.
3. The apparatus of claim 1, wherein the transfer robot is further adapted to remain translationally stationary while transferring one or more substrates between the processing cassette and the staging cassette.
4. The apparatus of claim 1, further comprising:
a second substrate processing chamber;
a second buffer chamber positioned adjacent to the second substrate processing chamber;
a second processing cassette that is adapted to support two or more substrates at the first spacing, wherein the second processing cassette is transferable between the second buffer chamber and the second substrate processing chamber; and
a second staging cassette that is adapted to support two or more substrates at the first spacing, wherein the transfer robot is further adapted to transfer substrates between the second staging cassette and the second processing cassette using the multiple substrate handling blades.
5. The apparatus of claim 4, wherein the transfer robot is further adapted to transfer substrates between the first processing cassette and the second staging cassette using the multiple substrate handling blades.
6. The apparatus of claim 1, wherein the multiple substrate handling blades are fixed-pitch substrate handling blades.
7. The apparatus of claim 1, further comprising:
a fluid delivery system that is in fluid communication with an internal process volume of the substrate processing chamber, wherein the fluid delivery system is adapted to deliver a precursor-containing fluid to the internal process volume so that a chemical vapor deposition (CVD) or an atomic layer deposition (ALD) process can be performed on one or more substrates positioned therein; and
a facilities tower proximate the substrate processing chamber, wherein the facilities tower contains precursor-containing ampoules, and wherein the fluid delivery system fluidly couples the facilities tower to the substrate processing chamber by means of an overhead rack.
8. The apparatus of claim 1, further comprising:
a vertical lift mechanism adapted to transfer a processing cassette into and out of the substrate processing chamber.
9. The apparatus of claim 1, wherein the transfer robot has:
a two-bar linkage arm; and
a motion assembly that is adapted to position the two-bar linkage arm along a linear path, wherein the linear path contains locations proximate the at least one load station and the substrate processing chamber.
10. The apparatus of claim 1, further comprising:
a second substrate processing chamber; and
a service aisle that is disposed between the first and the second substrate processing chambers and is adapted to provide all necessary service access to the transfer robot and the first and second processing chambers.
11. The apparatus of claim 10, further comprising:
a first load station and a second load station, wherein the first load station is proximate the first substrate processing chamber and the second load station is proximate the second substrate processing chamber.
12. The apparatus of claim 11, further comprising:
a second transfer robot disposed in the atmospheric transfer region proximate the second load station and the second substrate processing chamber and adapted to transfer a substrate between the second load station and the second substrate processing chamber, wherein the second transfer robot has at least one substrate transfer arm that comprises multiple substrate handling blades, and wherein the first transfer robot is proximate the first load station and the first substrate processing chamber.
13. A substrate processing apparatus, comprising:
a substrate processing chamber;
a processing cassette that is adapted to support two or more substrates;
a cassette handler robot adapted to transfer the processing cassette between a staging platform and the substrate processing chamber;
a substrate transfer robot that is adapted to transfer substrates between a substrate transport pod and the processing cassette;
a buffer chamber having one or more walls that form an internal volume, wherein the internal volume is positioned below the substrate processing chamber; and
a cassette transfer region in which the staging platform is disposed that is generally maintained at atmospheric pressure.
14. The apparatus of claim 13, wherein the cassette handler robot is a linear translator and wherein the linear translator is adapted to contain a lift mechanism.
15. The apparatus of claim 13, wherein the cassette handler robot is a rotary table.
16. The apparatus of claim 15, wherein the first processing cassette is on a staging platform and the rotary table is adapted to:
receive a second processing cassette from a lift mechanism;
rotatably swap the positions of the first processing cassette and the second processing cassette; and
position the first processing cassette to enable transferal of the first processing cassette between the substrate processing chamber and the cassette transfer region by use of the lift mechanism.
17. The apparatus of claim 16, wherein the staging platform is on the rotary table.
18. The apparatus of claim 17, wherein the rotary table is contained in the internal volume.
19. The apparatus of claim 18, wherein the rotary table is adapted to horizontally translate the first processing cassette and the second processing cassette.
20. The apparatus of claim 13, further comprising a factory interface having:
an atmospheric transfer region in which the staging cassette and the transfer robot are disposed;
a filtration unit that is adapted to provide filtered air to the atmospheric transfer region; and
at least one load station for mounting the substrate transport pod adjacent the atmospheric transfer region,
wherein the at least one load station is further adapted to open the substrate transport pod so that the interior of the substrate transport pod is in fluid communication with the atmospheric transfer region, and wherein the substrate transport pod is adapted to contain two or more substrates horizontally at a second spacing.
US12/939,002 2006-06-26 2010-11-03 Batch processing platform for ald and cvd Abandoned US20110041764A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/939,002 US20110041764A1 (en) 2006-06-26 2010-11-03 Batch processing platform for ald and cvd

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/426,563 US7833351B2 (en) 2006-06-26 2006-06-26 Batch processing platform for ALD and CVD
US12/939,002 US20110041764A1 (en) 2006-06-26 2010-11-03 Batch processing platform for ald and cvd

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/426,563 Division US7833351B2 (en) 2006-06-26 2006-06-26 Batch processing platform for ALD and CVD

Publications (1)

Publication Number Publication Date
US20110041764A1 true US20110041764A1 (en) 2011-02-24

Family

ID=38846399

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/426,563 Expired - Fee Related US7833351B2 (en) 2006-06-26 2006-06-26 Batch processing platform for ALD and CVD
US12/939,002 Abandoned US20110041764A1 (en) 2006-06-26 2010-11-03 Batch processing platform for ald and cvd

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/426,563 Expired - Fee Related US7833351B2 (en) 2006-06-26 2006-06-26 Batch processing platform for ALD and CVD

Country Status (7)

Country Link
US (2) US7833351B2 (en)
EP (1) EP2044618A2 (en)
JP (1) JP5567335B2 (en)
KR (1) KR101058326B1 (en)
CN (1) CN101438387B (en)
TW (1) TW200811926A (en)
WO (1) WO2008002780A2 (en)

Cited By (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130059073A1 (en) * 2011-09-03 2013-03-07 Ying-Bing JIANG Apparatus and Method for making atomic layer deposition on fine powders
US20130085593A1 (en) * 2011-09-29 2013-04-04 Theodorus G.M. Oosterlaken Modular semiconductor processing system
US20130133688A1 (en) * 2011-11-29 2013-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US20130195598A1 (en) * 2012-01-31 2013-08-01 Kabushiki Kaisha Yaskawa Denki Transfer robot
US20140374031A1 (en) * 2011-11-29 2014-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method
TWI555874B (en) * 2011-11-22 2016-11-01 皮寇桑公司 Batch processing
WO2018102519A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Integrated atomic layer deposition tool
US10297472B2 (en) * 2012-11-28 2019-05-21 Acm Research (Shanghai) Inc. Method and apparatus for cleaning semiconductor wafer
EP3648151A1 (en) * 2018-10-31 2020-05-06 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11264262B2 (en) * 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
GB2452320B (en) * 2007-09-03 2012-04-11 Dek Int Gmbh Workpiece processing system and method
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
JP5181809B2 (en) * 2008-04-30 2013-04-10 村田機械株式会社 Processing system and transfer method
JP2010062534A (en) * 2008-06-30 2010-03-18 Intevac Inc System and method for substrate transport
WO2010014761A1 (en) 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
CN101764076A (en) * 2008-10-30 2010-06-30 北京北方微电子基地设备工艺研究中心有限责任公司 Semiconductor processing equipment and transmission method of transmission system and substrates thereof
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
TWI564427B (en) 2009-12-18 2017-01-01 財團法人工業技術研究院 Method for forming parylene film
CN102115876A (en) * 2009-12-31 2011-07-06 财团法人工业技术研究院 Chemical vapor deposition device and method for forming poly-p-xylylene film
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) * 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
JP5921168B2 (en) * 2011-11-29 2016-05-24 株式会社日立国際電気 Substrate processing equipment
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
JP5972587B2 (en) * 2012-02-01 2016-08-17 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
DE102013101777A1 (en) * 2013-02-22 2014-08-28 Aixtron Se Device, used to load and unload chemical vapor deposition system, comprises load carrier including device for loading and unloading substrate carrier with substrates, and gripper to move substrates to be treated into transfer chamber
FI125222B (en) * 2013-03-22 2015-07-15 Beneq Oy Apparatus for handling two or more substrates in a batch process
KR101524251B1 (en) * 2013-06-11 2015-06-01 주식회사 테라세미콘 Cluster-batch type system for processing substrate
JP6526660B6 (en) * 2013-08-12 2019-06-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated System, apparatus, and method for substrate processing with environmental control of factory interface
US20150090295A1 (en) * 2013-09-28 2015-04-02 Applied Materials, Inc. Apparatus and methods for a mask inverter
TWI624307B (en) * 2013-11-06 2018-05-21 All Ring Tech Co Ltd Carrier transfer method and device
KR20160026572A (en) 2014-09-01 2016-03-09 삼성전자주식회사 Apparatus for processing a substrate
WO2016085622A1 (en) 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
TWI574342B (en) * 2015-06-12 2017-03-11 漢民科技股份有限公司 Automatic processing method
KR101969275B1 (en) 2016-06-30 2019-04-15 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, method for manufacturing semiconductor device, and recording medium
SG11202000479WA (en) * 2017-07-19 2020-02-27 Intevac Inc System for forming nano-laminate optical coating
JP6704423B2 (en) * 2018-01-17 2020-06-03 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
CN108421679B (en) * 2018-05-14 2024-02-02 苏州固孚智能装备有限公司 Full-automatic double-vacuum box glue filling machine
CN209276630U (en) * 2018-07-02 2019-08-20 南京原磊纳米材料有限公司 A kind of atomic layer deposition apparatus can and atomic layer deposition apparatus
CN113169103A (en) 2018-11-28 2021-07-23 昕芙旎雅有限公司 Wafer storage device
JP2022521860A (en) * 2019-02-19 2022-04-12 ビーコ・インストゥルメンツ・インコーポレイテッド Thin film adhesion system for automatic batch production and how to use it
KR20210018762A (en) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Temperature-controlled chemical delivery system and reactor system including same

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US5217501A (en) * 1989-07-25 1993-06-08 Tokyo Electron Limited Vertical wafer heat treatment apparatus having dual load lock chambers
US5464453A (en) * 1992-09-18 1995-11-07 Pinnacle Research Institute, Inc. Method to fabricate a reliable electrical storage device and the device thereof
US5562383A (en) * 1993-04-13 1996-10-08 Tokyo Electron Kabushiki Kaisha Treatment apparatus
US5658355A (en) * 1994-05-30 1997-08-19 Alcatel Alsthom Compagnie Generale D'electricite Method of manufacturing a supercapacitor electrode
US5955215A (en) * 1996-07-19 1999-09-21 Dornier Gmbh Bipolar electrode-electrolyte unit
US6066210A (en) * 1995-08-05 2000-05-23 Kokusai Electric Co., Ltd. Substrate processing apparatus with a processing chamber, transfer chamber, intermediate holding chamber, and an atmospheric pressure section
US6174337B1 (en) * 1997-01-06 2001-01-16 Pinnacle Research Institute, Inc. Method of construction of electrochemical cell device using capillary tubing and optional permselective polymers
US6275371B1 (en) * 1998-08-12 2001-08-14 Hitachi Maxwell, Ltd. Electrode material for electrochemical capacitor, electrochemical capacitor comprising the same, and method for the production of the same
US20010036393A1 (en) * 1996-11-18 2001-11-01 Applied Materials, Inc. Three chamber load lock apparatus
US6426863B1 (en) * 1999-11-25 2002-07-30 Lithium Power Technologies, Inc. Electrochemical capacitor
US6493211B1 (en) * 1999-03-17 2002-12-10 Nippon Chemi-Con Corporation Electrolyte for electrolytic capacitor
US6496357B2 (en) * 2000-11-28 2002-12-17 Ness Capacitor Co., Ltd. Metal oxide electrochemical psedocapacitor employing organic electrolyte
US6514296B1 (en) * 1992-09-18 2003-02-04 Pacific Shinfu Technologies Co., Ltd. Method of making energy storage device having electrodes coated with insulating microprotrusions
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6616875B2 (en) * 1999-09-16 2003-09-09 Ness Capacitor Co., Ltd. Manufacturing method for a metal oxide electrode for supercapacitor
US20030178142A1 (en) * 2002-02-25 2003-09-25 De Ridder Christianus Gerardus M. Apparatus for treating wafers, provided with a sensor box
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US6697249B2 (en) * 2000-11-09 2004-02-24 Foc Frankenburg Oil Company Supercapacitor and a method of manufacturing such a supercapacitor
US20040194706A1 (en) * 2002-12-20 2004-10-07 Shulin Wang Method and apparatus for forming a high quality low temperature silicon nitride layer
US20050175435A1 (en) * 1995-07-19 2005-08-11 Minoru Soraoka Vacuum processing apparatus and semiconductor manufacturing line using the same
US20050255717A1 (en) * 2002-02-27 2005-11-17 Anelva Corporation Method of operating substrate processing device
US7061749B2 (en) * 2002-07-01 2006-06-13 Georgia Tech Research Corporation Supercapacitor having electrode material comprising single-wall carbon nanotubes and process for making the same
US20060134330A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
US20060156982A1 (en) * 2004-12-29 2006-07-20 Dongbuanam Semiconductor Inc. Apparatus for fabricating semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1005410C2 (en) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat System for loading, handling and unloading substrates mounted on a support.
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US5217501A (en) * 1989-07-25 1993-06-08 Tokyo Electron Limited Vertical wafer heat treatment apparatus having dual load lock chambers
US6514296B1 (en) * 1992-09-18 2003-02-04 Pacific Shinfu Technologies Co., Ltd. Method of making energy storage device having electrodes coated with insulating microprotrusions
US5464453A (en) * 1992-09-18 1995-11-07 Pinnacle Research Institute, Inc. Method to fabricate a reliable electrical storage device and the device thereof
US5562383A (en) * 1993-04-13 1996-10-08 Tokyo Electron Kabushiki Kaisha Treatment apparatus
US5658355A (en) * 1994-05-30 1997-08-19 Alcatel Alsthom Compagnie Generale D'electricite Method of manufacturing a supercapacitor electrode
US20050175435A1 (en) * 1995-07-19 2005-08-11 Minoru Soraoka Vacuum processing apparatus and semiconductor manufacturing line using the same
US6066210A (en) * 1995-08-05 2000-05-23 Kokusai Electric Co., Ltd. Substrate processing apparatus with a processing chamber, transfer chamber, intermediate holding chamber, and an atmospheric pressure section
US5955215A (en) * 1996-07-19 1999-09-21 Dornier Gmbh Bipolar electrode-electrolyte unit
US20010036393A1 (en) * 1996-11-18 2001-11-01 Applied Materials, Inc. Three chamber load lock apparatus
US6174337B1 (en) * 1997-01-06 2001-01-16 Pinnacle Research Institute, Inc. Method of construction of electrochemical cell device using capillary tubing and optional permselective polymers
US6275371B1 (en) * 1998-08-12 2001-08-14 Hitachi Maxwell, Ltd. Electrode material for electrochemical capacitor, electrochemical capacitor comprising the same, and method for the production of the same
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
US6493211B1 (en) * 1999-03-17 2002-12-10 Nippon Chemi-Con Corporation Electrolyte for electrolytic capacitor
US6616875B2 (en) * 1999-09-16 2003-09-09 Ness Capacitor Co., Ltd. Manufacturing method for a metal oxide electrode for supercapacitor
US6426863B1 (en) * 1999-11-25 2002-07-30 Lithium Power Technologies, Inc. Electrochemical capacitor
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
US6697249B2 (en) * 2000-11-09 2004-02-24 Foc Frankenburg Oil Company Supercapacitor and a method of manufacturing such a supercapacitor
US6496357B2 (en) * 2000-11-28 2002-12-17 Ness Capacitor Co., Ltd. Metal oxide electrochemical psedocapacitor employing organic electrolyte
US20030178142A1 (en) * 2002-02-25 2003-09-25 De Ridder Christianus Gerardus M. Apparatus for treating wafers, provided with a sensor box
US20050255717A1 (en) * 2002-02-27 2005-11-17 Anelva Corporation Method of operating substrate processing device
US7061749B2 (en) * 2002-07-01 2006-06-13 Georgia Tech Research Corporation Supercapacitor having electrode material comprising single-wall carbon nanotubes and process for making the same
US20040194706A1 (en) * 2002-12-20 2004-10-07 Shulin Wang Method and apparatus for forming a high quality low temperature silicon nitride layer
US20060134330A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Cluster tool architecture for processing a substrate
US20060156982A1 (en) * 2004-12-29 2006-07-20 Dongbuanam Semiconductor Inc. Apparatus for fabricating semiconductor device

Cited By (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US20130059073A1 (en) * 2011-09-03 2013-03-07 Ying-Bing JIANG Apparatus and Method for making atomic layer deposition on fine powders
US9951419B2 (en) * 2011-09-03 2018-04-24 Ying-Bing JIANG Apparatus and method for making atomic layer deposition on fine powders
US20130085593A1 (en) * 2011-09-29 2013-04-04 Theodorus G.M. Oosterlaken Modular semiconductor processing system
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
TWI555874B (en) * 2011-11-22 2016-11-01 皮寇桑公司 Batch processing
US20140374031A1 (en) * 2011-11-29 2014-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method
US9390949B2 (en) * 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
US10381254B2 (en) * 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US11264262B2 (en) * 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US20130133688A1 (en) * 2011-11-29 2013-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
TWI491484B (en) * 2012-01-31 2015-07-11 Yaskawa Denki Seisakusho Kk Transfer robot
US8992160B2 (en) * 2012-01-31 2015-03-31 Kabushiki Kaisha Yaskawa Denki Transfer robot
US20130195598A1 (en) * 2012-01-31 2013-08-01 Kabushiki Kaisha Yaskawa Denki Transfer robot
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10297472B2 (en) * 2012-11-28 2019-05-21 Acm Research (Shanghai) Inc. Method and apparatus for cleaning semiconductor wafer
US11462423B2 (en) 2012-11-28 2022-10-04 Acm Research (Shanghai) Inc. Method and apparatus for cleaning semiconductor wafer
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
WO2018102519A1 (en) * 2016-12-02 2018-06-07 Applied Materials, Inc. Integrated atomic layer deposition tool
TWI742201B (en) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 Integrated atomic layer deposition tool
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
EP3648151A1 (en) * 2018-10-31 2020-05-06 ASM IP Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
US7833351B2 (en) 2010-11-16
JP2009541599A (en) 2009-11-26
WO2008002780A3 (en) 2008-03-20
TW200811926A (en) 2008-03-01
US20070295274A1 (en) 2007-12-27
CN101438387B (en) 2012-07-04
WO2008002780B1 (en) 2008-05-08
CN101438387A (en) 2009-05-20
WO2008002780A2 (en) 2008-01-03
KR20090024275A (en) 2009-03-06
EP2044618A2 (en) 2009-04-08
JP5567335B2 (en) 2014-08-06
KR101058326B1 (en) 2011-08-22

Similar Documents

Publication Publication Date Title
US7833351B2 (en) Batch processing platform for ALD and CVD
EP1166180B1 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US7105463B2 (en) Load lock chamber having two dual slot regions
KR20020019414A (en) Substrate processing apparatus and method for manufacturing a semiconductor device by using the substrate processing apparatus
US20060251499A1 (en) Linear substrate delivery system with intermediate carousel
JP2000124301A (en) Container mounting unit, container housing apparatus and treating apparatus
JPH09104982A (en) Substrate treating device
US9716021B2 (en) Substrate heat treatment apparatus, method of installing substrate heat treatment apparatus
JP2023090874A (en) connection module
US20170352562A1 (en) Dodecadon transfer chamber and processing system having the same
US20020137346A1 (en) Workpiece distribution and processing in a high throughput stacked frame
US20060156982A1 (en) Apparatus for fabricating semiconductor device
JP4209658B2 (en) Substrate processing equipment
JP4021138B2 (en) Substrate processing equipment
JPH09107015A (en) Substrate treater
WO2006103978A1 (en) Substrate treating apparatus and semiconductor device manufacturing method
JP2007027780A (en) Substrate processing equipment
JP7467072B2 (en) Substrate processing equipment for processing a substrate
JP3557382B2 (en) Substrate processing equipment
KR200444491Y1 (en) Double dual slot load lock for process equipment
JP2020077871A (en) Substrate processing apparatus for treating substrate
JP2002043389A (en) Substrate treating apparatus
JP2000040729A (en) Semiconductor manufacturing device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION