US20110053465A1 - Method and apparatus for local polishing control - Google Patents

Method and apparatus for local polishing control Download PDF

Info

Publication number
US20110053465A1
US20110053465A1 US12/941,816 US94181610A US2011053465A1 US 20110053465 A1 US20110053465 A1 US 20110053465A1 US 94181610 A US94181610 A US 94181610A US 2011053465 A1 US2011053465 A1 US 2011053465A1
Authority
US
United States
Prior art keywords
conductive
polishing
electrodes
substrate
polishing pad
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/941,816
Inventor
Stan Tsai
Feng Q. Liu
Yan Wang
Rashid Mavliev
Liang-Yuh Chen
Alain Duboust
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/941,816 priority Critical patent/US20110053465A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, LIANG-YUH, DUBOUST, ALAIN, LIU, FENG Q., MAVLIEV, RASHID, TSAI, STAN, WANG, YAN
Publication of US20110053465A1 publication Critical patent/US20110053465A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/046Lapping machines or devices; Accessories designed for working plane surfaces using electric current
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/10Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP

Definitions

  • Embodiments of the invention generally relate to a method and apparatus for local polishing control in an electrochemical mechanical polishing system.
  • Electrochemical mechanical polishing generally removes material from a semiconductor substrate through an electrochemical/chemical or a combined electrochemical/chemical and mechanical process.
  • a substrate or wafer is retained on a substrate support in a feature side up orientation.
  • a polishing head having a conductive polishing pad and an internal counter electrode is placed in contact with the feature side of the substrate.
  • the polishing head and the substrate are moved relative to one another in a predefined polishing motion.
  • An electrolytic polishing fluid is disposed on the substrate and provides a conductive path between the substrate and the counter electrode.
  • the substrate is electrically biased through the conductive pad relative to the counter electrode to drive a dissolution reaction at the substrate's surface to polish the substrate.
  • Copper is one material that may be polished using electrochemical mechanical polishing. Typically, copper is polished utilizing a two step process. In the first step, bulk of the copper is removed, typically leaving some copper residue projecting above the substrate's surface. The copper residue is then removed in a second or over-polishing step.
  • the removal of copper residue may result in dishing of copper features below the plane of surrounding material, typically an oxide or other barrier layer.
  • the amount of dishing typically is related to polishing chemistries and processing parameter utilized in the over polish step, along with the width of the copper features subjected to polishing.
  • the copper layer does not have a uniform thickness across the substrate, it is difficult to removes all the copper residue without causing dishing over some features while not removing all of the copper residue over others.
  • a method and apparatus for local polishing control in a process cell is generally provided.
  • an apparatus for electrochemically processing a substrate is provided that selectively processes discrete conductive portions of a substrate by controlling an electrical bias profile across a processing area, thereby controlling processing rates between two or more conductive portions of the substrate.
  • a method for electrochemically processing a substrate includes the steps of contacting conductive features disposed on a substrate with a conductive polishing pad assembly, flowing electrolyte between the conductive features and a first counter electrode, and selectively processing discrete portions of the conductive features.
  • FIG. 1 is a sectional view of one embodiment of an electrochemical processing cell
  • FIG. 2 is an exploded partial sectional view of the electrochemical processing cell of FIG. 1 ;
  • FIGS. 3A-3C depict various embodiments of an electrode assembly
  • FIGS. 4A-4C are simplified partial sectional views of a conductive pad and counter electrode assemblies illustrating a selective electrical bias profile
  • FIGS. 5A-5C are top views of various embodiments of conductive pad assemblies having different conductive element layouts
  • FIG. 6 is a sectional view of another embodiment of an electrochemical processing cell
  • FIG. 7 a simplified partial electrical schematic of the processing cell of FIG. 6 ;
  • FIG. 8 is a sectional view of another embodiment of an electrochemical processing cell
  • FIG. 9 a simplified partial electrical schematic of the processing cell of FIG. 8 ;
  • FIG. 10 is a partial cross-sectional view of one embodiment of a polishing article
  • FIG. 11 is a top plan view of one embodiment of a grooved polishing article
  • FIG. 12 is a top plan view of another embodiment of a grooved polishing article.
  • FIG. 13 is a top plan view of another embodiment of a grooved polishing article
  • FIG. 14A is a top view of a conductive cloth or fabric described herein;
  • FIGS. 14B and 14C are partial cross-sectional views of polishing articles having a polishing surface comprising a conductive cloth or fabric;
  • FIG. 14D are partial cross-sectional views of one embodiment of a polishing article including a metal foil
  • FIGS. 15A and 15B are top and cross-section schematic views, respectively, of one embodiment of a polishing article having a conductive element
  • FIGS. 15C and 15D are top and cross-section schematic views, respectively, of one embodiment of a polishing article having a conductive element
  • FIGS. 16A and 16B are perspective views of other embodiments of a polishing article having a conductive element
  • FIG. 17A is a partial perspective view of another embodiment of a polishing article
  • FIG. 17B is a partial perspective view of another embodiment of a polishing article
  • FIG. 17C is a partial perspective view of another embodiment of a polishing article.
  • FIG. 17D is a partial perspective view of another embodiment of a polishing article.
  • FIG. 17E is a partial perspective view of another embodiment of a polishing article.
  • FIGS. 18A-18C are schematic side views of one embodiment of a substrate contacting one embodiment of a polishing article described herein;
  • FIGS. 19A-19D are top and side schematic views of embodiments of a polishing article having extensions connected to a power source.
  • FIGS. 19E and 19F show side schematic and exploded perspective views of another embodiment of providing power to a polishing article.
  • Chemical-mechanical polishing should be broadly construed and includes, but is not limited to, abrading a substrate surface by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity.
  • Electropolishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity.
  • Electrochemical mechanical polishing (ECMP) should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical/chemical activity, or a combination of both electrochemical/chemical and mechanical activity to remove material from a substrate surface.
  • Electrochemical mechanical plating process should be broadly construed and includes, but is not limited to, electrochemically depositing material on a substrate and concurrently planarizing the deposited material by the application of electrochemical activity, or a combination of both electrochemical and mechanical activity.
  • Anodic dissolution should be broadly construed and includes, but is not limited to, the application of an anodic bias to a substrate directly or indirectly which results in the removal of conductive material from a substrate surface and into a surrounding electrolyte solution.
  • Aperture should be broadly construed and includes, but is not limited to, a perforation, hole, opening, groove, channel, or passage formed partially or completely through an object.
  • substantially, as used to modifying the term planar is intended to describe a surface on a macroscopic or global level and not surface roughness.
  • FIG. 1 depicts a sectional view of one embodiment of a process cell 100 in which at least one process comprising anodic dissolution and polishing processes may be practiced. While the first embodiment of the invention is described for an electrochemical-mechanical polishing (ECMP) process that utilizes a configurable electrical bias profile for selective polishing across the surface of a substrate, the invention contemplates using the application of a configurable electrical bias profile in other fabrication processes involving electrochemical activity.
  • ECMP electrochemical-mechanical polishing
  • Examples of such processes using electrochemical activity include electrochemical deposition, which involves the application of a bias profile to a substrate surface for selectively depositing a conductive material without the use of a conventional bias application apparatus, such as edge contacts, and electrochemical mechanical plating processes (ECMPP) that include a combination of electrochemical deposition and chemical mechanical polishing.
  • electrochemical deposition which involves the application of a bias profile to a substrate surface for selectively depositing a conductive material without the use of a conventional bias application apparatus, such as edge contacts
  • EMPP electrochemical mechanical plating processes
  • the process cell 100 generally includes a polishing head 102 and a basin 104 that houses a conductive pad assembly 122 and a counter electrode assembly 150 .
  • a substrate 108 typically having one or more conductive surfaces 140 , is retained in the polishing head 102 and lowered into the basin 104 during processing in a feature-down (e.g., backside up) orientation.
  • the conductive surfaces 140 may include any one or combination of conductive material disposed in a feature, a layer of conductive material, or residue of conductive material remains on the substrate from a conductive layer.
  • the substrate 108 and the conductive pad assembly 122 disposed in the basin 104 are moved relative to each other to provide a polishing motion.
  • the polishing motion generally comprises at least one motion defined by an orbital, rotary, linear or curvilinear motion, or combinations thereof, among other motions.
  • the polishing motion may be achieved by moving either or both of the polishing heads 102 and the basin 104 .
  • the polishing head 102 may be stationary or driven to provide at least a portion of the relative motion between the basin 104 and the substrate 108 held by the polishing head 102 .
  • the conductive pad assembly 122 may be moved, for example like a belt, while the polishing head 102 is stationary or in motion.
  • the polishing head 102 is coupled to a drive system 110 .
  • the drive system 110 moves the polishing head 102 with at least one of a rotary, orbital, sweep motion or combinations thereof.
  • the polishing head 102 includes a housing 114 enclosing a bladder 116 .
  • the bladder 116 may be deflated when contacting the substrate to create a vacuum therebetween, thus securing the substrate to the polishing head 102 .
  • the bladder 116 may additionally be inflated to press the substrate in contact with the conductive pad assembly 122 retained in the basin 104 .
  • a retaining ring 138 is coupled to the housing 114 and circumscribes the substrate 108 to prevent the substrate from slipping out from the polishing head 102 while processing.
  • One polishing head that may be adapted to benefit from the invention is a TITAN HEADTM carrier head available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Another example of a polishing head that may be adapted to benefit from the invention is described in U.S. Pat. No. 6,159,079, issued Dec. 12, 2001, which is hereby incorporated herein by reference in its entirety.
  • the basin 104 is generally fabricated from a non-conductive material that is compatible with electroplating and/or electropolishing chemistries.
  • the basin 104 includes a bottom 144 and sidewalls 146 that define a container that houses the conductive pad assembly 122 and the electrode assembly 150 .
  • the sidewalls 146 of the basin 104 are configured to retain electrolyte that makes conductive contact with the electrode assembly 150 and the substrate held by the polishing head 102 against the conductive pad assembly 122 .
  • the sidewalls 146 include a port 118 formed therethrough to allow removal of electrolyte from the basin 104 .
  • the port 118 is coupled to a valve 120 to selectively drain or retain the electrolyte in the basin 104 .
  • the basin 104 is rotationally supported above a base 106 by bearings 134 .
  • a drive system 136 is coupled to the basin 104 and rotates the basin 104 during processing.
  • a catch basin 128 is disposed on the base 106 and circumscribes the basin 104 to collect processing fluids, such as the electrolyte, that flow out of port 118 disposed through the basin 104 during and/or after processing.
  • An electrolyte delivery system 132 is generally disposed adjacent the basin 104 and is adapted to provide electrolyte to the basin 104 .
  • the electrolyte disposed in the basin 104 creates a conductive path between the counter electrode assembly 150 and conductive pad assembly 122 through the substrate's surface when the substrate 108 is in contact with the conductive pad assembly 122 .
  • the electrolyte delivery system 132 includes a nozzle or outlet 130 coupled to an electrolyte source 142 .
  • the outlet 130 flows electrolyte or other processing fluid from the electrolyte source 142 into the basin 104 .
  • the electrolyte generally provides an electrical path for biasing the substrate 108 and driving an electro-chemical process to remove material from the substrate 108 .
  • Electrolytes for copper containing material removal generally include inhibitors, chelating agents and pH adjusting agents.
  • One electrolyte that can be used for electrochemical removal of metals from the substrate 108 is described in U.S. patent application Ser. No. 10/032,075, filed Dec. 21, 2001, which is hereby incorporated by reference in its entirety.
  • a multiple-output power source 124 is coupled to the counter electrode assembly 150 and conductive pad assembly 122 by electrical leads 112 (shown as 112 A i -B, where i is a positive integer greater than 1).
  • the power source 124 applies an electrical bias between the counter electrode assembly 150 and the conductive pad assembly 122 .
  • the bias applied by each output of the power source 124 coupled to each of the leads 112 Ai is independently controllable in magnitude, and typically may range between 0 to about 5 Volts DC.
  • the leads 112 are routed through a slip ring 126 disposed below the basin 104 .
  • the slip ring 126 facilitates continuous electrical connection between the power source 124 , electrode assembly 150 and the conductive pad assembly 122 as the basin 104 rotates.
  • the leads 112 are wires, tapes or other conductors compatible with process fluids or having a covering or coating that protects the leads 112 from the process fluids. Examples of materials that may be utilized in the leads 112 include insulated graphite, titanium, platinum, gold, and HASTELOY® among other materials. Coatings disposed around the leads 112 may include polymers such as fluorocarbons, PVC, polyamide, and the like.
  • the conductive pad assembly 122 is coupled to the lead 112 B that is routed (with leads 112 A i that is coupled to the counter electrode assembly 150 ) through the bottom 144 of the basin 104 to the power source 124 .
  • the lead 112 B may by coupled to the conductive pad assembly 122 by any number of methods that facilitate good electrical connection between the conductive pad assembly 122 and the power source 124 , for example, by soldering, stacking, brazing, clamping, crimping, riveting, fastening, conductive adhesive or by other methods or devices that facilitate good electrical connection between the lead 112 B and the conductive pad assembly 122 .
  • the leads 112 A i -B may be coupled to the power source 124 using a single disconnect 266 (as shown in FIG. 2 ), disposed in the basin 104 , to further facilitate replacement of either the conductive pad assembly 122 or counter electrode assembly 150 .
  • the conductive pad assembly 122 includes a top pad 170 having a plurality of conductive elements 172 , and an optional sub-pad 174 .
  • the sub-pad 174 is disposed between top pad 170 and the counter electrode assembly 150 .
  • a controller 180 is coupled to the processing cell 100 to facilitate control of the voltages applied between the pad assembly 122 and the counter electrode assembly 150 by the power source 124 .
  • the controller 180 typically includes a central processing unit (CPU) 182 , support circuits 186 and memory 184 .
  • the CPU 182 may be one of any form of computer processor that can be used in an industrial setting for controlling various subprocessors, substrate processing and cell functions.
  • the memory 184 is coupled to the CPU 182 .
  • the memory 184 or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • the support circuits 186 are coupled to the CPU 182 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • FIG. 2 depicts an exploded sectional view of one embodiment of the conductive pad assembly 122 and counter electrode assembly 150 that is removably disposed in the basin 104 of FIG. 1 .
  • the conductive pad assembly 122 depicted in FIG. 2 includes the top pad 170 coupled to the sub-pad 174 .
  • the sub-pad 174 is disposed on or coupled to the counter electrode assembly 150 .
  • the top pad 170 is typically fabricated from polymeric materials compatible with process chemistry, examples of which include polyurethane, polycarbonate, fluoropolymers, PTFE, PTFA, polyphenylene sulfide (PPS), or combinations thereof, and other polishing materials used in polishing substrate surfaces.
  • the top pad 170 may also contain fillers and/or be foamed.
  • Exemplary conventional material includes those made from polyurethane and/or polyurethane mixed with fillers, which are commercially available from Rodel, Inc., headquartered in Phoenix, Ariz.
  • Other conventional polishing materials, such as a layer of compressible material may also be utilized for the top pad 170 .
  • Compressible materials include, but are not limited to, soft materials such as compressed felt fibers leached with urethane or foam.
  • the top pad 170 is generally between about 10 to about 100 mils thick.
  • the top pad 170 has a first side 208 and a second side 210 .
  • the first side 208 is adapted to contact the substrate 108 (shown in FIG. 1 ) during processing.
  • the first side 208 may include grooves, embossing or other texturing to promote polishing performance.
  • the top pad 170 may be solid, impermeable to electrolyte, permeable to electrolyte or perforated. In the embodiment depicted in FIG. 2 , the top pad 170 is perforated with a plurality of apertures 212 adapted to allow flow of electrolyte therethrough.
  • the first side 208 additionally includes one or more slots 264 or other feature that retains the conductive elements 172 therein.
  • the conductive elements 172 may include conductive polymers, polymer composites with conductive materials, conductive metals or polymers, conductive fillers, graphitic materials, or conductive doping materials, or combinations thereof.
  • the conductive elements 172 generally have a bulk resistivity or a bulk surface resistivity of about 10 ⁇ -cm or less.
  • the conductive elements 172 A are a plurality of electrically conductive fibers, stands and/or flexible fingers, such as carbon fibers or other conductive, compliant (i.e., flexible) material that facilitate electrical contact with the substrate while processing.
  • the conductive elements 172 B may be rollers, balls, rods, bars, mesh or other shape that facilitates conductive contact between the substrate disposed on the top pad 170 and the power source 124 .
  • the conductive elements 172 C may be rollers, balls, rods, bars, mesh or other shape seated in a conductive carrier 224 that facilitates conductive contact between the substrate disposed on the top pad 170 and the power source 124 .
  • conductive elements that may be utilized include tubing, springs, wire, tape, brushes, bars, mesh, cylinders, balls and pins. Examples of conductive pads that may be adapted to benefit from the invention are described in U.S. Provisional Patent Application Ser. No. 60/342,281, filed Dec. 19, 2001; U.S. Provisional Patent Application Ser. No. 60/326,263, filed Oct. 1, 2001; U.S. Provisional Patent Application Ser. No. 60/286,107, filed Apr. 24, 2001; U.S. patent application Ser. No. 10/140,010, filed May 7, 2002; and U.S. patent application Ser. No. 10/033,732, filed Dec. 27, 2001, all of which are incorporated herein by reference in their entireties.
  • the conductive elements 172 may alternatively be intermixed in the top pad 170 to form a unitary body.
  • the sub-pad 174 is coupled to the second side 210 of the top pad 170 .
  • the sub-pad 174 is typically fabricated from a material softer, or more compliant, than the material of the top pad 170 .
  • the difference in hardness or durometer between the top pad 170 and the sub-pad 174 may be chosen to produce a desired polishing/plating performance.
  • the sub-pad 174 may also be compressive. Examples of suitable backing materials include, but are not limited to, foamed polymer, elastomers, felt, impregnated felt and plastics compatible with the polishing chemistries.
  • the sub-pad 174 has a first side 214 and a second side 216 .
  • the first side 214 is coupled to the second side 210 of the top pad 170 .
  • the sub-pad 174 typically has a thickness in the range of about 5 to about 100 mils, and in one embodiment, is about 5 mils thick.
  • the sub-pad 174 may be solid, impermeable to electrolyte, permeable to electrolyte or perforated. In one embodiment depicted in FIG. 2 , the sub-pad 174 is configured to allow electrolyte therethrough, and may be permeable, have holes formed therethrough or a combination thereof. In the embodiment depicted in FIG.
  • the sub-pad 174 is perforated with a plurality of apertures 218 adapted to allow flow of electrolyte therethrough.
  • the apertures 218 of the sub-pad 174 typically, but not necessarily, align with the apertures 212 of the top pad 170 .
  • the counter electrode assembly 150 may be solid, impermeable to electrolyte, permeable to electrolyte, or perforated.
  • the counter electrode assembly 150 has a first side 220 and a second side 222 .
  • the first side 220 of the counter electrode assembly 150 is coupled to the second side 216 of the sub-pad 174 .
  • the counter electrode assembly 150 is configured to allow electrolyte therethrough.
  • the counter electrode assembly 150 may be permeable, have holes formed therethrough or a combination thereof.
  • the second side 222 of the counter electrode assembly 150 may be adhered to the bottom 144 of the basin 104 with a removable adhesive to prevent the counter electrode assembly 150 from moving during polishing while allowing the counter electrode assembly 150 to be replaced.
  • the counter electrode assembly 150 may alternatively be clamped, fastened or secured to the basin 104 by other methods.
  • the counter electrode assembly 150 may be a singular component or element, or part of a prefabricated assembly with the conductive pad assembly 122 .
  • One example of an electrode and conductive pad assembly that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/151,538, filed May 16, 2002, which is hereby incorporated by reference in its entirety.
  • the counter electrode assembly 150 is fabricated from a plurality of electrodes 260 i , spaced by one or more insulators 262 . At least one of the electrode 260 i or insulators 262 is configured to allow electrolyte through the counter electrode assembly 150 .
  • the one or more insulators 262 are disposed between the electrodes 260 i to electrically isolate the electrodes 260 i from one another.
  • the insulators 262 may be fabricated from any dielectric material suitable for use with process chemistries.
  • the insulators 262 may be in the form of a web, egg-crate or other structure suitable for providing lateral electrical isolation between the electrodes 260 i .
  • the electrodes 260 i are disposed in or embedded in the insulator 262 .
  • the electrodes 260 i are typically comprised of the material to be deposited or removed, such as copper, aluminum, gold, silver, tungsten and other materials which can be electrochemically deposited on the substrate 108 .
  • the electrodes 260 i may include a electrode of a material other than the deposited material.
  • the electrodes 260 i may range in thickness from foils to greater than 100 mils thick.
  • FIGS. 3A-C depict various embodiments of the electrodes 260 i and insulators 262 .
  • the electrodes 260 i are cylinders having a passage 302 disposed therethrough that allows passage of electrolyte through the counter electrode assembly 150 .
  • the electrodes 260 i are disposed in the insulator 262 that has a plurality of apertures 304 formed therethrough that allows passage of electrolyte through the counter electrode assembly 150 .
  • at least one of the electrodes 260 i or insulator 262 are at least one of perforated or permeable to electrolyte thereby allowing electrolyte through the counter electrode assembly 150 during processing.
  • each of the electrodes 260 i (where i is a positive integer greater than 1, of which five are shown in FIG. 2 ) are coupled independently by the leads 112 A i to the power source 124 , thereby allowing each electrode 260 i to be biased independently, and, when appropriate, at a different level than one of the other electrodes 260 i .
  • an electrode 260 1 may be biased to a voltage level greater than an electrode 260 2 .
  • the independent biasing of the electrodes 260 i allows the substrate to be polished selectively at different rates across the diameter of the substrate.
  • FIG. 4A is a simplified partial sectional view of the counter electrode assembly 150 illustrating a selective electrical bias profile.
  • the substrate 108 having a first conductive surface 402 and a second conductive surface 404 is depicted in contact with the conductive pad assembly 122 .
  • the conductive surfaces 402 , 404 may be portions of a single conductive feature, or separate structures or residue of conductive material remains on the substrate from a conductive layer.
  • the first conductive surface 402 and the second conductive surface 404 are at different elevations relative to a reference surface 406 of the substrate 108 , with the first conductive surface 402 extends farther from the reference surface 406 than the second conductive surface 404 . It is contemplated that the first conductive surface 402 and/or the second conductive surface 404 may be recessed from the reference surface 406 .
  • a first voltage is applied to the electrode 260 1 while a second voltage is applied to the electrode 260 2 .
  • the first conductive surface 402 may represent residue from a layer of conductive material and that, as a result of the localized polishing, the first conductive surface 402 is removed to expose the underlying reference surface 406 . If the first voltage is less than the second voltage, resulting in a greater current density between the electrode 260 2 and the conductive pad assembly 122 , which causes the first conductive surface 402 to be polished at a faster rate than the second conductive surface 404 . Conversely, more voltage may be applied to the electrode 260 1 , which causes the second conductive surface 404 to be polished faster than the first conductive surface 402 .
  • the sensors 408 i are current sensors disposed between the electrodes 260 i and the power source 124 .
  • the sensors 408 i are current sensors disposed between the electrodes 260 i and the power source 124 .
  • current flow at each location i.e., at elements 402 , 404
  • the sensors 408 i may be voltage sensors or other sensors capable of detecting heights of the surfaces 402 , 404 to the reference plane 406 .
  • Each sensor 408 i is coupled to the controller 180 to provide feed back as to the topography of the conductive surfaces of the substrate 108 .
  • the sensors 408 i update the relative position of each conductive surface across the width of the substrate 108 .
  • the controller 180 in response to information provided by the sensors 408 i , causes the power source 124 to independently provide predetermined voltages to each of the electrode 260 i at a magnitude corresponding to a desired polishing rate that the locations of the substrate 108 disposed in contact with a particular conductive elements 172 associated with the sensors 408 i at that instant in time.
  • the bias profile of the conductive pad assembly 122 may be continually adjusted to polish by anodic dissolution faster at substrate locations having conductive topography at higher elevations relative to the reference plane 406 of the substrate, advantageously polishing conductive topography at lower elevations at a slower rate, thus improving polishing performance and minimizing dishing.
  • the power source 124 may bias the first conductive surface 402 with a polarity that results in deposition of conductive material from the electrolyte and/or electrode thereon. Deposition may occur at the first conductive surface 402 while also depositing material on the second conductive surface 404 or removing material from the second conductive surface 404 .
  • the sensors 408 i may be utilized to detect differences in the exposed area of surfaces 452 , 454 relative to the reference plane defined by the surface 406 .
  • the first conductive surface 452 being an exposed surface of a filled feature will have a current flux greater than the second conductive surface 454 that is residue from the conductive layer 450 (shown in phantom) being removed.
  • the current flux decreases ultimately to approximately zero, indicating the removal of the second conductive surface 454 (e.g., the residue) from the surface 406 .
  • a sensor 470 may be utilized to detect differences in the exposed area of surfaces 472 , 474 relative to the reference plane defined by the surface 406 .
  • the sensor 470 is configured to detect the amount of reflectivity between the surfaces 406 , 472 and 474 .
  • the sensor 470 generally generates a beam of light that passes through a window 478 formed in the polishing surface. The beam reflects off the substrate and back to the sensor 470 , wherein the intensity of the reflected beam is indicative of the composition of the substrate.
  • the first conductive surface 472 being an exposed surface of a filled feature, which is typically one of a repeating number of feature formed across the width of the substrate, will have a greater reflectivity than the second conductive surface 474 that is residue from the conductive layer 476 (shown in phantom) being removed.
  • differences in the amount of reflected light from the substrate is indicative of areas having features and residue.
  • the surface area of the second conductive surface 474 decreases, the current flux decreases ultimately to approximately zero, indicating the removal of the second conductive surface 474 from the surface 406 .
  • FIGS. 5A-C are top views of various embodiments of counter electrode assemblies having different conductive element layouts. It is contemplated that the electrodes may be configured in any number of orientations on the counter electrode assembly to facilitate control over the bias profile so that discrete portions of the substrate may be selectively polished as the substrate moves relative to the conductive pad and counter electrode assemblies.
  • FIG. 5A is a top view of one embodiment of a counter electrode assembly 500 A.
  • the counter electrode assembly 500 A includes a plurality of electrodes 504 i that are adapted to electrically drive processing of discrete conductive portions of the substrate.
  • the electrodes 504 i are arranged in a grid pattern across a top surface 502 of the counter electrode assembly 500 A and may be selectively energized with a predetermined voltage level to control the local polishing rates on the substrate.
  • FIG. 5B is a top view of one embodiment of a counter electrode assembly 500 B.
  • the counter electrode assembly 500 B includes a plurality of electrodes 514 i that are arranged in a radial pattern on a top surface 512 of the counter electrode assembly 500 B.
  • the radial pattern of electrodes 514 i may comprise concentric rings of electrodes 514 i .
  • Each ring may be configured from a single or a plurality of electrodes 514 i that may be selectively energized with a predetermined voltage level to control the local polishing rates on the substrate.
  • FIG. 5C is a top view of one embodiment of a counter electrode assembly 500 C.
  • the counter electrode assembly 500 C includes a plurality of electrodes 524 i that are arranged in a polar array on a top surface 522 of the counter electrode assembly 500 C.
  • the electrodes 524 i may be selectively energized with a predetermined voltage level to control the local polishing rates on the substrate. Other arrangements of electrodes 524 i are also contemplated.
  • FIG. 6 is another embodiment of a process cell 600 in which at least one process comprising anodic dissolution and polishing process may be practiced.
  • the process cell 600 generally includes a polishing head 602 , conductive pad assembly 606 and a basin 604 that houses a conductive pad assembly 606 , an electrode assembly 614 and a counter electrode assembly 608 .
  • the polishing head 602 and the basin 604 are generally similar to the polishing head 102 , conductive pad assembly 122 and the basin 104 described above.
  • An electrolyte delivery system 132 provides electrolyte to the basin 604 during processing.
  • the conductive pad assembly 606 and the counter electrode assembly 608 are coupled to a first power source 610 by electrical leads 612 A-B.
  • the first power source 610 applies an electrical bias between the counter electrode assembly 608 and the conductive pad assembly 606 .
  • the bias applied across the pad and counter electrode assemblies 606 , 608 typically ranges between 0 to about 5 Volts DC.
  • the electrode assembly 614 disposed between the pad assembly 606 and the counter electrode assembly 608 .
  • the electrode assembly 614 is configured to allow the electrolyte to move between the pad assembly 606 and the counter electrode assembly 608 so that the electrolyte establishes a conductive path between a substrate 630 disposed on the pad assembly 606 and the counter electrode assembly 608 .
  • the electrode assembly 614 is comprised of a plurality of independently biasable electrodes 616 i laterally insulated from each other by one or more dielectric members 618 .
  • the electrodes 616 i may be consumable or non-consumable and may be fabricated from materials similar to those identified as suitable for the counter electrodes discussed above.
  • the dielectric member 618 is typically formed from a material compatible with process chemistries and of sufficient dielectric strength to laterally isolate the electrodes 616 i at process voltages.
  • At least one of the electrodes 616 i or the dielectric member 618 is porous, perforated, permeable or otherwise configured to allow passage of the electrolyte therethrough.
  • the electrodes 616 i and the dielectric member 618 may be arranged to define passages that allow the electrolyte through the electrode assembly 614 .
  • a multiple-output power source 620 is coupled respectively by leads 622 i to each of the electrodes 616 i .
  • the power source 620 allows each of the electrodes 616 i to be independently biased to control a local polishing rate adjacent each electrode 616 i by increasing (or decreasing) the current flux at surface of the substrate adjacent the respective electrode 616 i .
  • FIG. 7 is a simplified partial electrical schematic of the process cell 600 .
  • the substrate 630 is shown having a first conductive feature 702 and a second conductive feature 704 .
  • the conductive features 702 , 704 are electrically coupled to the first power source 610 by the conductive pad assembly 606 (not shown in FIG. 7 ) and biased relative to the counter electrode assembly 608 .
  • a first conductive path 710 1 is defined through the electrolyte disposed between the first conductive feature 702 and the counter electrode assembly 608 .
  • the first conductive path 710 1 is comprised of two circuit branches 706 1 , 708 1 .
  • the amount of current flowing through the first branch 706 1 of the first conductive path 710 1 is controlled in part by the potential applied by the first power source 610 .
  • the current flowing through the first branch 706 1 of the first conductive path 710 1 is regulated in response to a voltage applied by the second power source 620 to the first electrode 616 1 that is disposed between the first conductive feature 702 and the counter electrode assembly 608 (the electrodes are shown offset for clarity of the schematic of FIG. 7 ).
  • the amount of current flowing between the first conductive feature 702 and the counter electrode assembly 608 through the first branch 706 1 decreases, thus slowing the rate of material removal from the first conductive feature 702 .
  • the bias of the reference electrode 616 1 becomes more disparate compared to the potential of the first conductive feature 702 relative to the counter electrode assembly 806 , the amount of current flowing between the first conductive feature 702 and the counter electrode assembly 608 through the first branch 706 1 increase, thus increasing the rate of material removal from the first conductive feature 702 .
  • a second conductive path 710 2 is similarly configured having of a first circuit branch 706 2 and a second circuit branch 708 2 .
  • the amount of current flowing through the first branch 706 2 of the second conductive path 710 2 is controlled in part by the potential applied by the first power source 610 .
  • the current flowing through the second branch 706 2 of the second conductive path 710 2 is regulated in response to a voltage applied to the second electrode 616 2 by the second power source 620 .
  • the second power source 620 independently controls the voltage to each electrode 616 i
  • the current flowing through the first branch 706 i of each conductive path 710 i may be tailored to independently control the relative rate of material removal from each conductive feature disposed across the width of the substrate 630 .
  • FIG. 8 is another embodiment of a process cell 800 for processing a substrate 814 configured similar to the process cell 600 described above, except that the process cell 800 includes a counter electrode assembly 802 and a plurality of electrodes 804 i coupled to a power source 806 .
  • the power source 806 is potentiostat, such as those available from Princeton Applied Research, that allows each of the electrodes 804 i to be independently biased relative to counter electrode assembly 802 .
  • the power source 806 may apply a potential to the electrodes 804 i that controls the local current flow along each of the conductive paths formed between the conductive features of the substrate and the counter electrode assembly 802 , thereby allowing control of the polishing rate across the diameter of the substrate.
  • sensors may be utilized as described above to facilitate closed loop control of substrate processing.
  • FIG. 9 is a simplified partial electrical schematic of the process cell 800 .
  • the substrate 814 is shown having a first conductive feature 902 and a second conductive feature 904 .
  • the conductive features 902 , 904 are electrically coupled to the first power source 806 by the conductive pad assembly 606 (shown in FIG. 8 ) and biased relative to the counter electrode assembly 802 .
  • a first conductive path 910 1 is defined through the electrolyte disposed between the first conductive feature 902 and the first counter electrode 804 1 of the counter electrode assembly 802 .
  • the first conductive path 910 1 is comprised of two circuit branches 906 1 , 908 1 .
  • the amount of current flowing through the first branch 906 1 of the first conductive path 910 1 is controlled in part by the potential applied by the first power source 806 .
  • each counter electrode 804 i is independently controlled, the contribution to current flowing between the conductive features of the substrate 814 may be controlled across the width of the substrate.
  • the current flowing through the first branch 906 1 of the first conductive path 910 1 is further regulated in response to a voltage applied by a second multiple output power source 620 to the first electrode 616 1 as discussed above.
  • a second conductive path 910 2 is similarly configured having of a first circuit branch 906 2 and a second circuit branch 908 2 .
  • the amount of current flowing through the first branch 906 2 of the second conductive path 910 2 is further controlled in part by the potential applied by the first power source 806 .
  • the current flowing through the second branch 906 2 of the second conductive path 910 2 is regulated in response to a voltage applied to the second electrode 616 2 by the second power source 620 .
  • the second power source 620 independently controls the voltage to each electrode 616 i
  • the current flowing through the first branch 906 i of each conductive path 910 i may be further tailored to independently control the relative rate of material removal from each conductive feature disposed across the width of the substrate 814 .
  • Closed loop control of the processing is facilitated by a plurality of sensors 912 i , one of which respectively coupled between each of the counter electrodes 804 i and the first power source 806 .
  • the sensors 912 i are coupled to a controller 180 and are configured to provide a metric indicative of the relative heights between respective conductive features positioned in series with a respective sensor 912 i .
  • the controller 180 can vary the potential applied to each electrode 616 i and/or each counter electrode 804 i to control the rate of material removal across the width of the substrate 814 .
  • FIGS. 10-19F depict various embodiments of a polishing article as previously incorporated from Ser. No. 10/140,010, now U.S. Pat. No. 6,979,248.
  • FIG. 10 is a partial cross-sectional view of one embodiment of a polishing article 1005 .
  • Polishing article 1005 illustrated in FIG. 10 comprises a composite polishing article having a conductive polishing portion 1010 for polishing a substrate surface and an article support, or sub-pad, portion 1020 .
  • the conductive polishing portion 1010 may comprise a conductive polishing material including the conductive fibers and/or conductive fillers as described herein.
  • the conductive polishing portion 1010 may include a conductive material comprising conductive fibers and/or conductive fillers dispersed in a polymeric material.
  • the conductive polishing portion may include one or more loops, coils, or rings of conductive fibers, or conductive fibers interwoven to form a conductive fabric or cloth.
  • the conductive polishing portion 1010 may also be comprised of multiple layers of conductive materials, for example, multiple layers of conductive cloth or fabric.
  • One example of the conductive polishing portion 1010 includes gold coated nylon fibers and graphite particles disposed in polyurethane.
  • Another example includes graphite particles and/or carbon fibers disposed in polyurethane or silicone.
  • the article support portion 1020 generally has the same or smaller diameter or width of the conductive polishing portion 1010 . However, the invention contemplates the article support portion 1020 having a greater width or diameter than the conductive polishing portion 1010 . While the figures herein illustrate a circular conductive polishing portion 1010 and article support portion 1020 , the invention contemplates that the conductive polishing portion 1010 , the article support portion 1020 , or both may have different shapes such as rectangular surfaces or elliptical surfaces. The invention further contemplates that the conductive polishing portion 1010 , the article support portion 1020 , or both, may form a linear web or belt of material.
  • the article support portion 1020 may comprise inert materials in the polishing process and are resistant to being consumed or damaged during ECMP.
  • the article support portion may be comprised of a conventional polishing materials, including polymeric materials, for example, polyurethane and polyurethane mixed with fillers, polycarbonate, polyphenylene sulfide (PPS), ethylene-propylene-diene-methylene (EPDM), TeflonTM polymers, or combinations thereof, and other polishing materials used in polishing substrate surfaces.
  • the article support portion 1020 may be a conventional soft material, such as compressed felt fibers impregnated with urethane, for absorbing some of the pressure applied between the polishing article 1005 and the carrier head 130 during processing.
  • the soft material may have a Shore A hardness between about 20 and about 90.
  • the article support portion 1020 may be made from a conductive material compatible with surrounding electrolyte that would not detrimentally affect polishing including conductive noble metals or a conductive polymer, to provide electrical conduction across the polishing article.
  • conductive noble metals include gold, platinum, palladium, iridium, rhenium, rhodium, rhenium, ruthenium, osmium, and combinations thereof, of which gold and platinum are preferred.
  • Materials that are reactive with the surrounding electrolyte, such as copper, may be used if such materials are isolated from the surrounding electrolyte by an inert material, such as a conventional polishing material or a noble metal.
  • the article support portion 1020 When the article support portion 1020 is conductive, the article support portion 1020 may have a greater conductivity, i.e., lower resistivity, than the conductive polishing portion 1010 .
  • the conductive polishing portion 1010 may have a resistivity of about 1.0 ⁇ -cm or less as compared to an article support portion 1020 comprising platinum, which has a resistivity 9.81 ⁇ -cm at 0° C.
  • a conductive article support portion 1020 may provide for uniform bias or current to minimize conductive resistance along the surface of the article, for example, the radius of the article, during polishing for uniform anodic dissolution across the substrate surface.
  • a conductive article support portion 1020 may be coupled to a power source for transferring power to the conductive polishing portion 1010 .
  • the conductive polishing portion 1010 is adhered to the article support portion 1020 by a conventional adhesive suitable for use with polishing materials and in polishing processes.
  • the adhesive may be conductive or dielectric depending on the requirements of the process or the desires of the manufacturer.
  • the article support portion 1020 may be affixed to a support, such as disc, by an adhesive or mechanical clamp.
  • polishing article 1005 only includes a conductive polishing portion 1010
  • the conductive polishing portion may be affixed to a support, such as disc, by an adhesive or mechanical clamp.
  • the conductive polishing portion 1010 and the article support portion 1020 of the polishing article 1005 are generally permeable to the electrolyte.
  • a plurality of perforations may be formed, respectively, in the conductive polishing portion 1010 and the article support portion 1020 to facilitate fluid flow therethrough.
  • the plurality of perforations allows electrolyte to flow through and contact the surface during processing.
  • the perforations may be inherently formed during manufacturing, such as between weaves in a conductive fabric or cloth, or may be formed and patterned through the materials by mechanical means.
  • the perforations may be formed partially or completely through each layer of the polishing article 1005 .
  • the perforations of the conductive polishing portion 1010 and the perforations of the article support portion 1020 may be aligned to facilitate fluid flow therethrough.
  • Examples of perforations 1050 formed in the polishing article 1005 may include apertures in the polishing article having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm).
  • the thickness of the polishing article 1005 may be between about 0.1 mm and about 5 mm.
  • perforations may be spaced between about 0.1 inches and about 1 inch from one another.
  • the polishing article 1005 may have a perforation density between about 20% and about 80% of the polishing article in order to provide sufficient mass flow of electrolyte across the polishing article surface.
  • the invention contemplates perforation densities below or above the perforation density described herein that may be used to control fluid flow therethrough.
  • a perforation density of about 50% has been observed to provide sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface.
  • Perforation density is broadly described herein as the volume of polishing article that the perforations comprise.
  • the perforation density includes the aggregate number and diameter or size of the perforations, of the surface or body of the polishing article when perforations are formed in the polishing article 1005 .
  • the perforation size and density is selected to provide uniform distribution of electrolyte through the polishing article 1005 to a substrate surface.
  • the perforation size, perforation density, and organization of the perforations of both the conductive polishing portion 1010 and the article support portion 1020 are configured and aligned to each other to provide for sufficient mass flow of electrolyte through the conductive polishing portion 1010 and the article support portion 1020 to the substrate surface.
  • Grooves may be disposed in the polishing article 1005 to promote electrolyte flow across the polishing article 1005 to provide effective or uniform electrolyte flow with the substrate surface for anodic dissolution or electroplating processes.
  • the grooves may be partially formed in a single layer or through multiple layers.
  • the invention contemplates grooves being formed in the upper layer or polishing surface that contacts the substrate surface.
  • a portion or plurality of the perforations may interconnect with the grooves.
  • the all or none of the perforations may interconnect with the grooves disposed in the polishing article 1005 .
  • grooves used to facilitate electrolyte flow include linear grooves, arcuate grooves, annular concentric grooves, radial grooves, and helical grooves among others.
  • the grooves formed in the article 1005 may have a cross-section that is square, circular, semi-circular, or any other shape that may facilitate fluid flow across the surface of the polishing article.
  • the grooves may intersect each other.
  • the grooves may be configured into patterns, such as an intersecting X-Y pattern disposed on the polishing surface or an intersecting triangular pattern formed on the polishing surface, or combinations thereof, to improve electrolyte flow over the surface of the substrate.
  • the grooves may be spaced between about 30 mils and about 300 mils apart from one another.
  • grooves formed in the polishing article have a width between about 5 mils and about 30 mils, but may vary in size as required for polishing.
  • An example of a groove pattern includes grooves of about 10 mils wide spaced about 60 mils apart from one another. Any suitable groove configuration, size, diameter, cross-sectional shape, or spacing may be used to provide the desired flow of electrolyte. Additional cross sections and groove configurations are more fully described in co-pending U.S. Patent Provisional Application Ser. No. 60/328,434, filed on Oct. 11, 2001, entitled “Method And Apparatus For Polishing Substrates”, which is incorporated herein by reference to the extent not inconsistent with the claims and disclosure herein.
  • Electrolyte transport to the surface of the substrate may be enhanced by intersecting some of the perforations with the grooves to allow electrolyte to enter through one set of perforation, be evenly distributed around the substrate surface by the grooves, used in processing a substrate, and then processing electrolyte is refreshed by additional electrolyte flowing through the perforations.
  • An example of a pad perforation and grooving is more fully described in U.S. patent application Ser. No. 10/026,854, filed Dec. 20, 2001, which is incorporated by reference to the extent not inconsistent with the aspects and claims herein.
  • FIG. 11 is a top plan view of one embodiment of a grooved polishing article.
  • a round pad 1140 of the polishing article 1005 is shown having a plurality of perforations 1146 of a sufficient size and organization to allow the flow of electrolyte to the substrate surface.
  • the perforations 1146 can be spaced between about 0.1 inches and about 1 inch from one another.
  • the perforations may be circular perforations having a diameter of between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). Further the number and shape of the perforations may vary depending upon the apparatus, processing parameters, and ECMP compositions being used.
  • Grooves 1142 are formed in the polishing surface 1148 of the polishing article 1005 therein to assist transport of fresh electrolyte from the bulk solution from basin 202 to the gap between the substrate and the polishing article.
  • the grooves 1142 may have various patterns, including a groove pattern of substantially circular concentric grooves on the polishing surface 1148 as shown in FIG. 11 , an X-Y pattern as shown in FIG. 12 and a triangular pattern as shown in FIG. 13 .
  • FIG. 12 is a top plan view of another embodiment of a polishing pad having grooves 1242 disposed in an X-Y pattern on the polishing portion 1248 of a polishing pad 1240 .
  • Perforations 1246 may be disposed at the intersections of the vertically and horizontally disposed grooves, and may also be disposed on a vertical groove, a horizontal groove, or disposed in the polishing article 1248 outside of the grooves 1242 .
  • the perforations 1246 and grooves 1242 are disposed in the inner diameter 1244 of the polishing article and the outer diameter 1250 of the polishing pad 1240 may be free of perforations and grooves and perforations.
  • FIG. 13 is another embodiment of patterned polishing article 1340 .
  • grooves may be disposed in an X-Y pattern with diagonally disposed grooves 1345 intersecting the X-Y patterned grooves 1342 .
  • the diagonal grooves 1345 may be disposed at an angle from any of the X-Y grooves 1342 , for example, between about 30° and about 60° from any of the X-Y grooves 1342 .
  • Perforations 1346 may be disposed at the intersections of the X-Y grooves 1342 , the intersections of the X-Y grooves 1342 and diagonal grooves 1345 , along any of the grooves 1342 and 1345 , or disposed in the polishing article 1348 outside of the grooves 1342 and 1345 .
  • the perforations 1346 and grooves 1342 are disposed in the inner diameter 1344 of the polishing article and the outer diameter 1350 of the polishing pad 1340 may be free of perforations and grooves.
  • groove patterns such as spiraling grooves, serpentine grooves, and turbine grooves
  • groove patterns are more fully described in co-pending U.S. Patent Provisional Application Ser. No. 60/328,434, filed on Oct. 11, 2001, entitled “Method And Apparatus For Polishing Substrates”, which is incorporated herein by reference to the extent not inconsistent with the claims and disclosure herein.
  • FIG. 14A is a top sectional view of one embodiment of a conductive cloth or fabric 1400 that may be used to form a conductive polishing portion 1010 of the polishing article 1005 .
  • the conductive cloth of fabric is composed of interwoven fibers 1410 coated with a conductive material as described herein.
  • a weave or basket-weave pattern of the interwoven fibers 1410 in the vertical 1420 and horizontal 1430 directions is illustrated in FIG. 14A .
  • the invention contemplates other form of fabrics, such as yarns, or different interwoven, web, or mesh patterns to form the conductive cloth or fabric 1400 .
  • the fibers 1410 are interwoven to provide passages 1440 in the fabric 1400 .
  • the passages 1440 allow electrolyte or fluid flow, including ions and electrolyte components, through the fabric 1400 .
  • the conductive fabric 1400 may be disposed in a polymeric binder, such as polyurethane. Conductive fillers may also be disposed in such a polymeric binder.
  • FIG. 14B is a partial cross-sectional view of the conductive cloth or fabric 1400 disposed on the article support portion 1020 of the article 1005 .
  • the conductive cloth or fabric 1400 may be disposed as one or more continuous layers over the article support portion 1020 including any perforations 1050 formed in the article support portion 1020 .
  • the cloth or fabric 1400 may be secured to the article support portion 1020 by an adhesive.
  • the fabric 1400 is adapted to allow electrolyte flow through the fibers, weaves, or passages formed in the cloth or fabric 1400 when immersed in an electrolyte solution.
  • the fabric 1400 may also be perforated to increase electrolyte flow therethrough if the passages 1440 are determined to not be sufficient to allow effective flow of electrolyte through the fabric 1400 , i.e., metal ions cannot diffuse through.
  • the fabric 1400 is typically adapted or perorated to allow flow rates of electrolyte solutions of up to about 20 gallons per minute.
  • FIG. 14C is a partial cross-sectional view of the cloth or fabric 1400 may be patterned with perforations 1450 to match the pattern of perforations 1050 in the article support portion 1020 .
  • some or all of the perforations 1450 of the conductive cloth or fabric 1400 may not be aligned with the perforations 1050 of the article support portion 1020 . Aligning or non-aligning of perforations allow the operator or manufacturer to control the volume or flow rate of electrolyte through the polishing article to contact the substrate surface.
  • An example of the fabric 1400 is an interwoven basket weave of between about 8 and about 10 fibers wide with the fiber comprising a nylon fiber coated with gold.
  • An example of the fiber is a nylon fiber, about 0.1 ⁇ m of cobalt, copper, or nickel material disposed on the nylon fiber, and about 2 ⁇ m of gold disposed on the cobalt, copper, or nickel material.
  • a conductive mesh may be used in place of the conductive cloth or fabric 1400 .
  • the conductive mesh may comprises conductive fibers, conductive fillers, or at least a portion of a conductive cloth 1400 disposed in or coated with a conductive binder.
  • the conductive binder may comprise a non-metallic conductive polymer or a composite of conductive material disposed in a polymeric compound.
  • a mixture of a conductive filler such as graphite powder, graphite flakes, graphite fibers, carbon fibers, carbon powder, carbon black, or fibers coated in a conductive material, and a polymeric material, such as polyurethane, may be used to form the conductive binder.
  • the fibers coated with a conductive material as described herein may be used as a conductive filler for use in the conductive binders.
  • carbon fibers or gold-coated nylon fibers may be used to form a conductive binder.
  • the conductive binder may also include additives if needed to assist the dispersion of conductive fillers and/or fibers, improve adhesion between polymer and fillers and/or fibers, and improve adhesion between the conductive foil and the conductive binder, as well as to improve of mechanical, thermal and electrical properties of conductive binder.
  • additives to improve adhesion include epoxies, silicones, urethanes, polyimides, or combinations thereof for improved adhesion.
  • composition of the conductive fillers and/or fibers and polymeric material may be adapted to provide specific properties, such as conductivity, abrasion properties, durability factors.
  • conductive binders comprising between about 2 wt. % and about 85 wt. % of conductive fillers may be used with the articles and processes described herein. Examples of materials that may be used as conductive fillers and conductive binders are more fully described in U.S. patent application Ser. No. 10/033,732, filed Dec. 27, 2001, which is incorporated herein by reference to the extent not inconsistent with the disclosure or claimed aspects herein.
  • the conductive binder may have a thickness of between about 1 microns and 10 millimeters, such as between about 10 microns and about 1 millimeter thick. Multiple layers of conductive binders may be applied to the conductive mesh.
  • the conductive mesh may be used in the same manner as the conductive cloth or fabric 1400 as shown in FIGS. 14B and 14C .
  • the conductive binder may be applied in multiple layers over the conductive mesh. In one aspect, the conductive binder is applied to the conductive mesh after the mesh has been perforated to protect the portion of the mesh exposed from the perforation process.
  • a conductive primer may be disposed on the conductive mesh before application of a conductive binder to improve adhesion of the conductive binder to the conductive mesh.
  • the conductive primer may be made of similar material to the conductive binder fibers with a composition modified to produce properties having a greater intermaterial adhesion than the conductive binder. Suitable conductive primer materials may have resistivities below about 100 ⁇ -cm, such as between 0.001 ⁇ -cm and about 32 ⁇ -cm.
  • a conductive foil may be used in place of the conductive cloth or fabric 1400 as shown in FIG. 14D .
  • the conductive foil generally includes a metal foil 1480 disposed in or coated with a conductive binder 1490 on the support layer 1020 .
  • Examples of material forming metal foils include metal coated fabrics, conductive metals such as copper, nickel, and cobalt, and noble metals, such as gold, platinum, palladium, iridium, rhenium, rhodium, rhenium, ruthenium, osmium, and combinations thereof, of which gold and platinum are preferred.
  • the conductive foil may also include a nonmetallic conductive foil sheet, such as a copper sheet, carbon fiber woven sheet foil.
  • the conductive foil may also include a metal coated cloth of a dielectric or conductive material, such as copper, nickel, or gold coating a cloth of nylon fibers.
  • the conductive foil may also comprise a fabric of conductive or dielectric material coated with a conductive binder material as described herein.
  • the conductive foil may also comprise a wire frame, screen or mesh of interconnecting conductive metal wires or strips, such as copper wire, which may be coated with a conductive binder material as described herein.
  • the invention contemplates the use of other material in forming the metal foil described herein.
  • a conductive binder 1490 as described herein may encapsulate the metal foil 1480 , which allows the metal foil 1480 to be conductive metals that are observed to react with the surrounding electrolyte, such as copper.
  • the conductive foil may be perforated with a plurality of perforation 1450 as described herein. While not shown, the conductive foil may be coupled to a conductive wire to power supply to bias the polishing surface.
  • the conductive binder 1490 may be as described for the conductive mesh or fabric 1400 and may be applied in multiple layers over the metal foil 1480 . In one aspect, the conductive binder 1490 is applied to the metal foil 1480 after the metal foil 1480 has been perforated to protect the portion of the metal foil 1480 exposed from the perforation process.
  • the conductive binder described herein may be disposed onto conductive fabric 1400 , foil 1480 , or mesh by casting liquid state adhesive or binder onto the fabric 1400 , foil 1480 or mesh.
  • the binder is then solidified on the fabric, foil or mesh after drying and curing.
  • Other suitable processing methods including injection mold, compression mold, lamination, autoclave, extrusion, or combinations thereof may be used to encapsulate the conductive fabric, mesh, or foil. Both thermoplastic and thermosetting binders may be used for this application.
  • Adhesion between the conductive binder and the metal foil components of the conductive foil may be enhanced by perforating the metal foil with a plurality of perforations having a diameter or width between about 0.1 ⁇ m and about 1 mm or by applying a conductive primer between the metal foil and the conductive binder.
  • the conductive primer may be of the same material as the conductive primer for the mesh described herein.
  • the conductive fibers and fillers described herein may be used to form distinct conductive elements disposed in a polishing material to form the conductive polishing article 1005 of the invention.
  • the polishing material may be a conventional polishing material or a conductive polishing material, for example, a conductive composite of conductive fillers or fibers disposed in the polymer as described herein.
  • the surface of the conductive elements may form a plane with the surface of the polishing article or may extend above a plane of the surface of the polishing article. Conductive elements may extend up to about 5 millimeters above the surface of the polishing article.
  • polishing article descriptions may include polishing articles having perforation and grooving patterns described herein and shown in FIGS. 11-13 , with configurations to the patterns to incorporate the conductive elements described herein as follows.
  • FIGS. 15A-15B depict a top and a cross-sectional schematic view of one embodiment of a polishing article 1500 having conductive elements disposed therein.
  • the polishing article 1500 generally comprises a body 1510 having a polishing surface 1520 adapted to contact the substrate while processing.
  • the body 1510 typically comprises a dielectric or polymeric material, such as a dielectric polymer material, for example, polyurethane.
  • the polishing surface 1520 has one or more openings, grooves, trenches, or depressions 1530 formed therein to at least partially receive conductive elements 1540 .
  • the conductive elements 1540 may be generally disposed to have a contact surface 1550 co-planar or extending above a plane defined by the polishing surface 1520 .
  • the contact surface 1550 is typically configured, such as by having a compliant, elastic, flexible, or pressure moldable surface, to maximize electrical contact of the conductive elements 1540 when contacting the substrate.
  • a contact pressure may be used to urge the contact surface 1550 into a position co-planar with the polishing surface 1520 .
  • the body 1510 is generally made permeable to the electrolyte by a plurality of perforations 1560 formed therein as described herein.
  • the polishing article 1500 may have a perforation density between about 20% and about 80% of the surface area of the polishing article 1510 to provide sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface.
  • the body 1510 generally comprises a dielectric material such as the conventional polishing materials described herein.
  • the depressions 1530 formed in the body 1510 are generally configured to retain the conductive elements 1540 during processing, and accordingly may vary in shape and orientation.
  • the depressions 1530 are grooves having a rectangular cross section disposed across the polishing article surface and forming an interconnecting “X” or cross pattern 1570 at the center of the polishing article 1500 .
  • the invention contemplates additional cross sections, such as inverse trapezoidal and rounded curvature where the groove contacts the substrate surface as described herein.
  • the depressions 1530 may be disposed at irregular intervals, be orientated radially, parallel, or perpendicular, and may additionally be linear, curved, concentric, involute curves, or other cross-sectional areas.
  • FIG. 15C is a top schematic view of a series of individual conductive elements 1540 radially disposed in the body 1510 , each element 1540 separated physically or electrically by a spacer 1575 .
  • the spacer 1575 may be a portion of dielectric polishing material or a dielectric interconnect for the elements, such as a plastic interconnect.
  • the spacer 1575 may be a section of the polishing article devoid of either the polishing material or conductive elements 1540 to provide an absence of physical connection between the conductive elements 1540 .
  • each conductive element 1540 may be individually connected to a power source by a conductive path 1590 , such as a wire.
  • the conductive elements 1540 disposed in the body 1510 are generally provided to produce a bulk resistivity or a bulk surface resistivity of about 20 ⁇ -cm or less. In one aspect of the polishing article, the polishing article has a resistivity of about 2 ⁇ -cm or less.
  • the conductive elements 1540 generally have mechanical properties that do not degrade under sustained electric fields and are resistant to degradation in acidic or basic electrolytes.
  • the conductive elements 1540 are retained in the depressions 1530 by press fit, clamping, adhesive, or by other methods.
  • the conductive elements 1540 are sufficiently compliant, elastic, or flexible to maintain electrical contact between the contact surface 1550 and the substrate during processing.
  • Sufficient compliant, elastic, or flexible materials for the conductive element 1540 may have an analogous hardness of about 100 or less on the Shore D Hardness scale compared to the polishing material.
  • a conductive element 1540 having an analogous hardness of about 80 or less on the Shore D Hardness scale for polymeric materials may be used.
  • a compliant material, such as flexible or bendable fibers of material, may also be used as the conductive elements 1540 .
  • the conductive elements 1540 are embedded in the polishing surface 1510 disposed on an article support or sub-pad 1515 .
  • Perforations 1560 are formed through both polishing surface 1510 and the article support 1515 around conductive elements 1540 .
  • An example of the conductive elements 1540 includes dielectric or conductive fibers coated with a conductive material or conductive fillers blended with a polymeric material, such as a polymer based adhesive, to make a conductive (and wear resistant) composite as described herein.
  • the conductive elements 1540 may also comprise conductive polymeric material or other conductive materials as described herein to improve electrically properties.
  • the conductive elements comprise a composite of a conductive epoxy and a conductive fiber comprising a nylon fiber coated with gold, such as a nylon fiber coated with about 0.1 ⁇ m of cobalt, copper, or nickel disposed on the nylon fiber, and about 2 ⁇ m of gold disposed on the a nylon fiber, and carbon or graphite fillers to improve the composite's conductivity, which is deposited in a body of polyurethane.
  • FIG. 15D is a cross-sectional schematic view of another embodiment of a polishing article 1500 having conductive elements disposed therein.
  • the conductive elements 1500 may be generally disposed to have a contact surface co-planar or extending above a plane defined by the polishing surface 1520 .
  • the conductive elements 1540 may include the conductive fabric 1400 , as described herein, disposed, encapsulated or wrapped around a conductive member 1545 . Alternatively individual conductive fibers and/or fillers may be disposed, encapsulated, or wrapped around the conductive member 1545 .
  • the conductive member 1545 may comprise a metal, such as a noble metal described herein, or other conductive materials, such as copper, suitable for use in electropolishing processes.
  • the conductive element 1540 may also comprise a composite of the fabric and a binder material as described herein with the fabric forming an outer contact portion of the conductive element 1560 and the binder typically forming an inner support structure.
  • the conductive element 1560 may also comprise a hollow tube having a rectangular cross-sectional area with the walls of the tube formed of rigid conductive fabric 1400 and a bonding agent as described herein.
  • a connector 1590 is utilized to couple the conductive elements 1540 to a power source (not shown) to electrically bias the conductive elements 1540 during processing.
  • the connector 1590 is generally a wire, tape or other conductor compatible with process fluids or having a covering or coating that protects the connector 1590 from the process fluids.
  • the connector 1590 may be coupled to the conductive elements 1540 by molding, soldering, stacking, brazing, clamping, crimping, riveting, fastening, conductive adhesive or by other methods or devices. Examples of materials that may be utilized in the connector 1590 include insulated copper, graphite, titanium, platinum, gold, aluminum, stainless steel, and HASTELOY® conductive materials among other materials.
  • Coatings disposed around the connectors 1590 may include polymers such as fluorocarbons, poly-vinyl chloride (PVC) and polyimide.
  • one connector 1590 is coupled to each conductive element 1540 at the perimeter of the polishing article 1500 .
  • the connectors 1590 may be disposed through the body 1510 of the polishing article 1500 .
  • the connector 1590 may be coupled to a conductive grid (not shown) disposed in the pockets and/or through the body 1510 that electrically couples the conductive elements 1540 .
  • FIG. 16A depicts another embodiment of a polishing material 1600 .
  • the polishing material 1600 includes a body 1602 having one or more at least partially conductive elements 1604 disposed on a polishing surface 1606 .
  • the conductive elements 1604 generally comprise a plurality of fibers, strands, and/or flexible fingers that are compliant or elastic and adapted to contact a substrate surface while processing.
  • the fibers are comprised of an at least partially conductive material, such as a fiber composed of a dielectric material coated with a conductive material as described herein.
  • the fibers may also be solid or hollow in nature to decrease or increase the amount of compliance or flexibility of the fibers.
  • the conductive elements 1604 are a plurality of conductive sub-elements 1613 coupled to a base 1609 .
  • the conductive sub-elements 1613 include the at least partially electrically conductive fibers described herein.
  • An example of the sub-elements 1613 include a nylon fiber coated with gold as described herein or carbon fiber.
  • the base 1609 also comprises an electrically conductive material and is coupled to a connector 1690 .
  • the base 1609 may also be coated by a layer of conductive material, such as copper, that dissolves from the polishing pad article during polishing, which is believed to extend the processing duration of the conductive fibers.
  • the conductive elements 1604 generally are disposed in a depression 1608 formed in the polishing surface 1606 .
  • the conductive elements 1604 may be orientated between 0 and 90 degrees relative to the polishing surface 1606 . In embodiments where the conductive elements 1604 are orientated parallel to the polishing surface 1606 , the conductive elements 1604 may partially be disposed on the polishing surface 1606 .
  • the depressions 1608 have a lower mounting portion 1610 and an upper, clearance portion 1612 .
  • the mounting portion 1610 is configured to receive the base 1609 of the conductive elements 1604 , and retain the conductive elements 1604 by press fit, clamping, adhesive, or by other methods.
  • the clearance portion 1612 is disposed where the depression 1608 intersects the polishing surface 1606 .
  • the clearance portion 1612 is generally larger in cross section than the mounting portion 1610 to allow the conductive elements 1604 to flex when contacting a substrate while polishing without being disposed between the substrate and the polishing surface 1606 .
  • FIG. 16B depicts another embodiment of a polishing article 1600 having a conducting surface 1640 and a plurality of discrete conductive elements 1620 formed thereon.
  • the conductive elements 1620 comprise fibers of dielectric material coated by a conductive material are vertically displaced from the conducting surface 1640 of the polishing article 1005 and are horizontally displaced from each other.
  • the conducting elements 1620 of the polishing article 1600 are generally orientated between 0 to 90 degrees relative to a conducting surface 1640 and can be inclined in any polar orientation relative to a line normal to the conducting surface 1640 .
  • the conductive elements 1620 may be formed across the length of the polishing pads, as shown in FIG. 16B or only may be disposed in selected areas of the polishing pad.
  • the contact height of the conductive elements 1620 above the polishing surface may be up to about 5 millimeters.
  • the diameter of the material comprising the conductive element 1620 is between about 1 mil (thousandths of an inch) and about 10 mils.
  • the height above the polishing surface and a diameter of the conductive elements 1620 may vary upon the polishing process being performed.
  • the conductive elements 1620 are sufficiently compliant or elastic to deform under a contact pressure while maintaining an electrical contact with a substrate surface with reduced or minimal scratching of the substrate surface.
  • the substrate surface may only contacts the conductive elements 1620 of the polishing article 1005 .
  • the conductive elements 1620 are positioned so as to provide an uniform current density over the surface of the polishing article 1005 .
  • the conductive elements 1620 are adhered to the conducting surface by a non-conductive, or dielectric, adhesive or binder.
  • the non-conductive adhesive may provide a dielectric coating to the conducting surface 1640 to provide an electrochemical barrier between the conducting surface 1640 and any surrounding electrolyte.
  • the conducting surface 1640 may be in the form of a round polishing pad or a linear web or belt of polishing article 1005 .
  • a series of perforations (not shown) may be disposed in the conducting surface 1640 for provided flow of electrolyte therethrough.
  • the conductive plate may be disposed on a support pad of conventional polishing material for positioning and handling of the polishing article 1600 on a rotating or linear polishing platen.
  • FIG. 17A depicts a schematic perspective view of one embodiment of a polishing article 1700 comprised of conductive element 1704 .
  • Each conductive element 1704 generally comprises a loop or ring 1706 having a first end 1708 and a second end 1710 disposed in a depression 1712 formed in the polishing surface 1724 .
  • Each conductive element 1704 may be coupled to an adjoining conductive element to form a plurality of loops 1706 extending above the polishing surface 1724 .
  • each loop 1706 is fabricated from a fiber coated by a conductive material and are coupled by a tie wire base 1714 adhered to the depression 1712 .
  • An example of the loop 1706 is a nylon fiber coated with gold.
  • the contact height of the loop 1706 above the polishing surface may be between about 0.5 millimeter and about 2 millimeters and the diameter of the material comprising the loop may be between about 1 mil (thousandths of an inch) and about 50 mils.
  • the tie wire base 1714 may be a conductive material, such as titanium, copper, platinum, or platinum coated copper.
  • the tie wire base 1714 may also be coated by a layer of conductive material, such as copper, that dissolves from the polishing pad article during polishing.
  • the use of a layer of conductive material on the tie wire base 1714 is believed to be a sacrificial layer that dissolves in preference of the underlying loop 1706 material or tie wire base 1714 material to extend the life of the conductive element 1704 .
  • the conductive elements 1704 may be orientated between 0 to 90 degrees relative to a polishing surface 1724 and can be inclined in any polar orientation relative to a line normal to the polishing surface 1724 .
  • the conductive elements 1704 are coupled to a power source by electrical connectors 1730 .
  • FIG. 17B depicts a schematic perspective view of another embodiment of a polishing article 1700 comprised of conductive element 1704 .
  • the conductive element 1704 comprises a singular coil 1705 of a wire composed of a fiber coated with a conductive material as described herein.
  • the coil 1705 is coupled to a conductive member 1707 disposed on a base 1714 .
  • the coil 1705 may be encircle the conductive member 1707 , encircle the base 1714 , or be adhered to the surface of the base 1714 .
  • the conductive bar may comprise a conductive material, such as gold, and generally comprises a conductive material that is chemically inert, such as gold or platinum, with any electrolyte used in a polishing process.
  • a layer 1709 of sacrificial material such as copper
  • the layer 1709 of sacrificial material is generally a more chemically reactive material, such as copper, than the conductive member 1707 for preferential removal of the chemically reactive material compared to the material of the conductive member 1707 and the coil 1705 , during an electropolishing aspect, or anodic dissolution aspect, of the polishing process.
  • the conductive member 1707 may be coupled to a power source by electrical connectors 1730 .
  • a biasing member may be disposed between the conductive elements and the body to provide a bias that urges the conductive elements away from the body and into contact with a substrate surface during polishing.
  • An example of a biasing member 1718 is shown in FIG. 17B .
  • the biasing member may be a resilient material or device including a compression spring, a flat spring, a coil spring, a foamed polymer such as foamed polyurethane (e.g., PORON® polymer), an elastomer, a bladder or other member or device capable of biasing the conductive element.
  • the biasing member may also be a compliant or elastic material, such as compliant foam or aired soft tube, capable of biasing the conductive element against and improve contact with the substrate surface being polished.
  • the conductive elements biased may form a plane with the surface of the polishing article or may extend above a plane of the surface of the polishing article.
  • FIG. 17C shows a schematic perspective view of another embodiment of a polishing article 1700 having a plurality of conductive elements 1704 , disposed in a radial pattern from the center of the substrate to the edge.
  • the plurality of conductive elements may be displaced from each other at intervals of 15°, 30°, 45°, 60°, and 90° degrees, or any other combinations desired.
  • the conductive elements 1704 are generally spaced to provide as uniform application of current or power for polishing of the substrate.
  • the conductive elements may be further spaced so as to not contact each other.
  • Wedge portions 1704 of a dielectric polishing material of the body 1726 may be configured to electrically isolate the conductive elements 1704 .
  • a spacer or recessed area 1760 is also formed in the polishing article to also isolate the conductive elements 1704 from each other.
  • the conductive elements 1704 may be in the form of loops as shown in FIG. 17A or vertical extending fibers as shone in FIG. 16B .
  • FIG. 17D depicts a schematic perspective view of an alternative embodiment of the conductive element 1704 of FIG. 17A .
  • the conductive element 1704 comprises a mesh or fabric of interwoven conductive fibers 1706 as described herein having a first end 1708 and a second end 1710 disposed in a depression 1712 formed in the polishing surface 1724 to form one continuous conductive surface for contact with the substrate.
  • the mesh or fabric may be of one or more layers of interwoven fibers.
  • the mesh or fabric comprising the conductive element 1704 is illustrated as a single layer in FIG. 17D .
  • the conductive element 1704 may be coupled to a conductive base 1714 and may extend above the polishing surface 1724 as shown in FIG. 17A .
  • the conductive element 1704 may be coupled to a power source by electrical connectors 1730 connected to the conductive base 1714 .
  • FIG. 17E shows a partial schematic perspective view of another embodiment of forming the conductive elements 1704 having loops 1706 formed therein and securing the conductive elements to the body 1726 of the polishing article.
  • Passages 1750 are formed in the body 1724 of the polishing article intersecting grooves 1770 for the conductive elements 1704 .
  • An insert 1755 is disposed in the passages 1750 .
  • the insert 1755 comprises a conductive material, such as gold or the same material as the conductive element 1706 .
  • Connectors 1730 may then be disposed in the passages 1750 and contacted with the insert 1755 .
  • the connectors 1730 are coupled to a power source. Ends 1775 of the conductive element 1704 may be contacted with the insert 1755 for flow of power therethrough.
  • the ends 1775 of the conductive element 1704 and the connectors 1730 are then secured to the conductive insert 1755 by dielectric inserts 1760 .
  • the invention contemplated using the passages for every loop 1706 of the conductive element 1704 , at intervals along the length of the conductive element 1704 , or only at the extreme ends of the conductive element 1704 .
  • FIGS. 18A-C are a series of schematic side views illustrating the elastic ability of the loops or rings of conductive materials described herein.
  • a polishing article 1800 comprises a polishing surface 1810 disposed on a sub-pad 1820 formed over a pad support 1830 with grooves or depressions 1840 therein.
  • a conductive element 1840 comprising a loop or ring 1850 of a dielectric material coated by a conductive material is disposed on a tie base 1855 in the depression 1870 and coupled with an electrical contact 1845 .
  • a substrate 1860 is contacted with the polishing article 1800 and moved in relative motion with the surface of the polishing article 1800 .
  • the loop 1850 compresses into the depression 1840 while maintaining electrical contact with the substrate 1860 as shown in FIG. 18B .
  • the elastic loop 1850 returns to the uncompressed shape for additional processing as shown in FIG. 18C .
  • Power may be coupled into the polishing articles 1705 described above by using a connector as described herein or a power transference device.
  • a power transference device is more fully detailed in United States Provisional patent application Ser. No. 10/033,732, filed Dec. 27, 2001, which is incorporated by reference to the extent not inconsistent with the aspects and claims herein.
  • power may be coupled to conductive elements 1840 by the use of electrical contacts 1845 comprising conductive plates or mounts disposed in the grooves or depressions 1870 formed in the polishing pad.
  • the conductive elements 1840 are mounted on plates of a metal, such as gold, which are mounted on a support, such as disc, with the polishing article 1800 .
  • the electrical contacts may be disposed on a polishing pad material between a conductive elements and a polishing pad material, for example, between the conductive element 1540 and the body 1510 as shown in FIGS. 15A and 15B .
  • the electrical contacts are then coupled to a power source by leads (not shown) as described above in FIGS. 15A-15D .
  • FIGS. 19A-19D are top and side schematic view of embodiments of a polishing article having extensions connected to a power source (not shown).
  • the power source provides the current carrying capability, i.e., the anodic bias to a substrate surface for anodic dissolution in an ECMP process.
  • the power source may be connected to the polishing article by one or more conductive contacts disposed around the conductive polishing portion and/or the article support portion of the polishing article.
  • One or more power sources may be connected to the polishing article by the one or more contacts to allow for generating variable bias or current across portion of the substrate surface.
  • one or more leads may be formed in the conductive polishing portion and/or the article support portion, which are coupled to a power source.
  • FIG. 19A is a top plan view of one embodiment of a conductive polishing pad coupled to a power source by a conductive connector.
  • the conductive polishing portion may have extensions, for example, a shoulder or individual plugs, formed in the conductive polishing portion 1910 with a greater width or diameter than the article support portion 1920 .
  • the extensions are coupled to a power source by a connector 1925 to provide electrical current to the polishing article 1705 .
  • extensions 1915 may be formed to extend parallel or laterally from the plane of the conductive polishing portion 1910 and extending beyond the diameter of the polishing support portion 1920 .
  • the pattern of the perforation and grooving are as shown in FIG. 13 .
  • FIG. 19B is a cross-section schematic view of one embodiment of a connector 1925 coupled to a power source (not shown) via a conductive pathway 1932 , such as a wire.
  • the connector comprises an electrical coupling 1934 connected to the conductive pathway 1932 and electrically coupled to the conductive polishing portion 1910 of the extension 1915 by a conductive fastener 1930 , such as a screw.
  • a bolt 1938 may be coupled to the conductive fastener 1930 securing the conductive polishing portion 1910 therebetween.
  • Spacers 1936 such as washer, may be disposed between the conductive polishing portion 1910 and the fastener 1930 and bolt 1938 .
  • the spacers 1936 may comprise a conductive material.
  • the fastener 1930 , the electrical coupling 1934 , the spacers 1936 , and the bolt 1938 may be made of a conductive material, for example, gold, platinum, titanium, aluminum, or copper. If a material that may react with the electrolyte is used, such as copper, the material may be covered in a material that is inert to reactions with the electrolyte, such as platinum. While not shown, alternative embodiments of the conductive fastener may include a conductive clamp, conductive adhesive tape, or a conductive adhesive.
  • FIG. 19C is a cross-section schematic view of one embodiment of a connector 1925 coupled to a power source (not shown) via a support 1960 , such as the upper surface of a platen or disc.
  • the connector 1925 comprises a fastener 1940 , such as a screw or bolt having sufficient length to penetrate through the conductive polishing portion 1910 of the extension 1915 to couple with the support 1960 .
  • a spacer 1942 may be disposed between the conductive polishing portion 1910 and the fastener 1940 .
  • the support is generally adapted to receive the fastener 1940 .
  • An aperture 1246 may be formed in the surface of the support 1960 to receive the fastener as shown in FIG. 19C .
  • an electrical coupling may be disposed between the fastener 1940 and the conductive polishing portion 1910 with the fastener coupled with a support 1960 .
  • the support 1960 may be connected to a power source by a conductive pathway 1932 , such as a wire, to a power source external to a polishing platen or chamber or a power source integrated into a polishing platen or chamber to provide electrical connection with the conductive polishing portion 1910 .
  • the conductive path 1932 may be integral with the support 1960 or extend from the support 1960 as shown in FIG. 19B .
  • the fastener 1940 may be an integrated extension of the support 1960 extending through the conductive polishing portion 1915 and secured by a bolt 1248 as shown in FIG. 19D .
  • FIGS. 19E and 19F show side schematic and exploded perspective views of another embodiment of providing power to a polishing article 1970 having a power coupling 1985 disposed between a polishing portion 1980 and a article support portion 1990 .
  • the polishing portion 1980 may be made of a conductive polishing material as described herein or include a plurality of conductive elements 1975 as described herein.
  • the conductive elements 1975 may be physically isolated from one another as shown in FIG. 19F .
  • the conductive elements 1975 formed in the polishing surface are adapted to electrically contact the power coupling 1985 , such as by a conductive base of the element.
  • the power coupling 1985 may comprise a wire interconnecting elements 1975 , multiple parallel wires interconnecting elements 1975 , multiple wires independently connecting elements 1975 , or a wire mesh interconnecting elements connecting elements 1975 to one or more power sources. Independent power sources coupled to independent wires and elements may have varied power applied while interconnected wires and elements may provide uniform power to the elements.
  • the power coupling may cover a portion or all of the diameter or width of the polishing article.
  • the power coupling 1985 in FIG. 19F is an example of a wire mesh interconnecting elements connecting elements 1975 .
  • the power coupling 1985 may be connected to a power source by a conductive pathway 1987 , such as a wire, to a power source external to a polishing platen or chamber or a power source integrated into a polishing platen or chamber.
  • the invention provides a method and apparatus for local polishing and deposition control in a process cell.
  • the apparatus provides for selectively polishing discrete conductive portions of a substrate that advantageously minimizes dishing commonly associated with conventional processes. It is contemplated that the process cell may be adapted for metal deposition by reversing the bias potential while utilizing appropriate chemistries.

Abstract

A method and apparatus for local polishing and deposition control in a process cell is generally provided. In one embodiment, an apparatus for electrochemically processing a substrate is provided that selectively polishes discrete conductive portions of a substrate by controlling an electrical bias profile across a processing area, thereby controlling processing rates between two or more conductive portions of the substrate.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 10/382,032, filed Mar. 4, 2003, which is hereby incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to a method and apparatus for local polishing control in an electrochemical mechanical polishing system.
  • 2. Background of the Related Art
  • Electrochemical mechanical polishing generally removes material from a semiconductor substrate through an electrochemical/chemical or a combined electrochemical/chemical and mechanical process. In one example of an electrochemical mechanical polishing system, a substrate or wafer is retained on a substrate support in a feature side up orientation. A polishing head having a conductive polishing pad and an internal counter electrode is placed in contact with the feature side of the substrate. The polishing head and the substrate are moved relative to one another in a predefined polishing motion. An electrolytic polishing fluid is disposed on the substrate and provides a conductive path between the substrate and the counter electrode. The substrate is electrically biased through the conductive pad relative to the counter electrode to drive a dissolution reaction at the substrate's surface to polish the substrate.
  • Copper is one material that may be polished using electrochemical mechanical polishing. Typically, copper is polished utilizing a two step process. In the first step, bulk of the copper is removed, typically leaving some copper residue projecting above the substrate's surface. The copper residue is then removed in a second or over-polishing step.
  • However, the removal of copper residue may result in dishing of copper features below the plane of surrounding material, typically an oxide or other barrier layer. The amount of dishing typically is related to polishing chemistries and processing parameter utilized in the over polish step, along with the width of the copper features subjected to polishing. As the copper layer does not have a uniform thickness across the substrate, it is difficult to removes all the copper residue without causing dishing over some features while not removing all of the copper residue over others. Thus, it would be advantageous if some areas of copper may be selectively polished while not polishing other areas to yield complete copper residue removal and minimized dishing.
  • Therefore, there is a need for a method and apparatus for local polishing control in an electrochemical mechanical polishing system.
  • SUMMARY OF THE INVENTION
  • A method and apparatus for local polishing control in a process cell is generally provided. In one aspect of the invention, an apparatus for electrochemically processing a substrate is provided that selectively processes discrete conductive portions of a substrate by controlling an electrical bias profile across a processing area, thereby controlling processing rates between two or more conductive portions of the substrate.
  • In another aspect of the invention, a method for electrochemically processing a substrate is provided that includes the steps of contacting conductive features disposed on a substrate with a conductive polishing pad assembly, flowing electrolyte between the conductive features and a first counter electrode, and selectively processing discrete portions of the conductive features.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof that are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a sectional view of one embodiment of an electrochemical processing cell;
  • FIG. 2 is an exploded partial sectional view of the electrochemical processing cell of FIG. 1;
  • FIGS. 3A-3C depict various embodiments of an electrode assembly;
  • FIGS. 4A-4C are simplified partial sectional views of a conductive pad and counter electrode assemblies illustrating a selective electrical bias profile;
  • FIGS. 5A-5C are top views of various embodiments of conductive pad assemblies having different conductive element layouts;
  • FIG. 6 is a sectional view of another embodiment of an electrochemical processing cell;
  • FIG. 7 a simplified partial electrical schematic of the processing cell of FIG. 6;
  • FIG. 8 is a sectional view of another embodiment of an electrochemical processing cell;
  • FIG. 9 a simplified partial electrical schematic of the processing cell of FIG. 8;
  • FIG. 10 is a partial cross-sectional view of one embodiment of a polishing article;
  • FIG. 11 is a top plan view of one embodiment of a grooved polishing article;
  • FIG. 12 is a top plan view of another embodiment of a grooved polishing article;
  • FIG. 13 is a top plan view of another embodiment of a grooved polishing article;
  • FIG. 14A is a top view of a conductive cloth or fabric described herein;
  • FIGS. 14B and 14C are partial cross-sectional views of polishing articles having a polishing surface comprising a conductive cloth or fabric;
  • FIG. 14D are partial cross-sectional views of one embodiment of a polishing article including a metal foil;
  • FIGS. 15A and 15B are top and cross-section schematic views, respectively, of one embodiment of a polishing article having a conductive element;
  • FIGS. 15C and 15D are top and cross-section schematic views, respectively, of one embodiment of a polishing article having a conductive element;
  • FIGS. 16A and 16B are perspective views of other embodiments of a polishing article having a conductive element;
  • FIG. 17A is a partial perspective view of another embodiment of a polishing article;
  • FIG. 17B is a partial perspective view of another embodiment of a polishing article;
  • FIG. 17C is a partial perspective view of another embodiment of a polishing article;
  • FIG. 17D is a partial perspective view of another embodiment of a polishing article;
  • FIG. 17E is a partial perspective view of another embodiment of a polishing article;
  • FIGS. 18A-18C are schematic side views of one embodiment of a substrate contacting one embodiment of a polishing article described herein;
  • FIGS. 19A-19D are top and side schematic views of embodiments of a polishing article having extensions connected to a power source; and
  • FIGS. 19E and 19F show side schematic and exploded perspective views of another embodiment of providing power to a polishing article.
  • To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures.
  • DETAILED DESCRIPTION
  • The words and phrases used herein should be given their ordinary and customary meaning in the art by one skilled in the art unless otherwise further defined herein. Chemical-mechanical polishing should be broadly construed and includes, but is not limited to, abrading a substrate surface by chemical activity, mechanical activity, or a combination of both chemical and mechanical activity. Electropolishing should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical activity. Electrochemical mechanical polishing (ECMP) should be broadly construed and includes, but is not limited to, planarizing a substrate by the application of electrochemical/chemical activity, or a combination of both electrochemical/chemical and mechanical activity to remove material from a substrate surface. Electrochemical mechanical plating process (ECMPP) should be broadly construed and includes, but is not limited to, electrochemically depositing material on a substrate and concurrently planarizing the deposited material by the application of electrochemical activity, or a combination of both electrochemical and mechanical activity.
  • Anodic dissolution should be broadly construed and includes, but is not limited to, the application of an anodic bias to a substrate directly or indirectly which results in the removal of conductive material from a substrate surface and into a surrounding electrolyte solution. Aperture should be broadly construed and includes, but is not limited to, a perforation, hole, opening, groove, channel, or passage formed partially or completely through an object. Additionally, the term substantially, as used to modifying the term planar, is intended to describe a surface on a macroscopic or global level and not surface roughness.
  • FIG. 1 depicts a sectional view of one embodiment of a process cell 100 in which at least one process comprising anodic dissolution and polishing processes may be practiced. While the first embodiment of the invention is described for an electrochemical-mechanical polishing (ECMP) process that utilizes a configurable electrical bias profile for selective polishing across the surface of a substrate, the invention contemplates using the application of a configurable electrical bias profile in other fabrication processes involving electrochemical activity. Examples of such processes using electrochemical activity include electrochemical deposition, which involves the application of a bias profile to a substrate surface for selectively depositing a conductive material without the use of a conventional bias application apparatus, such as edge contacts, and electrochemical mechanical plating processes (ECMPP) that include a combination of electrochemical deposition and chemical mechanical polishing.
  • The process cell 100 generally includes a polishing head 102 and a basin 104 that houses a conductive pad assembly 122 and a counter electrode assembly 150. A substrate 108, typically having one or more conductive surfaces 140, is retained in the polishing head 102 and lowered into the basin 104 during processing in a feature-down (e.g., backside up) orientation. The conductive surfaces 140 may include any one or combination of conductive material disposed in a feature, a layer of conductive material, or residue of conductive material remains on the substrate from a conductive layer. The substrate 108 and the conductive pad assembly 122 disposed in the basin 104 are moved relative to each other to provide a polishing motion. The polishing motion generally comprises at least one motion defined by an orbital, rotary, linear or curvilinear motion, or combinations thereof, among other motions. The polishing motion may be achieved by moving either or both of the polishing heads 102 and the basin 104. The polishing head 102 may be stationary or driven to provide at least a portion of the relative motion between the basin 104 and the substrate 108 held by the polishing head 102. Alternatively, the conductive pad assembly 122 may be moved, for example like a belt, while the polishing head 102 is stationary or in motion. In the embodiment depicted in FIG. 1, the polishing head 102 is coupled to a drive system 110. The drive system 110 moves the polishing head 102 with at least one of a rotary, orbital, sweep motion or combinations thereof.
  • In one embodiment, the polishing head 102 includes a housing 114 enclosing a bladder 116. The bladder 116 may be deflated when contacting the substrate to create a vacuum therebetween, thus securing the substrate to the polishing head 102. The bladder 116 may additionally be inflated to press the substrate in contact with the conductive pad assembly 122 retained in the basin 104. A retaining ring 138 is coupled to the housing 114 and circumscribes the substrate 108 to prevent the substrate from slipping out from the polishing head 102 while processing. One polishing head that may be adapted to benefit from the invention is a TITAN HEAD™ carrier head available from Applied Materials, Inc., located in Santa Clara, Calif. Another example of a polishing head that may be adapted to benefit from the invention is described in U.S. Pat. No. 6,159,079, issued Dec. 12, 2001, which is hereby incorporated herein by reference in its entirety.
  • The basin 104 is generally fabricated from a non-conductive material that is compatible with electroplating and/or electropolishing chemistries. The basin 104 includes a bottom 144 and sidewalls 146 that define a container that houses the conductive pad assembly 122 and the electrode assembly 150. The sidewalls 146 of the basin 104 are configured to retain electrolyte that makes conductive contact with the electrode assembly 150 and the substrate held by the polishing head 102 against the conductive pad assembly 122. The sidewalls 146 include a port 118 formed therethrough to allow removal of electrolyte from the basin 104. The port 118 is coupled to a valve 120 to selectively drain or retain the electrolyte in the basin 104.
  • The basin 104 is rotationally supported above a base 106 by bearings 134. A drive system 136 is coupled to the basin 104 and rotates the basin 104 during processing. A catch basin 128 is disposed on the base 106 and circumscribes the basin 104 to collect processing fluids, such as the electrolyte, that flow out of port 118 disposed through the basin 104 during and/or after processing.
  • An electrolyte delivery system 132 is generally disposed adjacent the basin 104 and is adapted to provide electrolyte to the basin 104. The electrolyte disposed in the basin 104 creates a conductive path between the counter electrode assembly 150 and conductive pad assembly 122 through the substrate's surface when the substrate 108 is in contact with the conductive pad assembly 122. The electrolyte delivery system 132 includes a nozzle or outlet 130 coupled to an electrolyte source 142. The outlet 130 flows electrolyte or other processing fluid from the electrolyte source 142 into the basin 104. During processing, the electrolyte generally provides an electrical path for biasing the substrate 108 and driving an electro-chemical process to remove material from the substrate 108.
  • Electrolytes for copper containing material removal generally include inhibitors, chelating agents and pH adjusting agents. One electrolyte that can be used for electrochemical removal of metals from the substrate 108 is described in U.S. patent application Ser. No. 10/032,075, filed Dec. 21, 2001, which is hereby incorporated by reference in its entirety.
  • A multiple-output power source 124 is coupled to the counter electrode assembly 150 and conductive pad assembly 122 by electrical leads 112 (shown as 112Ai-B, where i is a positive integer greater than 1). The power source 124 applies an electrical bias between the counter electrode assembly 150 and the conductive pad assembly 122. The bias applied by each output of the power source 124 coupled to each of the leads 112Ai is independently controllable in magnitude, and typically may range between 0 to about 5 Volts DC. When the conductive pad assembly 122 is in contact with the substrate 108 in the presence of the electrolyte, the potential provided by the power source 124 drives an electrochemical process as described further below.
  • The leads 112 are routed through a slip ring 126 disposed below the basin 104. The slip ring 126 facilitates continuous electrical connection between the power source 124, electrode assembly 150 and the conductive pad assembly 122 as the basin 104 rotates. The leads 112 are wires, tapes or other conductors compatible with process fluids or having a covering or coating that protects the leads 112 from the process fluids. Examples of materials that may be utilized in the leads 112 include insulated graphite, titanium, platinum, gold, and HASTELOY® among other materials. Coatings disposed around the leads 112 may include polymers such as fluorocarbons, PVC, polyamide, and the like.
  • The conductive pad assembly 122 is coupled to the lead 112B that is routed (with leads 112Ai that is coupled to the counter electrode assembly 150) through the bottom 144 of the basin 104 to the power source 124. The lead 112B may by coupled to the conductive pad assembly 122 by any number of methods that facilitate good electrical connection between the conductive pad assembly 122 and the power source 124, for example, by soldering, stacking, brazing, clamping, crimping, riveting, fastening, conductive adhesive or by other methods or devices that facilitate good electrical connection between the lead 112B and the conductive pad assembly 122. Optionally, the leads 112Ai-B may be coupled to the power source 124 using a single disconnect 266 (as shown in FIG. 2), disposed in the basin 104, to further facilitate replacement of either the conductive pad assembly 122 or counter electrode assembly 150.
  • The conductive pad assembly 122 includes a top pad 170 having a plurality of conductive elements 172, and an optional sub-pad 174. The sub-pad 174 is disposed between top pad 170 and the counter electrode assembly 150.
  • A controller 180 is coupled to the processing cell 100 to facilitate control of the voltages applied between the pad assembly 122 and the counter electrode assembly 150 by the power source 124. The controller 180 typically includes a central processing unit (CPU) 182, support circuits 186 and memory 184. The CPU 182 may be one of any form of computer processor that can be used in an industrial setting for controlling various subprocessors, substrate processing and cell functions. The memory 184 is coupled to the CPU 182. The memory 184, or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 186 are coupled to the CPU 182 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • FIG. 2 depicts an exploded sectional view of one embodiment of the conductive pad assembly 122 and counter electrode assembly 150 that is removably disposed in the basin 104 of FIG. 1. The conductive pad assembly 122 depicted in FIG. 2 includes the top pad 170 coupled to the sub-pad 174. The sub-pad 174 is disposed on or coupled to the counter electrode assembly 150.
  • The top pad 170 is typically fabricated from polymeric materials compatible with process chemistry, examples of which include polyurethane, polycarbonate, fluoropolymers, PTFE, PTFA, polyphenylene sulfide (PPS), or combinations thereof, and other polishing materials used in polishing substrate surfaces. The top pad 170 may also contain fillers and/or be foamed. Exemplary conventional material includes those made from polyurethane and/or polyurethane mixed with fillers, which are commercially available from Rodel, Inc., headquartered in Phoenix, Ariz. Other conventional polishing materials, such as a layer of compressible material, may also be utilized for the top pad 170. Compressible materials include, but are not limited to, soft materials such as compressed felt fibers leached with urethane or foam. The top pad 170 is generally between about 10 to about 100 mils thick.
  • The top pad 170 has a first side 208 and a second side 210. The first side 208 is adapted to contact the substrate 108 (shown in FIG. 1) during processing. The first side 208 may include grooves, embossing or other texturing to promote polishing performance. The top pad 170 may be solid, impermeable to electrolyte, permeable to electrolyte or perforated. In the embodiment depicted in FIG. 2, the top pad 170 is perforated with a plurality of apertures 212 adapted to allow flow of electrolyte therethrough. The first side 208 additionally includes one or more slots 264 or other feature that retains the conductive elements 172 therein.
  • The conductive elements 172 may include conductive polymers, polymer composites with conductive materials, conductive metals or polymers, conductive fillers, graphitic materials, or conductive doping materials, or combinations thereof. The conductive elements 172 generally have a bulk resistivity or a bulk surface resistivity of about 10 Ω-cm or less.
  • In the embodiment depicted in FIG. 2, the conductive elements 172 A are a plurality of electrically conductive fibers, stands and/or flexible fingers, such as carbon fibers or other conductive, compliant (i.e., flexible) material that facilitate electrical contact with the substrate while processing. In an alternative embodiment, the conductive elements 172 B may be rollers, balls, rods, bars, mesh or other shape that facilitates conductive contact between the substrate disposed on the top pad 170 and the power source 124. In yet another alternative embodiment, the conductive elements 172 C may be rollers, balls, rods, bars, mesh or other shape seated in a conductive carrier 224 that facilitates conductive contact between the substrate disposed on the top pad 170 and the power source 124.
  • Other types/configurations of conductive elements that may be utilized include tubing, springs, wire, tape, brushes, bars, mesh, cylinders, balls and pins. Examples of conductive pads that may be adapted to benefit from the invention are described in U.S. Provisional Patent Application Ser. No. 60/342,281, filed Dec. 19, 2001; U.S. Provisional Patent Application Ser. No. 60/326,263, filed Oct. 1, 2001; U.S. Provisional Patent Application Ser. No. 60/286,107, filed Apr. 24, 2001; U.S. patent application Ser. No. 10/140,010, filed May 7, 2002; and U.S. patent application Ser. No. 10/033,732, filed Dec. 27, 2001, all of which are incorporated herein by reference in their entireties. The conductive elements 172 may alternatively be intermixed in the top pad 170 to form a unitary body.
  • The sub-pad 174 is coupled to the second side 210 of the top pad 170. The sub-pad 174 is typically fabricated from a material softer, or more compliant, than the material of the top pad 170. The difference in hardness or durometer between the top pad 170 and the sub-pad 174 may be chosen to produce a desired polishing/plating performance. The sub-pad 174 may also be compressive. Examples of suitable backing materials include, but are not limited to, foamed polymer, elastomers, felt, impregnated felt and plastics compatible with the polishing chemistries.
  • The sub-pad 174 has a first side 214 and a second side 216. The first side 214 is coupled to the second side 210 of the top pad 170. The sub-pad 174 typically has a thickness in the range of about 5 to about 100 mils, and in one embodiment, is about 5 mils thick. The sub-pad 174 may be solid, impermeable to electrolyte, permeable to electrolyte or perforated. In one embodiment depicted in FIG. 2, the sub-pad 174 is configured to allow electrolyte therethrough, and may be permeable, have holes formed therethrough or a combination thereof. In the embodiment depicted in FIG. 2, the sub-pad 174 is perforated with a plurality of apertures 218 adapted to allow flow of electrolyte therethrough. The apertures 218 of the sub-pad 174 typically, but not necessarily, align with the apertures 212 of the top pad 170.
  • The counter electrode assembly 150 may be solid, impermeable to electrolyte, permeable to electrolyte, or perforated. The counter electrode assembly 150 has a first side 220 and a second side 222. The first side 220 of the counter electrode assembly 150 is coupled to the second side 216 of the sub-pad 174. In the embodiment depicted in FIG. 2, the counter electrode assembly 150 is configured to allow electrolyte therethrough. The counter electrode assembly 150 may be permeable, have holes formed therethrough or a combination thereof.
  • The second side 222 of the counter electrode assembly 150 may be adhered to the bottom 144 of the basin 104 with a removable adhesive to prevent the counter electrode assembly 150 from moving during polishing while allowing the counter electrode assembly 150 to be replaced. The counter electrode assembly 150 may alternatively be clamped, fastened or secured to the basin 104 by other methods.
  • The counter electrode assembly 150 may be a singular component or element, or part of a prefabricated assembly with the conductive pad assembly 122. One example of an electrode and conductive pad assembly that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/151,538, filed May 16, 2002, which is hereby incorporated by reference in its entirety.
  • In one embodiment, the counter electrode assembly 150 is fabricated from a plurality of electrodes 260 i, spaced by one or more insulators 262. At least one of the electrode 260 i or insulators 262 is configured to allow electrolyte through the counter electrode assembly 150. The one or more insulators 262 are disposed between the electrodes 260 i to electrically isolate the electrodes 260 i from one another. The insulators 262 may be fabricated from any dielectric material suitable for use with process chemistries. The insulators 262 may be in the form of a web, egg-crate or other structure suitable for providing lateral electrical isolation between the electrodes 260 i.
  • In the embodiment depicted in FIG. 2, the electrodes 260 i are disposed in or embedded in the insulator 262. The electrodes 260 i are typically comprised of the material to be deposited or removed, such as copper, aluminum, gold, silver, tungsten and other materials which can be electrochemically deposited on the substrate 108. For electrochemical removal processes, such as anodic dissolution, the electrodes 260 i may include a electrode of a material other than the deposited material. The electrodes 260 i may range in thickness from foils to greater than 100 mils thick.
  • FIGS. 3A-C depict various embodiments of the electrodes 260 i and insulators 262. In the embodiment depicted in FIG. 3A, the electrodes 260 i are cylinders having a passage 302 disposed therethrough that allows passage of electrolyte through the counter electrode assembly 150. In the embodiment depicted in FIG. 3B, the electrodes 260 i are disposed in the insulator 262 that has a plurality of apertures 304 formed therethrough that allows passage of electrolyte through the counter electrode assembly 150. In the embodiment depicted in FIG. 3C, at least one of the electrodes 260 i or insulator 262 are at least one of perforated or permeable to electrolyte thereby allowing electrolyte through the counter electrode assembly 150 during processing.
  • Returning to FIG. 2, each of the electrodes 260 i (where i is a positive integer greater than 1, of which five are shown in FIG. 2) are coupled independently by the leads 112Ai to the power source 124, thereby allowing each electrode 260 i to be biased independently, and, when appropriate, at a different level than one of the other electrodes 260 i. For example, an electrode 260 1 may be biased to a voltage level greater than an electrode 260 2. The independent biasing of the electrodes 260 i allows the substrate to be polished selectively at different rates across the diameter of the substrate.
  • FIG. 4A is a simplified partial sectional view of the counter electrode assembly 150 illustrating a selective electrical bias profile. The substrate 108 having a first conductive surface 402 and a second conductive surface 404 is depicted in contact with the conductive pad assembly 122. The conductive surfaces 402, 404 may be portions of a single conductive feature, or separate structures or residue of conductive material remains on the substrate from a conductive layer. In the embodiment depicted in FIG. 4A, the first conductive surface 402 and the second conductive surface 404 are at different elevations relative to a reference surface 406 of the substrate 108, with the first conductive surface 402 extends farther from the reference surface 406 than the second conductive surface 404. It is contemplated that the first conductive surface 402 and/or the second conductive surface 404 may be recessed from the reference surface 406.
  • In order to polish the first conductive surface 402 and the second conductive surface 404 to a common plane (typically defined by the reference surface 406), a first voltage is applied to the electrode 260 1 while a second voltage is applied to the electrode 260 2. It is contemplated that the first conductive surface 402 may represent residue from a layer of conductive material and that, as a result of the localized polishing, the first conductive surface 402 is removed to expose the underlying reference surface 406. If the first voltage is less than the second voltage, resulting in a greater current density between the electrode 260 2 and the conductive pad assembly 122, which causes the first conductive surface 402 to be polished at a faster rate than the second conductive surface 404. Conversely, more voltage may be applied to the electrode 260 1, which causes the second conductive surface 404 to be polished faster than the first conductive surface 402.
  • Control of the polishing rates is facilitated by a plurality of sensors 408 i that detect the desirability to polish the surfaces 402, 404 differently. In the embodiment depicted in FIG. 4A, the sensors 408 i (illustratively shown as sensor 408 1 and sensor 408 2) are current sensors disposed between the electrodes 260 i and the power source 124. As the distance between the elements 402, 404 and the electrode assembly 150 influences the current flux across that gap, current flow at each location (i.e., at elements 402, 404) is indicative of the elevation of each feature 402, 404 relative to the electrode assembly 150 and reference plane 406 of the substrate 108. Alternatively, the sensors 408 i may be voltage sensors or other sensors capable of detecting heights of the surfaces 402, 404 to the reference plane 406.
  • Each sensor 408 i is coupled to the controller 180 to provide feed back as to the topography of the conductive surfaces of the substrate 108. As the substrate 108 is moved in relation to the conductive pad assembly 122 during processing, the sensors 408 i update the relative position of each conductive surface across the width of the substrate 108. The controller 180, in response to information provided by the sensors 408 i, causes the power source 124 to independently provide predetermined voltages to each of the electrode 260 i at a magnitude corresponding to a desired polishing rate that the locations of the substrate 108 disposed in contact with a particular conductive elements 172 associated with the sensors 408 i at that instant in time. Thus, the bias profile of the conductive pad assembly 122 may be continually adjusted to polish by anodic dissolution faster at substrate locations having conductive topography at higher elevations relative to the reference plane 406 of the substrate, advantageously polishing conductive topography at lower elevations at a slower rate, thus improving polishing performance and minimizing dishing.
  • It is also contemplated, for example in embodiments where the first conductive surface 402 is recessed from the reference surface 406, the power source 124 may bias the first conductive surface 402 with a polarity that results in deposition of conductive material from the electrolyte and/or electrode thereon. Deposition may occur at the first conductive surface 402 while also depositing material on the second conductive surface 404 or removing material from the second conductive surface 404.
  • In another mode of operation depicted in FIG. 4B, the sensors 408 i may be utilized to detect differences in the exposed area of surfaces 452, 454 relative to the reference plane defined by the surface 406. For example, the first conductive surface 452 being an exposed surface of a filled feature will have a current flux greater than the second conductive surface 454 that is residue from the conductive layer 450 (shown in phantom) being removed. As the surface area of the second conductive surface 454 decreases, the current flux decreases ultimately to approximately zero, indicating the removal of the second conductive surface 454 (e.g., the residue) from the surface 406.
  • In another mode of operation depicted in FIG. 4C, a sensor 470 may be utilized to detect differences in the exposed area of surfaces 472, 474 relative to the reference plane defined by the surface 406. The sensor 470 is configured to detect the amount of reflectivity between the surfaces 406, 472 and 474. The sensor 470 generally generates a beam of light that passes through a window 478 formed in the polishing surface. The beam reflects off the substrate and back to the sensor 470, wherein the intensity of the reflected beam is indicative of the composition of the substrate. For example, the first conductive surface 472 being an exposed surface of a filled feature, which is typically one of a repeating number of feature formed across the width of the substrate, will have a greater reflectivity than the second conductive surface 474 that is residue from the conductive layer 476 (shown in phantom) being removed. Thus, differences in the amount of reflected light from the substrate is indicative of areas having features and residue. As the surface area of the second conductive surface 474 decreases, the current flux decreases ultimately to approximately zero, indicating the removal of the second conductive surface 474 from the surface 406.
  • FIGS. 5A-C are top views of various embodiments of counter electrode assemblies having different conductive element layouts. It is contemplated that the electrodes may be configured in any number of orientations on the counter electrode assembly to facilitate control over the bias profile so that discrete portions of the substrate may be selectively polished as the substrate moves relative to the conductive pad and counter electrode assemblies.
  • FIG. 5A is a top view of one embodiment of a counter electrode assembly 500A. The counter electrode assembly 500A includes a plurality of electrodes 504 i that are adapted to electrically drive processing of discrete conductive portions of the substrate. The electrodes 504 i are arranged in a grid pattern across a top surface 502 of the counter electrode assembly 500A and may be selectively energized with a predetermined voltage level to control the local polishing rates on the substrate.
  • FIG. 5B is a top view of one embodiment of a counter electrode assembly 500B. The counter electrode assembly 500B includes a plurality of electrodes 514 i that are arranged in a radial pattern on a top surface 512 of the counter electrode assembly 500B. The radial pattern of electrodes 514 i may comprise concentric rings of electrodes 514 i. Each ring may be configured from a single or a plurality of electrodes 514 i that may be selectively energized with a predetermined voltage level to control the local polishing rates on the substrate.
  • FIG. 5C is a top view of one embodiment of a counter electrode assembly 500C. The counter electrode assembly 500C includes a plurality of electrodes 524 i that are arranged in a polar array on a top surface 522 of the counter electrode assembly 500C. The electrodes 524 i may be selectively energized with a predetermined voltage level to control the local polishing rates on the substrate. Other arrangements of electrodes 524 i are also contemplated.
  • FIG. 6 is another embodiment of a process cell 600 in which at least one process comprising anodic dissolution and polishing process may be practiced. The process cell 600 generally includes a polishing head 602, conductive pad assembly 606 and a basin 604 that houses a conductive pad assembly 606, an electrode assembly 614 and a counter electrode assembly 608. The polishing head 602 and the basin 604 are generally similar to the polishing head 102, conductive pad assembly 122 and the basin 104 described above. An electrolyte delivery system 132 provides electrolyte to the basin 604 during processing.
  • The conductive pad assembly 606 and the counter electrode assembly 608 are coupled to a first power source 610 by electrical leads 612A-B. The first power source 610 applies an electrical bias between the counter electrode assembly 608 and the conductive pad assembly 606. The bias applied across the pad and counter electrode assemblies 606, 608 typically ranges between 0 to about 5 Volts DC. When the conductive pad assembly 606 is in contact with a substrate 108 in the presence of the electrolyte, the potential provided by the first power source 610 drives an electrochemical process as described further below.
  • The electrode assembly 614 disposed between the pad assembly 606 and the counter electrode assembly 608. The electrode assembly 614 is configured to allow the electrolyte to move between the pad assembly 606 and the counter electrode assembly 608 so that the electrolyte establishes a conductive path between a substrate 630 disposed on the pad assembly 606 and the counter electrode assembly 608.
  • The electrode assembly 614 is comprised of a plurality of independently biasable electrodes 616 i laterally insulated from each other by one or more dielectric members 618. The electrodes 616 i may be consumable or non-consumable and may be fabricated from materials similar to those identified as suitable for the counter electrodes discussed above. The dielectric member 618 is typically formed from a material compatible with process chemistries and of sufficient dielectric strength to laterally isolate the electrodes 616 i at process voltages.
  • At least one of the electrodes 616 i or the dielectric member 618 is porous, perforated, permeable or otherwise configured to allow passage of the electrolyte therethrough. Alternatively, the electrodes 616 i and the dielectric member 618 may be arranged to define passages that allow the electrolyte through the electrode assembly 614.
  • A multiple-output power source 620 is coupled respectively by leads 622 i to each of the electrodes 616 i. The power source 620 allows each of the electrodes 616 i to be independently biased to control a local polishing rate adjacent each electrode 616 i by increasing (or decreasing) the current flux at surface of the substrate adjacent the respective electrode 616 i.
  • FIG. 7 is a simplified partial electrical schematic of the process cell 600. The substrate 630 is shown having a first conductive feature 702 and a second conductive feature 704. The conductive features 702, 704 are electrically coupled to the first power source 610 by the conductive pad assembly 606 (not shown in FIG. 7) and biased relative to the counter electrode assembly 608.
  • A first conductive path 710 1 is defined through the electrolyte disposed between the first conductive feature 702 and the counter electrode assembly 608. The first conductive path 710 1 is comprised of two circuit branches 706 1, 708 1. The amount of current flowing through the first branch 706 1 of the first conductive path 710 1 is controlled in part by the potential applied by the first power source 610. The current flowing through the first branch 706 1 of the first conductive path 710 1 is regulated in response to a voltage applied by the second power source 620 to the first electrode 616 1 that is disposed between the first conductive feature 702 and the counter electrode assembly 608 (the electrodes are shown offset for clarity of the schematic of FIG. 7). As the electrode 616 1 become biased with a voltage of same polarity and approaching (or exceeding) the potential of the first conductive feature 702 relative to the counter electrode assembly 806, the amount of current flowing between the first conductive feature 702 and the counter electrode assembly 608 through the first branch 706 1 decreases, thus slowing the rate of material removal from the first conductive feature 702. Conversely, as the bias of the reference electrode 616 1 becomes more disparate compared to the potential of the first conductive feature 702 relative to the counter electrode assembly 806, the amount of current flowing between the first conductive feature 702 and the counter electrode assembly 608 through the first branch 706 1 increase, thus increasing the rate of material removal from the first conductive feature 702.
  • A second conductive path 710 2 is similarly configured having of a first circuit branch 706 2 and a second circuit branch 708 2. The amount of current flowing through the first branch 706 2 of the second conductive path 710 2 is controlled in part by the potential applied by the first power source 610. The current flowing through the second branch 706 2 of the second conductive path 710 2 is regulated in response to a voltage applied to the second electrode 616 2 by the second power source 620. As the second power source 620 independently controls the voltage to each electrode 616 i, the current flowing through the first branch 706 i of each conductive path 710 i may be tailored to independently control the relative rate of material removal from each conductive feature disposed across the width of the substrate 630.
  • FIG. 8 is another embodiment of a process cell 800 for processing a substrate 814 configured similar to the process cell 600 described above, except that the process cell 800 includes a counter electrode assembly 802 and a plurality of electrodes 804 i coupled to a power source 806. In one embodiment, the power source 806 is potentiostat, such as those available from Princeton Applied Research, that allows each of the electrodes 804 i to be independently biased relative to counter electrode assembly 802. Thus, the power source 806 may apply a potential to the electrodes 804 i that controls the local current flow along each of the conductive paths formed between the conductive features of the substrate and the counter electrode assembly 802, thereby allowing control of the polishing rate across the diameter of the substrate. Optionally, sensors (not shown) may be utilized as described above to facilitate closed loop control of substrate processing.
  • FIG. 9 is a simplified partial electrical schematic of the process cell 800. The substrate 814 is shown having a first conductive feature 902 and a second conductive feature 904. The conductive features 902, 904 are electrically coupled to the first power source 806 by the conductive pad assembly 606 (shown in FIG. 8) and biased relative to the counter electrode assembly 802.
  • A first conductive path 910 1 is defined through the electrolyte disposed between the first conductive feature 902 and the first counter electrode 804 1 of the counter electrode assembly 802. The first conductive path 910 1 is comprised of two circuit branches 906 1, 908 1. The amount of current flowing through the first branch 906 1 of the first conductive path 910 1 is controlled in part by the potential applied by the first power source 806. As each counter electrode 804 i is independently controlled, the contribution to current flowing between the conductive features of the substrate 814 may be controlled across the width of the substrate. The current flowing through the first branch 906 1 of the first conductive path 910 1 is further regulated in response to a voltage applied by a second multiple output power source 620 to the first electrode 616 1 as discussed above.
  • A second conductive path 910 2 is similarly configured having of a first circuit branch 906 2 and a second circuit branch 908 2. The amount of current flowing through the first branch 906 2 of the second conductive path 910 2 is further controlled in part by the potential applied by the first power source 806. The current flowing through the second branch 906 2 of the second conductive path 910 2 is regulated in response to a voltage applied to the second electrode 616 2 by the second power source 620. As the second power source 620 independently controls the voltage to each electrode 616 i, the current flowing through the first branch 906 i of each conductive path 910 i may be further tailored to independently control the relative rate of material removal from each conductive feature disposed across the width of the substrate 814.
  • Closed loop control of the processing is facilitated by a plurality of sensors 912 i, one of which respectively coupled between each of the counter electrodes 804 i and the first power source 806. The sensors 912 i are coupled to a controller 180 and are configured to provide a metric indicative of the relative heights between respective conductive features positioned in series with a respective sensor 912 i. Thus, in response to the metric provided by each of the sensors 912 i, the controller 180 can vary the potential applied to each electrode 616 i and/or each counter electrode 804 i to control the rate of material removal across the width of the substrate 814.
  • FIGS. 10-19F depict various embodiments of a polishing article as previously incorporated from Ser. No. 10/140,010, now U.S. Pat. No. 6,979,248. FIG. 10 is a partial cross-sectional view of one embodiment of a polishing article 1005. Polishing article 1005 illustrated in FIG. 10 comprises a composite polishing article having a conductive polishing portion 1010 for polishing a substrate surface and an article support, or sub-pad, portion 1020.
  • The conductive polishing portion 1010 may comprise a conductive polishing material including the conductive fibers and/or conductive fillers as described herein. For example, the conductive polishing portion 1010 may include a conductive material comprising conductive fibers and/or conductive fillers dispersed in a polymeric material. Further, the conductive polishing portion may include one or more loops, coils, or rings of conductive fibers, or conductive fibers interwoven to form a conductive fabric or cloth. The conductive polishing portion 1010 may also be comprised of multiple layers of conductive materials, for example, multiple layers of conductive cloth or fabric.
  • One example of the conductive polishing portion 1010 includes gold coated nylon fibers and graphite particles disposed in polyurethane. Another example includes graphite particles and/or carbon fibers disposed in polyurethane or silicone.
  • The article support portion 1020 generally has the same or smaller diameter or width of the conductive polishing portion 1010. However, the invention contemplates the article support portion 1020 having a greater width or diameter than the conductive polishing portion 1010. While the figures herein illustrate a circular conductive polishing portion 1010 and article support portion 1020, the invention contemplates that the conductive polishing portion 1010, the article support portion 1020, or both may have different shapes such as rectangular surfaces or elliptical surfaces. The invention further contemplates that the conductive polishing portion 1010, the article support portion 1020, or both, may form a linear web or belt of material.
  • The article support portion 1020 may comprise inert materials in the polishing process and are resistant to being consumed or damaged during ECMP. For example, the article support portion may be comprised of a conventional polishing materials, including polymeric materials, for example, polyurethane and polyurethane mixed with fillers, polycarbonate, polyphenylene sulfide (PPS), ethylene-propylene-diene-methylene (EPDM), Teflon™ polymers, or combinations thereof, and other polishing materials used in polishing substrate surfaces. The article support portion 1020 may be a conventional soft material, such as compressed felt fibers impregnated with urethane, for absorbing some of the pressure applied between the polishing article 1005 and the carrier head 130 during processing. The soft material may have a Shore A hardness between about 20 and about 90.
  • Alternatively, the article support portion 1020 may be made from a conductive material compatible with surrounding electrolyte that would not detrimentally affect polishing including conductive noble metals or a conductive polymer, to provide electrical conduction across the polishing article. Examples of noble metals include gold, platinum, palladium, iridium, rhenium, rhodium, rhenium, ruthenium, osmium, and combinations thereof, of which gold and platinum are preferred. Materials that are reactive with the surrounding electrolyte, such as copper, may be used if such materials are isolated from the surrounding electrolyte by an inert material, such as a conventional polishing material or a noble metal.
  • When the article support portion 1020 is conductive, the article support portion 1020 may have a greater conductivity, i.e., lower resistivity, than the conductive polishing portion 1010. For example, the conductive polishing portion 1010 may have a resistivity of about 1.0 Ω-cm or less as compared to an article support portion 1020 comprising platinum, which has a resistivity 9.81 Ω-cm at 0° C. A conductive article support portion 1020 may provide for uniform bias or current to minimize conductive resistance along the surface of the article, for example, the radius of the article, during polishing for uniform anodic dissolution across the substrate surface. A conductive article support portion 1020 may be coupled to a power source for transferring power to the conductive polishing portion 1010.
  • Generally, the conductive polishing portion 1010 is adhered to the article support portion 1020 by a conventional adhesive suitable for use with polishing materials and in polishing processes. The adhesive may be conductive or dielectric depending on the requirements of the process or the desires of the manufacturer. The article support portion 1020 may be affixed to a support, such as disc, by an adhesive or mechanical clamp. Alternatively, if polishing article 1005 only includes a conductive polishing portion 1010, the conductive polishing portion may be affixed to a support, such as disc, by an adhesive or mechanical clamp.
  • The conductive polishing portion 1010 and the article support portion 1020 of the polishing article 1005 are generally permeable to the electrolyte. A plurality of perforations may be formed, respectively, in the conductive polishing portion 1010 and the article support portion 1020 to facilitate fluid flow therethrough. The plurality of perforations allows electrolyte to flow through and contact the surface during processing. The perforations may be inherently formed during manufacturing, such as between weaves in a conductive fabric or cloth, or may be formed and patterned through the materials by mechanical means. The perforations may be formed partially or completely through each layer of the polishing article 1005. The perforations of the conductive polishing portion 1010 and the perforations of the article support portion 1020 may be aligned to facilitate fluid flow therethrough.
  • Examples of perforations 1050 formed in the polishing article 1005 may include apertures in the polishing article having a diameter between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). The thickness of the polishing article 1005 may be between about 0.1 mm and about 5 mm. For example, perforations may be spaced between about 0.1 inches and about 1 inch from one another.
  • The polishing article 1005 may have a perforation density between about 20% and about 80% of the polishing article in order to provide sufficient mass flow of electrolyte across the polishing article surface. However, the invention contemplates perforation densities below or above the perforation density described herein that may be used to control fluid flow therethrough. In one example, a perforation density of about 50% has been observed to provide sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface. Perforation density is broadly described herein as the volume of polishing article that the perforations comprise. The perforation density includes the aggregate number and diameter or size of the perforations, of the surface or body of the polishing article when perforations are formed in the polishing article 1005.
  • The perforation size and density is selected to provide uniform distribution of electrolyte through the polishing article 1005 to a substrate surface. Generally, the perforation size, perforation density, and organization of the perforations of both the conductive polishing portion 1010 and the article support portion 1020 are configured and aligned to each other to provide for sufficient mass flow of electrolyte through the conductive polishing portion 1010 and the article support portion 1020 to the substrate surface.
  • Grooves may be disposed in the polishing article 1005 to promote electrolyte flow across the polishing article 1005 to provide effective or uniform electrolyte flow with the substrate surface for anodic dissolution or electroplating processes. The grooves may be partially formed in a single layer or through multiple layers. The invention contemplates grooves being formed in the upper layer or polishing surface that contacts the substrate surface. To provide increased or controlled electrolyte flow to the surface of the polishing article, a portion or plurality of the perforations may interconnect with the grooves. Alternatively, the all or none of the perforations may interconnect with the grooves disposed in the polishing article 1005.
  • Examples of grooves used to facilitate electrolyte flow include linear grooves, arcuate grooves, annular concentric grooves, radial grooves, and helical grooves among others. The grooves formed in the article 1005 may have a cross-section that is square, circular, semi-circular, or any other shape that may facilitate fluid flow across the surface of the polishing article. The grooves may intersect each other. The grooves may be configured into patterns, such as an intersecting X-Y pattern disposed on the polishing surface or an intersecting triangular pattern formed on the polishing surface, or combinations thereof, to improve electrolyte flow over the surface of the substrate.
  • The grooves may be spaced between about 30 mils and about 300 mils apart from one another. Generally, grooves formed in the polishing article have a width between about 5 mils and about 30 mils, but may vary in size as required for polishing. An example of a groove pattern includes grooves of about 10 mils wide spaced about 60 mils apart from one another. Any suitable groove configuration, size, diameter, cross-sectional shape, or spacing may be used to provide the desired flow of electrolyte. Additional cross sections and groove configurations are more fully described in co-pending U.S. Patent Provisional Application Ser. No. 60/328,434, filed on Oct. 11, 2001, entitled “Method And Apparatus For Polishing Substrates”, which is incorporated herein by reference to the extent not inconsistent with the claims and disclosure herein.
  • Electrolyte transport to the surface of the substrate may be enhanced by intersecting some of the perforations with the grooves to allow electrolyte to enter through one set of perforation, be evenly distributed around the substrate surface by the grooves, used in processing a substrate, and then processing electrolyte is refreshed by additional electrolyte flowing through the perforations. An example of a pad perforation and grooving is more fully described in U.S. patent application Ser. No. 10/026,854, filed Dec. 20, 2001, which is incorporated by reference to the extent not inconsistent with the aspects and claims herein.
  • Examples of polishing articles having perforations and grooves are as follows. FIG. 11 is a top plan view of one embodiment of a grooved polishing article. A round pad 1140 of the polishing article 1005 is shown having a plurality of perforations 1146 of a sufficient size and organization to allow the flow of electrolyte to the substrate surface. The perforations 1146 can be spaced between about 0.1 inches and about 1 inch from one another. The perforations may be circular perforations having a diameter of between about 0.02 inches (0.5 millimeters) and about 0.4 inches (10 mm). Further the number and shape of the perforations may vary depending upon the apparatus, processing parameters, and ECMP compositions being used.
  • Grooves 1142 are formed in the polishing surface 1148 of the polishing article 1005 therein to assist transport of fresh electrolyte from the bulk solution from basin 202 to the gap between the substrate and the polishing article. The grooves 1142 may have various patterns, including a groove pattern of substantially circular concentric grooves on the polishing surface 1148 as shown in FIG. 11, an X-Y pattern as shown in FIG. 12 and a triangular pattern as shown in FIG. 13.
  • FIG. 12 is a top plan view of another embodiment of a polishing pad having grooves 1242 disposed in an X-Y pattern on the polishing portion 1248 of a polishing pad 1240. Perforations 1246 may be disposed at the intersections of the vertically and horizontally disposed grooves, and may also be disposed on a vertical groove, a horizontal groove, or disposed in the polishing article 1248 outside of the grooves 1242. The perforations 1246 and grooves 1242 are disposed in the inner diameter 1244 of the polishing article and the outer diameter 1250 of the polishing pad 1240 may be free of perforations and grooves and perforations.
  • FIG. 13 is another embodiment of patterned polishing article 1340. In this embodiment, grooves may be disposed in an X-Y pattern with diagonally disposed grooves 1345 intersecting the X-Y patterned grooves 1342. The diagonal grooves 1345 may be disposed at an angle from any of the X-Y grooves 1342, for example, between about 30° and about 60° from any of the X-Y grooves 1342. Perforations 1346 may be disposed at the intersections of the X-Y grooves 1342, the intersections of the X-Y grooves 1342 and diagonal grooves 1345, along any of the grooves 1342 and 1345, or disposed in the polishing article 1348 outside of the grooves 1342 and 1345. The perforations 1346 and grooves 1342 are disposed in the inner diameter 1344 of the polishing article and the outer diameter 1350 of the polishing pad 1340 may be free of perforations and grooves.
  • Additional examples of groove patterns, such as spiraling grooves, serpentine grooves, and turbine grooves, are more fully described in co-pending U.S. Patent Provisional Application Ser. No. 60/328,434, filed on Oct. 11, 2001, entitled “Method And Apparatus For Polishing Substrates”, which is incorporated herein by reference to the extent not inconsistent with the claims and disclosure herein.
  • Conductive Polishing Surfaces
  • FIG. 14A is a top sectional view of one embodiment of a conductive cloth or fabric 1400 that may be used to form a conductive polishing portion 1010 of the polishing article 1005. The conductive cloth of fabric is composed of interwoven fibers 1410 coated with a conductive material as described herein.
  • In one embodiment, a weave or basket-weave pattern of the interwoven fibers 1410 in the vertical 1420 and horizontal 1430 directions is illustrated in FIG. 14A. The invention contemplates other form of fabrics, such as yarns, or different interwoven, web, or mesh patterns to form the conductive cloth or fabric 1400. In one aspect, the fibers 1410 are interwoven to provide passages 1440 in the fabric 1400. The passages 1440 allow electrolyte or fluid flow, including ions and electrolyte components, through the fabric 1400. The conductive fabric 1400 may be disposed in a polymeric binder, such as polyurethane. Conductive fillers may also be disposed in such a polymeric binder.
  • FIG. 14B is a partial cross-sectional view of the conductive cloth or fabric 1400 disposed on the article support portion 1020 of the article 1005. The conductive cloth or fabric 1400 may be disposed as one or more continuous layers over the article support portion 1020 including any perforations 1050 formed in the article support portion 1020. The cloth or fabric 1400 may be secured to the article support portion 1020 by an adhesive. The fabric 1400 is adapted to allow electrolyte flow through the fibers, weaves, or passages formed in the cloth or fabric 1400 when immersed in an electrolyte solution.
  • Alternatively, the fabric 1400 may also be perforated to increase electrolyte flow therethrough if the passages 1440 are determined to not be sufficient to allow effective flow of electrolyte through the fabric 1400, i.e., metal ions cannot diffuse through. The fabric 1400 is typically adapted or perorated to allow flow rates of electrolyte solutions of up to about 20 gallons per minute.
  • FIG. 14C is a partial cross-sectional view of the cloth or fabric 1400 may be patterned with perforations 1450 to match the pattern of perforations 1050 in the article support portion 1020. Alternatively, some or all of the perforations 1450 of the conductive cloth or fabric 1400 may not be aligned with the perforations 1050 of the article support portion 1020. Aligning or non-aligning of perforations allow the operator or manufacturer to control the volume or flow rate of electrolyte through the polishing article to contact the substrate surface.
  • An example of the fabric 1400 is an interwoven basket weave of between about 8 and about 10 fibers wide with the fiber comprising a nylon fiber coated with gold. An example of the fiber is a nylon fiber, about 0.1 μm of cobalt, copper, or nickel material disposed on the nylon fiber, and about 2 μm of gold disposed on the cobalt, copper, or nickel material.
  • Alternatively, a conductive mesh may be used in place of the conductive cloth or fabric 1400. The conductive mesh may comprises conductive fibers, conductive fillers, or at least a portion of a conductive cloth 1400 disposed in or coated with a conductive binder. The conductive binder may comprise a non-metallic conductive polymer or a composite of conductive material disposed in a polymeric compound. A mixture of a conductive filler, such as graphite powder, graphite flakes, graphite fibers, carbon fibers, carbon powder, carbon black, or fibers coated in a conductive material, and a polymeric material, such as polyurethane, may be used to form the conductive binder. The fibers coated with a conductive material as described herein may be used as a conductive filler for use in the conductive binders. For example, carbon fibers or gold-coated nylon fibers may be used to form a conductive binder.
  • The conductive binder may also include additives if needed to assist the dispersion of conductive fillers and/or fibers, improve adhesion between polymer and fillers and/or fibers, and improve adhesion between the conductive foil and the conductive binder, as well as to improve of mechanical, thermal and electrical properties of conductive binder. Examples of additives to improve adhesion include epoxies, silicones, urethanes, polyimides, or combinations thereof for improved adhesion.
  • The composition of the conductive fillers and/or fibers and polymeric material may be adapted to provide specific properties, such as conductivity, abrasion properties, durability factors. For example conductive binders comprising between about 2 wt. % and about 85 wt. % of conductive fillers may be used with the articles and processes described herein. Examples of materials that may be used as conductive fillers and conductive binders are more fully described in U.S. patent application Ser. No. 10/033,732, filed Dec. 27, 2001, which is incorporated herein by reference to the extent not inconsistent with the disclosure or claimed aspects herein.
  • The conductive binder may have a thickness of between about 1 microns and 10 millimeters, such as between about 10 microns and about 1 millimeter thick. Multiple layers of conductive binders may be applied to the conductive mesh. The conductive mesh may be used in the same manner as the conductive cloth or fabric 1400 as shown in FIGS. 14B and 14C. The conductive binder may be applied in multiple layers over the conductive mesh. In one aspect, the conductive binder is applied to the conductive mesh after the mesh has been perforated to protect the portion of the mesh exposed from the perforation process.
  • Additionally, a conductive primer may be disposed on the conductive mesh before application of a conductive binder to improve adhesion of the conductive binder to the conductive mesh. The conductive primer may be made of similar material to the conductive binder fibers with a composition modified to produce properties having a greater intermaterial adhesion than the conductive binder. Suitable conductive primer materials may have resistivities below about 100 Ω-cm, such as between 0.001 Ω-cm and about 32 Ω-cm.
  • Alternatively, a conductive foil may be used in place of the conductive cloth or fabric 1400 as shown in FIG. 14D. The conductive foil generally includes a metal foil 1480 disposed in or coated with a conductive binder 1490 on the support layer 1020. Examples of material forming metal foils include metal coated fabrics, conductive metals such as copper, nickel, and cobalt, and noble metals, such as gold, platinum, palladium, iridium, rhenium, rhodium, rhenium, ruthenium, osmium, and combinations thereof, of which gold and platinum are preferred. The conductive foil may also include a nonmetallic conductive foil sheet, such as a copper sheet, carbon fiber woven sheet foil. The conductive foil may also include a metal coated cloth of a dielectric or conductive material, such as copper, nickel, or gold coating a cloth of nylon fibers. The conductive foil may also comprise a fabric of conductive or dielectric material coated with a conductive binder material as described herein. The conductive foil may also comprise a wire frame, screen or mesh of interconnecting conductive metal wires or strips, such as copper wire, which may be coated with a conductive binder material as described herein. The invention contemplates the use of other material in forming the metal foil described herein.
  • A conductive binder 1490 as described herein may encapsulate the metal foil 1480, which allows the metal foil 1480 to be conductive metals that are observed to react with the surrounding electrolyte, such as copper. The conductive foil may be perforated with a plurality of perforation 1450 as described herein. While not shown, the conductive foil may be coupled to a conductive wire to power supply to bias the polishing surface.
  • The conductive binder 1490 may be as described for the conductive mesh or fabric 1400 and may be applied in multiple layers over the metal foil 1480. In one aspect, the conductive binder 1490 is applied to the metal foil 1480 after the metal foil 1480 has been perforated to protect the portion of the metal foil 1480 exposed from the perforation process.
  • The conductive binder described herein may be disposed onto conductive fabric 1400, foil 1480, or mesh by casting liquid state adhesive or binder onto the fabric 1400, foil 1480 or mesh. The binder is then solidified on the fabric, foil or mesh after drying and curing. Other suitable processing methods including injection mold, compression mold, lamination, autoclave, extrusion, or combinations thereof may be used to encapsulate the conductive fabric, mesh, or foil. Both thermoplastic and thermosetting binders may be used for this application.
  • Adhesion between the conductive binder and the metal foil components of the conductive foil may be enhanced by perforating the metal foil with a plurality of perforations having a diameter or width between about 0.1 μm and about 1 mm or by applying a conductive primer between the metal foil and the conductive binder. The conductive primer may be of the same material as the conductive primer for the mesh described herein.
  • Conductive Elements in Polishing Surfaces
  • In another aspect, the conductive fibers and fillers described herein may be used to form distinct conductive elements disposed in a polishing material to form the conductive polishing article 1005 of the invention. The polishing material may be a conventional polishing material or a conductive polishing material, for example, a conductive composite of conductive fillers or fibers disposed in the polymer as described herein. The surface of the conductive elements may form a plane with the surface of the polishing article or may extend above a plane of the surface of the polishing article. Conductive elements may extend up to about 5 millimeters above the surface of the polishing article.
  • While the following illustrate the use of conductive elements having a specific structure and arrangement in the polishing material, the invention contemplates that individual conductive fibers and fillers, and materials made therefrom, such as fabrics, may also be considered conductive elements. Further, while not shown, the following polishing article descriptions may include polishing articles having perforation and grooving patterns described herein and shown in FIGS. 11-13, with configurations to the patterns to incorporate the conductive elements described herein as follows.
  • FIGS. 15A-15B depict a top and a cross-sectional schematic view of one embodiment of a polishing article 1500 having conductive elements disposed therein. The polishing article 1500 generally comprises a body 1510 having a polishing surface 1520 adapted to contact the substrate while processing. The body 1510 typically comprises a dielectric or polymeric material, such as a dielectric polymer material, for example, polyurethane.
  • The polishing surface 1520 has one or more openings, grooves, trenches, or depressions 1530 formed therein to at least partially receive conductive elements 1540. The conductive elements 1540 may be generally disposed to have a contact surface 1550 co-planar or extending above a plane defined by the polishing surface 1520. The contact surface 1550 is typically configured, such as by having a compliant, elastic, flexible, or pressure moldable surface, to maximize electrical contact of the conductive elements 1540 when contacting the substrate. During polishing, a contact pressure may be used to urge the contact surface 1550 into a position co-planar with the polishing surface 1520.
  • The body 1510 is generally made permeable to the electrolyte by a plurality of perforations 1560 formed therein as described herein. The polishing article 1500 may have a perforation density between about 20% and about 80% of the surface area of the polishing article 1510 to provide sufficient electrolyte flow to facilitate uniform anodic dissolution from the substrate surface.
  • The body 1510 generally comprises a dielectric material such as the conventional polishing materials described herein. The depressions 1530 formed in the body 1510 are generally configured to retain the conductive elements 1540 during processing, and accordingly may vary in shape and orientation. In the embodiment depicted in FIG. 15A, the depressions 1530 are grooves having a rectangular cross section disposed across the polishing article surface and forming an interconnecting “X” or cross pattern 1570 at the center of the polishing article 1500. The invention contemplates additional cross sections, such as inverse trapezoidal and rounded curvature where the groove contacts the substrate surface as described herein.
  • Alternatively, the depressions 1530 (and conductive elements 1540 disposed therein) may be disposed at irregular intervals, be orientated radially, parallel, or perpendicular, and may additionally be linear, curved, concentric, involute curves, or other cross-sectional areas.
  • FIG. 15C is a top schematic view of a series of individual conductive elements 1540 radially disposed in the body 1510, each element 1540 separated physically or electrically by a spacer 1575. The spacer 1575 may be a portion of dielectric polishing material or a dielectric interconnect for the elements, such as a plastic interconnect. Alternatively, the spacer 1575 may be a section of the polishing article devoid of either the polishing material or conductive elements 1540 to provide an absence of physical connection between the conductive elements 1540. In such a separate element configuration, each conductive element 1540 may be individually connected to a power source by a conductive path 1590, such as a wire.
  • Referring back to FIGS. 15A and 15B, the conductive elements 1540 disposed in the body 1510 are generally provided to produce a bulk resistivity or a bulk surface resistivity of about 20 Ω-cm or less. In one aspect of the polishing article, the polishing article has a resistivity of about 2 Ω-cm or less. The conductive elements 1540 generally have mechanical properties that do not degrade under sustained electric fields and are resistant to degradation in acidic or basic electrolytes. The conductive elements 1540 are retained in the depressions 1530 by press fit, clamping, adhesive, or by other methods.
  • In one embodiment, the conductive elements 1540 are sufficiently compliant, elastic, or flexible to maintain electrical contact between the contact surface 1550 and the substrate during processing. Sufficient compliant, elastic, or flexible materials for the conductive element 1540 may have an analogous hardness of about 100 or less on the Shore D Hardness scale compared to the polishing material. A conductive element 1540 having an analogous hardness of about 80 or less on the Shore D Hardness scale for polymeric materials may be used. A compliant material, such as flexible or bendable fibers of material, may also be used as the conductive elements 1540.
  • In the embodiment depicted in FIGS. 15A and 15B, the conductive elements 1540 are embedded in the polishing surface 1510 disposed on an article support or sub-pad 1515. Perforations 1560 are formed through both polishing surface 1510 and the article support 1515 around conductive elements 1540.
  • An example of the conductive elements 1540 includes dielectric or conductive fibers coated with a conductive material or conductive fillers blended with a polymeric material, such as a polymer based adhesive, to make a conductive (and wear resistant) composite as described herein. The conductive elements 1540 may also comprise conductive polymeric material or other conductive materials as described herein to improve electrically properties. For example, the conductive elements comprise a composite of a conductive epoxy and a conductive fiber comprising a nylon fiber coated with gold, such as a nylon fiber coated with about 0.1 μm of cobalt, copper, or nickel disposed on the nylon fiber, and about 2 μm of gold disposed on the a nylon fiber, and carbon or graphite fillers to improve the composite's conductivity, which is deposited in a body of polyurethane.
  • FIG. 15D is a cross-sectional schematic view of another embodiment of a polishing article 1500 having conductive elements disposed therein. The conductive elements 1500 may be generally disposed to have a contact surface co-planar or extending above a plane defined by the polishing surface 1520. The conductive elements 1540 may include the conductive fabric 1400, as described herein, disposed, encapsulated or wrapped around a conductive member 1545. Alternatively individual conductive fibers and/or fillers may be disposed, encapsulated, or wrapped around the conductive member 1545. The conductive member 1545 may comprise a metal, such as a noble metal described herein, or other conductive materials, such as copper, suitable for use in electropolishing processes. The conductive element 1540 may also comprise a composite of the fabric and a binder material as described herein with the fabric forming an outer contact portion of the conductive element 1560 and the binder typically forming an inner support structure. The conductive element 1560 may also comprise a hollow tube having a rectangular cross-sectional area with the walls of the tube formed of rigid conductive fabric 1400 and a bonding agent as described herein.
  • A connector 1590 is utilized to couple the conductive elements 1540 to a power source (not shown) to electrically bias the conductive elements 1540 during processing. The connector 1590 is generally a wire, tape or other conductor compatible with process fluids or having a covering or coating that protects the connector 1590 from the process fluids. The connector 1590 may be coupled to the conductive elements 1540 by molding, soldering, stacking, brazing, clamping, crimping, riveting, fastening, conductive adhesive or by other methods or devices. Examples of materials that may be utilized in the connector 1590 include insulated copper, graphite, titanium, platinum, gold, aluminum, stainless steel, and HASTELOY® conductive materials among other materials.
  • Coatings disposed around the connectors 1590 may include polymers such as fluorocarbons, poly-vinyl chloride (PVC) and polyimide. In the embodiment depicted in FIG. 15A, one connector 1590 is coupled to each conductive element 1540 at the perimeter of the polishing article 1500. Alternatively, the connectors 1590 may be disposed through the body 1510 of the polishing article 1500. In yet another embodiment, the connector 1590 may be coupled to a conductive grid (not shown) disposed in the pockets and/or through the body 1510 that electrically couples the conductive elements 1540.
  • FIG. 16A depicts another embodiment of a polishing material 1600. The polishing material 1600 includes a body 1602 having one or more at least partially conductive elements 1604 disposed on a polishing surface 1606. The conductive elements 1604 generally comprise a plurality of fibers, strands, and/or flexible fingers that are compliant or elastic and adapted to contact a substrate surface while processing. The fibers are comprised of an at least partially conductive material, such as a fiber composed of a dielectric material coated with a conductive material as described herein. The fibers may also be solid or hollow in nature to decrease or increase the amount of compliance or flexibility of the fibers.
  • In the embodiment depicted in FIG. 16A, the conductive elements 1604 are a plurality of conductive sub-elements 1613 coupled to a base 1609. The conductive sub-elements 1613 include the at least partially electrically conductive fibers described herein. An example of the sub-elements 1613 include a nylon fiber coated with gold as described herein or carbon fiber. The base 1609 also comprises an electrically conductive material and is coupled to a connector 1690. The base 1609 may also be coated by a layer of conductive material, such as copper, that dissolves from the polishing pad article during polishing, which is believed to extend the processing duration of the conductive fibers.
  • The conductive elements 1604 generally are disposed in a depression 1608 formed in the polishing surface 1606. The conductive elements 1604 may be orientated between 0 and 90 degrees relative to the polishing surface 1606. In embodiments where the conductive elements 1604 are orientated parallel to the polishing surface 1606, the conductive elements 1604 may partially be disposed on the polishing surface 1606.
  • The depressions 1608 have a lower mounting portion 1610 and an upper, clearance portion 1612. The mounting portion 1610 is configured to receive the base 1609 of the conductive elements 1604, and retain the conductive elements 1604 by press fit, clamping, adhesive, or by other methods. The clearance portion 1612 is disposed where the depression 1608 intersects the polishing surface 1606. The clearance portion 1612 is generally larger in cross section than the mounting portion 1610 to allow the conductive elements 1604 to flex when contacting a substrate while polishing without being disposed between the substrate and the polishing surface 1606.
  • FIG. 16B depicts another embodiment of a polishing article 1600 having a conducting surface 1640 and a plurality of discrete conductive elements 1620 formed thereon. The conductive elements 1620 comprise fibers of dielectric material coated by a conductive material are vertically displaced from the conducting surface 1640 of the polishing article 1005 and are horizontally displaced from each other. The conducting elements 1620 of the polishing article 1600 are generally orientated between 0 to 90 degrees relative to a conducting surface 1640 and can be inclined in any polar orientation relative to a line normal to the conducting surface 1640. The conductive elements 1620 may be formed across the length of the polishing pads, as shown in FIG. 16B or only may be disposed in selected areas of the polishing pad. The contact height of the conductive elements 1620 above the polishing surface may be up to about 5 millimeters. The diameter of the material comprising the conductive element 1620 is between about 1 mil (thousandths of an inch) and about 10 mils. The height above the polishing surface and a diameter of the conductive elements 1620 may vary upon the polishing process being performed.
  • The conductive elements 1620 are sufficiently compliant or elastic to deform under a contact pressure while maintaining an electrical contact with a substrate surface with reduced or minimal scratching of the substrate surface. In the embodiment shown in FIGS. 16A and 16B, the substrate surface may only contacts the conductive elements 1620 of the polishing article 1005. The conductive elements 1620 are positioned so as to provide an uniform current density over the surface of the polishing article 1005.
  • The conductive elements 1620 are adhered to the conducting surface by a non-conductive, or dielectric, adhesive or binder. The non-conductive adhesive may provide a dielectric coating to the conducting surface 1640 to provide an electrochemical barrier between the conducting surface 1640 and any surrounding electrolyte. The conducting surface 1640 may be in the form of a round polishing pad or a linear web or belt of polishing article 1005. A series of perforations (not shown) may be disposed in the conducting surface 1640 for provided flow of electrolyte therethrough.
  • While not shown, the conductive plate may be disposed on a support pad of conventional polishing material for positioning and handling of the polishing article 1600 on a rotating or linear polishing platen.
  • FIG. 17A depicts a schematic perspective view of one embodiment of a polishing article 1700 comprised of conductive element 1704. Each conductive element 1704 generally comprises a loop or ring 1706 having a first end 1708 and a second end 1710 disposed in a depression 1712 formed in the polishing surface 1724. Each conductive element 1704 may be coupled to an adjoining conductive element to form a plurality of loops 1706 extending above the polishing surface 1724.
  • In the embodiment depicted in FIG. 17A, each loop 1706 is fabricated from a fiber coated by a conductive material and are coupled by a tie wire base 1714 adhered to the depression 1712. An example of the loop 1706 is a nylon fiber coated with gold.
  • The contact height of the loop 1706 above the polishing surface may be between about 0.5 millimeter and about 2 millimeters and the diameter of the material comprising the loop may be between about 1 mil (thousandths of an inch) and about 50 mils. The tie wire base 1714 may be a conductive material, such as titanium, copper, platinum, or platinum coated copper. The tie wire base 1714 may also be coated by a layer of conductive material, such as copper, that dissolves from the polishing pad article during polishing. The use of a layer of conductive material on the tie wire base 1714 is believed to be a sacrificial layer that dissolves in preference of the underlying loop 1706 material or tie wire base 1714 material to extend the life of the conductive element 1704. The conductive elements 1704 may be orientated between 0 to 90 degrees relative to a polishing surface 1724 and can be inclined in any polar orientation relative to a line normal to the polishing surface 1724. The conductive elements 1704 are coupled to a power source by electrical connectors 1730.
  • FIG. 17B depicts a schematic perspective view of another embodiment of a polishing article 1700 comprised of conductive element 1704. The conductive element 1704 comprises a singular coil 1705 of a wire composed of a fiber coated with a conductive material as described herein. The coil 1705 is coupled to a conductive member 1707 disposed on a base 1714. The coil 1705 may be encircle the conductive member 1707, encircle the base 1714, or be adhered to the surface of the base 1714. The conductive bar may comprise a conductive material, such as gold, and generally comprises a conductive material that is chemically inert, such as gold or platinum, with any electrolyte used in a polishing process. Alternatively, a layer 1709 of sacrificial material, such as copper, is disposed on the base 1714. The layer 1709 of sacrificial material is generally a more chemically reactive material, such as copper, than the conductive member 1707 for preferential removal of the chemically reactive material compared to the material of the conductive member 1707 and the coil 1705, during an electropolishing aspect, or anodic dissolution aspect, of the polishing process. The conductive member 1707 may be coupled to a power source by electrical connectors 1730.
  • A biasing member may be disposed between the conductive elements and the body to provide a bias that urges the conductive elements away from the body and into contact with a substrate surface during polishing. An example of a biasing member 1718 is shown in FIG. 17B. However, the invention contemplates that the conductive elements shown herein, for example in FIGS. 15A-15D, 16A, 17A-17D, may use a biasing member. The biasing member may be a resilient material or device including a compression spring, a flat spring, a coil spring, a foamed polymer such as foamed polyurethane (e.g., PORON® polymer), an elastomer, a bladder or other member or device capable of biasing the conductive element. The biasing member may also be a compliant or elastic material, such as compliant foam or aired soft tube, capable of biasing the conductive element against and improve contact with the substrate surface being polished. The conductive elements biased may form a plane with the surface of the polishing article or may extend above a plane of the surface of the polishing article.
  • FIG. 17C shows a schematic perspective view of another embodiment of a polishing article 1700 having a plurality of conductive elements 1704, disposed in a radial pattern from the center of the substrate to the edge. The plurality of conductive elements may be displaced from each other at intervals of 15°, 30°, 45°, 60°, and 90° degrees, or any other combinations desired. The conductive elements 1704 are generally spaced to provide as uniform application of current or power for polishing of the substrate. The conductive elements may be further spaced so as to not contact each other. Wedge portions 1704 of a dielectric polishing material of the body 1726 may be configured to electrically isolate the conductive elements 1704. A spacer or recessed area 1760 is also formed in the polishing article to also isolate the conductive elements 1704 from each other. The conductive elements 1704 may be in the form of loops as shown in FIG. 17A or vertical extending fibers as shone in FIG. 16B.
  • FIG. 17D depicts a schematic perspective view of an alternative embodiment of the conductive element 1704 of FIG. 17A. The conductive element 1704 comprises a mesh or fabric of interwoven conductive fibers 1706 as described herein having a first end 1708 and a second end 1710 disposed in a depression 1712 formed in the polishing surface 1724 to form one continuous conductive surface for contact with the substrate. The mesh or fabric may be of one or more layers of interwoven fibers. The mesh or fabric comprising the conductive element 1704 is illustrated as a single layer in FIG. 17D. The conductive element 1704 may be coupled to a conductive base 1714 and may extend above the polishing surface 1724 as shown in FIG. 17A. The conductive element 1704 may be coupled to a power source by electrical connectors 1730 connected to the conductive base 1714.
  • FIG. 17E shows a partial schematic perspective view of another embodiment of forming the conductive elements 1704 having loops 1706 formed therein and securing the conductive elements to the body 1726 of the polishing article. Passages 1750 are formed in the body 1724 of the polishing article intersecting grooves 1770 for the conductive elements 1704. An insert 1755 is disposed in the passages 1750. The insert 1755 comprises a conductive material, such as gold or the same material as the conductive element 1706. Connectors 1730 may then be disposed in the passages 1750 and contacted with the insert 1755. The connectors 1730 are coupled to a power source. Ends 1775 of the conductive element 1704 may be contacted with the insert 1755 for flow of power therethrough. The ends 1775 of the conductive element 1704 and the connectors 1730 are then secured to the conductive insert 1755 by dielectric inserts 1760. The invention contemplated using the passages for every loop 1706 of the conductive element 1704, at intervals along the length of the conductive element 1704, or only at the extreme ends of the conductive element 1704.
  • FIGS. 18A-C are a series of schematic side views illustrating the elastic ability of the loops or rings of conductive materials described herein. A polishing article 1800 comprises a polishing surface 1810 disposed on a sub-pad 1820 formed over a pad support 1830 with grooves or depressions 1840 therein. A conductive element 1840 comprising a loop or ring 1850 of a dielectric material coated by a conductive material is disposed on a tie base 1855 in the depression 1870 and coupled with an electrical contact 1845. A substrate 1860 is contacted with the polishing article 1800 and moved in relative motion with the surface of the polishing article 1800. As the substrate contacts the conductive element 1840, the loop 1850 compresses into the depression 1840 while maintaining electrical contact with the substrate 1860 as shown in FIG. 18B. When the substrate is moved a sufficient distance to no longer contact the conductive element 1440, the elastic loop 1850 returns to the uncompressed shape for additional processing as shown in FIG. 18C.
  • Further examples of conductive polishing pads are described in United States Provisional patent application Ser. No. 10/033,732, filed Dec. 27, 2001, which is incorporated by reference to the extent not inconsistent with the aspects and claims herein.
  • Power Application
  • Power may be coupled into the polishing articles 1705 described above by using a connector as described herein or a power transference device. A power transference device is more fully detailed in United States Provisional patent application Ser. No. 10/033,732, filed Dec. 27, 2001, which is incorporated by reference to the extent not inconsistent with the aspects and claims herein.
  • Referring back to FIGS. 18A-18C, power may be coupled to conductive elements 1840 by the use of electrical contacts 1845 comprising conductive plates or mounts disposed in the grooves or depressions 1870 formed in the polishing pad. In the embodiment shown in FIG. 18A, the conductive elements 1840 are mounted on plates of a metal, such as gold, which are mounted on a support, such as disc, with the polishing article 1800. Alternatively, the electrical contacts may be disposed on a polishing pad material between a conductive elements and a polishing pad material, for example, between the conductive element 1540 and the body 1510 as shown in FIGS. 15A and 15B. The electrical contacts are then coupled to a power source by leads (not shown) as described above in FIGS. 15A-15D.
  • FIGS. 19A-19D are top and side schematic view of embodiments of a polishing article having extensions connected to a power source (not shown). The power source provides the current carrying capability, i.e., the anodic bias to a substrate surface for anodic dissolution in an ECMP process. The power source may be connected to the polishing article by one or more conductive contacts disposed around the conductive polishing portion and/or the article support portion of the polishing article. One or more power sources may be connected to the polishing article by the one or more contacts to allow for generating variable bias or current across portion of the substrate surface. Alternatively, one or more leads may be formed in the conductive polishing portion and/or the article support portion, which are coupled to a power source.
  • FIG. 19A is a top plan view of one embodiment of a conductive polishing pad coupled to a power source by a conductive connector. The conductive polishing portion may have extensions, for example, a shoulder or individual plugs, formed in the conductive polishing portion 1910 with a greater width or diameter than the article support portion 1920. The extensions are coupled to a power source by a connector 1925 to provide electrical current to the polishing article 1705. In FIG. 19B, extensions 1915 may be formed to extend parallel or laterally from the plane of the conductive polishing portion 1910 and extending beyond the diameter of the polishing support portion 1920. The pattern of the perforation and grooving are as shown in FIG. 13.
  • FIG. 19B is a cross-section schematic view of one embodiment of a connector 1925 coupled to a power source (not shown) via a conductive pathway 1932, such as a wire. The connector comprises an electrical coupling 1934 connected to the conductive pathway 1932 and electrically coupled to the conductive polishing portion 1910 of the extension 1915 by a conductive fastener 1930, such as a screw. A bolt 1938 may be coupled to the conductive fastener 1930 securing the conductive polishing portion 1910 therebetween. Spacers 1936, such as washer, may be disposed between the conductive polishing portion 1910 and the fastener 1930 and bolt 1938. The spacers 1936 may comprise a conductive material. The fastener 1930, the electrical coupling 1934, the spacers 1936, and the bolt 1938 may be made of a conductive material, for example, gold, platinum, titanium, aluminum, or copper. If a material that may react with the electrolyte is used, such as copper, the material may be covered in a material that is inert to reactions with the electrolyte, such as platinum. While not shown, alternative embodiments of the conductive fastener may include a conductive clamp, conductive adhesive tape, or a conductive adhesive.
  • FIG. 19C is a cross-section schematic view of one embodiment of a connector 1925 coupled to a power source (not shown) via a support 1960, such as the upper surface of a platen or disc. The connector 1925 comprises a fastener 1940, such as a screw or bolt having sufficient length to penetrate through the conductive polishing portion 1910 of the extension 1915 to couple with the support 1960. A spacer 1942 may be disposed between the conductive polishing portion 1910 and the fastener 1940.
  • The support is generally adapted to receive the fastener 1940. An aperture 1246 may be formed in the surface of the support 1960 to receive the fastener as shown in FIG. 19C. Alternatively, an electrical coupling may be disposed between the fastener 1940 and the conductive polishing portion 1910 with the fastener coupled with a support 1960. The support 1960 may be connected to a power source by a conductive pathway 1932, such as a wire, to a power source external to a polishing platen or chamber or a power source integrated into a polishing platen or chamber to provide electrical connection with the conductive polishing portion 1910. The conductive path 1932 may be integral with the support 1960 or extend from the support 1960 as shown in FIG. 19B.
  • In a further embodiment, the fastener 1940 may be an integrated extension of the support 1960 extending through the conductive polishing portion 1915 and secured by a bolt 1248 as shown in FIG. 19D.
  • FIGS. 19E and 19F show side schematic and exploded perspective views of another embodiment of providing power to a polishing article 1970 having a power coupling 1985 disposed between a polishing portion 1980 and a article support portion 1990. The polishing portion 1980 may be made of a conductive polishing material as described herein or include a plurality of conductive elements 1975 as described herein. The conductive elements 1975 may be physically isolated from one another as shown in FIG. 19F. The conductive elements 1975 formed in the polishing surface are adapted to electrically contact the power coupling 1985, such as by a conductive base of the element.
  • The power coupling 1985 may comprise a wire interconnecting elements 1975, multiple parallel wires interconnecting elements 1975, multiple wires independently connecting elements 1975, or a wire mesh interconnecting elements connecting elements 1975 to one or more power sources. Independent power sources coupled to independent wires and elements may have varied power applied while interconnected wires and elements may provide uniform power to the elements. The power coupling may cover a portion or all of the diameter or width of the polishing article. The power coupling 1985 in FIG. 19F is an example of a wire mesh interconnecting elements connecting elements 1975. The power coupling 1985 may be connected to a power source by a conductive pathway 1987, such as a wire, to a power source external to a polishing platen or chamber or a power source integrated into a polishing platen or chamber.
  • Thus, the invention provides a method and apparatus for local polishing and deposition control in a process cell. In one embodiment, the apparatus provides for selectively polishing discrete conductive portions of a substrate that advantageously minimizes dishing commonly associated with conventional processes. It is contemplated that the process cell may be adapted for metal deposition by reversing the bias potential while utilizing appropriate chemistries.
  • While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims that follow.

Claims (17)

1. A polishing pad comprising:
one or more electrodes formed in the polishing pad at or near a polishing surface of the polishing pad; and
a plurality of counter electrodes positioned at or near apertures in the polishing pad and electrically isolated from the one or more electrodes, wherein the apertures are adapted to facilitate the flow of polishing fluid, and wherein at least two of the plurality of counter electrodes are independently electrically bias able relative to the one or more electrodes.
2. The polishing pad of claim 1, further including non-conducting elements formed in the polishing pad to provide the electrical isolation between the one or more electrodes and the plurality of counter electrodes.
3. The polishing pad of claim 1, further including a wiring network connected to the one or more electrodes and the plurality of counter electrodes.
4. The polishing pad of claim 3, further including:
an electrical connector electrically connected to the wiring network and adapted to maintain electrical contact with the wiring network when the polishing pad is in motion.
5. The polishing pad of claim 4, further including:
a power source electrically connected to the electrical connector and adapted to selectively bias the one or more electrodes and the plurality of counter electrodes through the electrical connector and the wiring network.
6. The polishing pad of claim 1, further including one or more grooves formed in the polishing surface of the polishing pad.
7. The polishing pad of claim 1, wherein the plurality of counter electrodes are concentrically arranged.
8. A method of using a chemical mechanical polishing (CMP) tool to perform electrochemical mechanical polishing (ECMP) of a substrate having metal formed thereon, the method comprising:
introducing an electrolytic polishing fluid between the substrate and a polishing surface of a polishing pad having one or more electrodes formed at or near the polishing surface and a plurality of counter electrodes positioned at or near apertures in the polishing pad so as to establish an electrical circuit between the one or more electrodes, the metal, and the plurality of counter electrodes;
electrically biasing at least one of the plurality of counter electrodes at a different voltage potential from another of the plurality of counter electrodes relative to the one or more electrodes; and
pressing the substrate against the polishing surface while moving at least one of the polishing pad and the substrate.
9. The method of claim 8, wherein moving at least one of the polishing pad and the substrate includes rotating at least one of the polishing pad and the substrate.
10. The method of claim 8, wherein the voltage potential is proportional to a removal rate of metal.
11. The method of claim 10, wherein the plurality of counter electrodes are concentrically arranged.
12. The method of claim 8, further comprising measuring at least one of current or voltage potential differences between portions of the metal formed on the substrate.
13. The method of claim 12, further comprising controlling the electrically biasing based on the measured potential differences.
14. A polishing pad, comprising:
a first counter electrode embedded in an insulator;
at least a second counter electrode embedded in the insulator; and
one or more electrodes formed in the polishing pad at or near a polishing surface of the polishing pad, wherein the polishing surface has a plurality of apertures exposing the first counter electrode and the second counter electrode, wherein the first and second counter electrodes are independently electrically bias able relative to the one or more electrodes.
15. The polishing pad of claim 14, wherein the first counter electrode belongs to a first set of counter electrodes and the second counter electrode belongs to a second set of counter electrodes.
16. The polishing pad of claim 15, wherein the first set of counter electrodes is disposed radially inward of the second set of counter electrodes.
17. The polishing pad of claim 14, wherein the first and second counter electrodes are part of a plurality of counter electrodes arranged in a polar array.
US12/941,816 2003-03-04 2010-11-08 Method and apparatus for local polishing control Abandoned US20110053465A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/941,816 US20110053465A1 (en) 2003-03-04 2010-11-08 Method and apparatus for local polishing control

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/382,032 US7842169B2 (en) 2003-03-04 2003-03-04 Method and apparatus for local polishing control
US12/941,816 US20110053465A1 (en) 2003-03-04 2010-11-08 Method and apparatus for local polishing control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/382,032 Continuation US7842169B2 (en) 2003-03-04 2003-03-04 Method and apparatus for local polishing control

Publications (1)

Publication Number Publication Date
US20110053465A1 true US20110053465A1 (en) 2011-03-03

Family

ID=32926801

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/382,032 Expired - Fee Related US7842169B2 (en) 2003-03-04 2003-03-04 Method and apparatus for local polishing control
US11/343,360 Abandoned US20060124474A1 (en) 2003-03-04 2006-01-31 Method and apparatus for local polishing control
US12/941,816 Abandoned US20110053465A1 (en) 2003-03-04 2010-11-08 Method and apparatus for local polishing control

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/382,032 Expired - Fee Related US7842169B2 (en) 2003-03-04 2003-03-04 Method and apparatus for local polishing control
US11/343,360 Abandoned US20060124474A1 (en) 2003-03-04 2006-01-31 Method and apparatus for local polishing control

Country Status (6)

Country Link
US (3) US7842169B2 (en)
JP (1) JP2006523951A (en)
KR (1) KR20050107594A (en)
CN (1) CN1771355A (en)
TW (1) TW200505631A (en)
WO (1) WO2004078411A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130137263A1 (en) * 2011-11-24 2013-05-30 National Taiwan University Of Science And Technology Electrically assisted chemical-mechanical planarization (eacmp) system and method thereof
US20170151648A1 (en) * 2015-11-30 2017-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing pad, method for manufacturing polishing pad, and polishing method

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6848977B1 (en) * 2003-08-29 2005-02-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad for electrochemical mechanical polishing
WO2005123317A1 (en) * 2004-06-11 2005-12-29 Applied Materials, Inc. Edge bead removal by an electro polishing process
US7097536B2 (en) * 2004-06-30 2006-08-29 Intel Corporation Electrically enhanced surface planarization
US7205236B2 (en) * 2004-09-28 2007-04-17 Intel Corporation Semiconductor substrate polishing methods and equipment
DE102004056158B3 (en) * 2004-11-17 2006-03-30 Siemens Ag Method for monitoring an electrochemical treatment process and electrode arrangement suitable for this method
US20070108066A1 (en) * 2005-10-28 2007-05-17 Applied Materials, Inc. Voltage mode current control
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
US7422982B2 (en) * 2006-07-07 2008-09-09 Applied Materials, Inc. Method and apparatus for electroprocessing a substrate with edge profile control
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US7993498B2 (en) * 2007-08-07 2011-08-09 International Business Machines Corporation Apparatus and method of electrolytic removal of metals from a wafer surface
CN100582314C (en) * 2007-09-10 2010-01-20 厦门致力金刚石工具有限公司 Polish-plating machine
US20090095637A1 (en) * 2007-10-10 2009-04-16 Yasushi Toma Electrochemical polishing method and polishing method
US10011917B2 (en) * 2008-11-07 2018-07-03 Lam Research Corporation Control of current density in an electroplating apparatus
CN102284754B (en) * 2011-07-29 2013-01-23 常州工学院 Electrochemical spherical composite polishing device
TWI720548B (en) * 2019-07-17 2021-03-01 逢甲大學 Method for electrochemical machining with pulse width modification and apparatus thereof
US11389923B2 (en) * 2020-03-12 2022-07-19 Bruker Nano, Inc. Chemical-mechanical polishing system with a potentiostat and pulsed-force applied to a workpiece
CN111455449A (en) * 2020-05-15 2020-07-28 申明娥 Electrolytic current density control device
CN113561003B (en) * 2021-09-26 2021-12-10 江苏芝麻工具有限公司 Integrated processing method for surface smoothness of lithium battery scissor handpiece workpiece
US20230407517A1 (en) * 2022-06-17 2023-12-21 General Electric Company Methods and systems of electrochemical machining
US20230407516A1 (en) * 2022-06-17 2023-12-21 General Electric Company Methods and systems of electrochemical machining

Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3942959A (en) * 1967-12-22 1976-03-09 Fabriksaktiebolaget Eka Multilayered flexible abrasive containing a layer of electroconductive material
US3992178A (en) * 1973-04-17 1976-11-16 Fabrika Ab Eka Flexible coated abrasive with graphite outer layer
US4839993A (en) * 1986-01-28 1989-06-20 Fujisu Limited Polishing machine for ferrule of optical fiber connector
US5061294A (en) * 1989-05-15 1991-10-29 Minnesota Mining And Manufacturing Company Abrasive article with conductive, doped, conjugated, polymer coat and method of making same
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5108463A (en) * 1989-08-21 1992-04-28 Minnesota Mining And Manufacturing Company Conductive coated abrasives
US5562529A (en) * 1992-10-08 1996-10-08 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US5637031A (en) * 1996-06-07 1997-06-10 Industrial Technology Research Institute Electrochemical simulator for chemical-mechanical polishing (CMP)
US5766446A (en) * 1996-03-05 1998-06-16 Candescent Technologies Corporation Electrochemical removal of material, particularly excess emitter material in electron-emitting device
US5766466A (en) * 1995-06-20 1998-06-16 Peterson; John Gary Sectors for rotary disc filters having flow channels that are parallel and aligned toward elongate side channels at radial sides of the sector
US5823854A (en) * 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5966151A (en) * 1994-12-27 1999-10-12 Sharp Kabushiki Kaisha Image forming apparatus
US6051116A (en) * 1995-10-17 2000-04-18 Canon Kabushiki Kaisha Etching apparatus
US6068818A (en) * 1993-11-01 2000-05-30 Nanogen, Inc. Multicomponent devices for molecular biological analysis and diagnostics
US6074284A (en) * 1997-08-25 2000-06-13 Unique Technology International Pte. Ltd. Combination electrolytic polishing and abrasive super-finishing method
US6141027A (en) * 1997-08-04 2000-10-31 Fuji Xerox Co., Ltd. Image recording method for recording a high quality image with an aqueous dye solution and accompanying apparatus
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6261433B1 (en) * 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US20010024878A1 (en) * 2000-03-27 2001-09-27 Kabushiki Kaisha Toshiba Polishing pad, polishing apparatus and polishing method
US20010027018A1 (en) * 1998-11-06 2001-10-04 Molnar Charles J. Finishing method for semiconductor wafers using a lubricating boundary layer
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6402925B2 (en) * 1998-11-03 2002-06-11 Nutool, Inc. Method and apparatus for electrochemical mechanical deposition
US6431968B1 (en) * 1999-04-22 2002-08-13 Applied Materials, Inc. Carrier head with a compressible film
US20020108861A1 (en) * 2001-02-12 2002-08-15 Ismail Emesh Method and apparatus for electrochemical planarization of a workpiece
US20020123300A1 (en) * 2001-03-01 2002-09-05 Jeremy Jones Method for manufacturing a polishing pad having a compressed translucent region
US6479962B2 (en) * 2001-03-16 2002-11-12 Hewlett-Packard Company In-device charging system and method for multi-chemistry battery systems
US6482307B2 (en) * 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US20030129927A1 (en) * 2000-08-30 2003-07-10 Whonchee Lee Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US20030209448A1 (en) * 2002-05-07 2003-11-13 Yongqi Hu Conductive polishing article for electrochemical mechanical polishing
US6666959B2 (en) * 2000-01-14 2003-12-23 Nutool, Inc. Semiconductor workpiece proximity plating methods and apparatus
US20040023610A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6739951B2 (en) * 1999-11-29 2004-05-25 Applied Materials Inc. Method and apparatus for electrochemical-mechanical planarization
US6776693B2 (en) * 2001-12-19 2004-08-17 Applied Materials Inc. Method and apparatus for face-up substrate polishing
US6802955B2 (en) * 2002-01-11 2004-10-12 Speedfam-Ipec Corporation Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3162588A (en) * 1961-04-17 1964-12-22 Hammond Machinery Builders Inc Belt type electrolytic grinding machine
US3448023A (en) * 1966-01-20 1969-06-03 Hammond Machinery Builders Inc Belt type electro-chemical (or electrolytic) grinding machine
US3873512A (en) * 1973-04-30 1975-03-25 Martin Marietta Corp Machining method
GB1539309A (en) * 1976-12-14 1979-01-31 Inoue Japax Res Electrochemical polishing
DD216803A1 (en) 1983-05-30 1984-12-19 Univ Berlin Humboldt DEVICE FOR THE COULOMETRY THICKNESS DETERMINATION OF METAL LAYERS
JPS62127492A (en) * 1985-11-26 1987-06-09 Shigeo Hoshino Electroplating method using carbon fiber
US4793895A (en) * 1988-01-25 1988-12-27 Ibm Corporation In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection
GB8801827D0 (en) 1988-01-27 1988-02-24 Jct Controls Ltd Improvements in electrochemical processes
JPH01193166A (en) * 1988-01-28 1989-08-03 Showa Denko Kk Pad for specularly grinding semiconductor wafer
US4934102A (en) * 1988-10-04 1990-06-19 International Business Machines Corporation System for mechanical planarization
CH678156A5 (en) * 1989-03-20 1991-08-15 Exnii Metallorezh Stankov
US5136817A (en) * 1990-02-28 1992-08-11 Nihon Dempa Kogyo Co., Ltd. Automatic lapping apparatus for piezoelectric materials
US5081421A (en) 1990-05-01 1992-01-14 At&T Bell Laboratories In situ monitoring technique and apparatus for chemical/mechanical planarization endpoint detection
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
MY114512A (en) * 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US5534106A (en) * 1994-07-26 1996-07-09 Kabushiki Kaisha Toshiba Apparatus for processing semiconductor wafers
US5567300A (en) * 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US6017265A (en) * 1995-06-07 2000-01-25 Rodel, Inc. Methods for using polishing pads
US5486282A (en) * 1994-11-30 1996-01-23 Ibm Corporation Electroetching process for seed layer removal in electrochemical fabrication of wafers
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US6024630A (en) * 1995-06-09 2000-02-15 Applied Materials, Inc. Fluid-pressure regulated wafer polishing head
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5804507A (en) * 1995-10-27 1998-09-08 Applied Materials, Inc. Radially oscillating carousel processing system for chemical mechanical polishing
US5575706A (en) * 1996-01-11 1996-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Chemical/mechanical planarization (CMP) apparatus and polish method
US5871392A (en) * 1996-06-13 1999-02-16 Micron Technology, Inc. Under-pad for chemical-mechanical planarization of semiconductor wafers
US6056851A (en) * 1996-06-24 2000-05-02 Taiwan Semiconductor Manufacturing Company Slurry supply system for chemical mechanical polishing
JP3354794B2 (en) 1996-06-27 2002-12-09 東芝テック株式会社 Driving method of recording head
US5846882A (en) * 1996-10-03 1998-12-08 Applied Materials, Inc. Endpoint detector for a chemical mechanical polishing system
FR2758285B3 (en) * 1997-01-13 1998-12-04 Struers As METHOD OF FIXING AN ABRASIVE OR POLISHING AGENT, IN THE FORM OF A SHEET, ON A MAGNETIC SUPPORT
US6020264A (en) * 1997-01-31 2000-02-01 International Business Machines Corporation Method and apparatus for in-line oxide thickness determination in chemical-mechanical polishing
US5938801A (en) * 1997-02-12 1999-08-17 Micron Technology, Inc. Polishing pad and a method for making a polishing pad with covalently bonded particles
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US5990010A (en) * 1997-04-08 1999-11-23 Lsi Logic Corporation Pre-conditioning polishing pads for chemical-mechanical polishing
KR100571892B1 (en) 1997-04-30 2006-04-18 미네소타 마이닝 앤드 매뉴팩춰링 캄파니 Method of Planarizing the Upper Surface of a Semiconductor Wafer
JPH10329007A (en) * 1997-05-28 1998-12-15 Sony Corp Chemical machine polishing device
JPH1142554A (en) 1997-07-25 1999-02-16 Nec Corp Polishing amount control device
US5931719A (en) * 1997-08-25 1999-08-03 Lsi Logic Corporation Method and apparatus for using pressure differentials through a polishing pad to improve performance in chemical mechanical polishing
US6103096A (en) * 1997-11-12 2000-08-15 International Business Machines Corporation Apparatus and method for the electrochemical etching of a wafer
WO1999026758A1 (en) * 1997-11-25 1999-06-03 John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US6153043A (en) * 1998-02-06 2000-11-28 International Business Machines Corporation Elimination of photo-induced electrochemical dissolution in chemical mechanical polishing
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6004880A (en) * 1998-02-20 1999-12-21 Lsi Logic Corporation Method of single step damascene process for deposition and global planarization
JP2870537B1 (en) 1998-02-26 1999-03-17 日本電気株式会社 Polishing apparatus and method for manufacturing semiconductor device using the same
AU3553599A (en) 1998-04-13 1999-11-01 Acm Research, Inc. Method and apparatus for enhancing adhesion between barrier layer and metal layer formed by plating
JP3295888B2 (en) * 1998-04-22 2002-06-24 株式会社藤森技術研究所 Polishing dresser for polishing machine of chemical machine polisher
US6210257B1 (en) * 1998-05-29 2001-04-03 Micron Technology, Inc. Web-format polishing pads and methods for manufacturing and using web-format polishing pads in mechanical and chemical-mechanical planarization of microelectronic substrates
US6447668B1 (en) 1998-07-09 2002-09-10 Acm Research, Inc. Methods and apparatus for end-point detection
US6159079A (en) * 1998-09-08 2000-12-12 Applied Materials, Inc. Carrier head for chemical mechanical polishing a substrate
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
JP2002531702A (en) 1998-11-28 2002-09-24 エーシーエム リサーチ,インコーポレイティド Method and apparatus for holding and positioning a semiconductor workpiece during electroplating and / or electropolishing of the semiconductor workpiece
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US6413388B1 (en) 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6409904B1 (en) 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
JP3047904B1 (en) 1999-02-03 2000-06-05 日本電気株式会社 Polishing equipment
US6244935B1 (en) * 1999-02-04 2001-06-12 Applied Materials, Inc. Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6217426B1 (en) * 1999-04-06 2001-04-17 Applied Materials, Inc. CMP polishing pad
US6238271B1 (en) * 1999-04-30 2001-05-29 Speed Fam-Ipec Corp. Methods and apparatus for improved polishing of workpieces
US6156124A (en) * 1999-06-18 2000-12-05 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6297159B1 (en) * 1999-07-07 2001-10-02 Advanced Micro Devices, Inc. Method and apparatus for chemical polishing using field responsive materials
US6234870B1 (en) * 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
JP4513145B2 (en) 1999-09-07 2010-07-28 ソニー株式会社 Semiconductor device manufacturing method and polishing method
US6368184B1 (en) 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6368190B1 (en) * 2000-01-26 2002-04-09 Agere Systems Guardian Corp. Electrochemical mechanical planarization apparatus and method
US20030213703A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Method and apparatus for substrate polishing
JP2001244223A (en) 2000-02-29 2001-09-07 Hitachi Chem Co Ltd Polishing pad
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6358118B1 (en) * 2000-06-30 2002-03-19 Lam Research Corporation Field controlled polishing apparatus and method
KR101031682B1 (en) 2000-09-18 2011-04-29 에이씨엠 리서치, 인코포레이티드 Integrating metal with ultra low-k dielectrics
JP2002093761A (en) 2000-09-19 2002-03-29 Sony Corp Polishing method, polishing system, plating method and plating system
JP4446271B2 (en) 2001-06-21 2010-04-07 マイクロン テクノロジー, インク. Method and apparatus for electrically, mechanically and / or chemically removing a conductive material from a microelectronic substrate
JP3807295B2 (en) * 2001-11-30 2006-08-09 ソニー株式会社 Polishing method
US6837983B2 (en) 2002-01-22 2005-01-04 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
WO2004024394A1 (en) 2002-09-16 2004-03-25 Applied Materials, Inc. Control of removal profile in electrochemically assisted cmp
JP2004209588A (en) 2002-12-27 2004-07-29 Ebara Corp Polishing apparatus and polishing method

Patent Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3942959A (en) * 1967-12-22 1976-03-09 Fabriksaktiebolaget Eka Multilayered flexible abrasive containing a layer of electroconductive material
US3992178A (en) * 1973-04-17 1976-11-16 Fabrika Ab Eka Flexible coated abrasive with graphite outer layer
US4839993A (en) * 1986-01-28 1989-06-20 Fujisu Limited Polishing machine for ferrule of optical fiber connector
US5061294A (en) * 1989-05-15 1991-10-29 Minnesota Mining And Manufacturing Company Abrasive article with conductive, doped, conjugated, polymer coat and method of making same
US5108463B1 (en) * 1989-08-21 1996-08-13 Minnesota Mining & Mfg Conductive coated abrasives
US5108463A (en) * 1989-08-21 1992-04-28 Minnesota Mining And Manufacturing Company Conductive coated abrasives
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5562529A (en) * 1992-10-08 1996-10-08 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US6068818A (en) * 1993-11-01 2000-05-30 Nanogen, Inc. Multicomponent devices for molecular biological analysis and diagnostics
US5966151A (en) * 1994-12-27 1999-10-12 Sharp Kabushiki Kaisha Image forming apparatus
US5766466A (en) * 1995-06-20 1998-06-16 Peterson; John Gary Sectors for rotary disc filters having flow channels that are parallel and aligned toward elongate side channels at radial sides of the sector
US6051116A (en) * 1995-10-17 2000-04-18 Canon Kabushiki Kaisha Etching apparatus
US5766446A (en) * 1996-03-05 1998-06-16 Candescent Technologies Corporation Electrochemical removal of material, particularly excess emitter material in electron-emitting device
US5985093A (en) * 1996-05-28 1999-11-16 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
US5823854A (en) * 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
US5637031A (en) * 1996-06-07 1997-06-10 Industrial Technology Research Institute Electrochemical simulator for chemical-mechanical polishing (CMP)
US6183354B1 (en) * 1996-11-08 2001-02-06 Applied Materials, Inc. Carrier head with a flexible membrane for a chemical mechanical polishing system
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US6141027A (en) * 1997-08-04 2000-10-31 Fuji Xerox Co., Ltd. Image recording method for recording a high quality image with an aqueous dye solution and accompanying apparatus
US6074284A (en) * 1997-08-25 2000-06-13 Unique Technology International Pte. Ltd. Combination electrolytic polishing and abrasive super-finishing method
US6261433B1 (en) * 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6440295B1 (en) * 1998-07-09 2002-08-27 Acm Research, Inc. Method for electropolishing metal on semiconductor devices
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6319420B1 (en) * 1998-07-29 2001-11-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6402925B2 (en) * 1998-11-03 2002-06-11 Nutool, Inc. Method and apparatus for electrochemical mechanical deposition
US20010027018A1 (en) * 1998-11-06 2001-10-04 Molnar Charles J. Finishing method for semiconductor wafers using a lubricating boundary layer
US6431968B1 (en) * 1999-04-22 2002-08-13 Applied Materials, Inc. Carrier head with a compressible film
US6739951B2 (en) * 1999-11-29 2004-05-25 Applied Materials Inc. Method and apparatus for electrochemical-mechanical planarization
US6666959B2 (en) * 2000-01-14 2003-12-23 Nutool, Inc. Semiconductor workpiece proximity plating methods and apparatus
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US20040023610A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20010024878A1 (en) * 2000-03-27 2001-09-27 Kabushiki Kaisha Toshiba Polishing pad, polishing apparatus and polishing method
US6482307B2 (en) * 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US20030129927A1 (en) * 2000-08-30 2003-07-10 Whonchee Lee Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US20020108861A1 (en) * 2001-02-12 2002-08-15 Ismail Emesh Method and apparatus for electrochemical planarization of a workpiece
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US20020123300A1 (en) * 2001-03-01 2002-09-05 Jeremy Jones Method for manufacturing a polishing pad having a compressed translucent region
US6479962B2 (en) * 2001-03-16 2002-11-12 Hewlett-Packard Company In-device charging system and method for multi-chemistry battery systems
US6776693B2 (en) * 2001-12-19 2004-08-17 Applied Materials Inc. Method and apparatus for face-up substrate polishing
US6802955B2 (en) * 2002-01-11 2004-10-12 Speedfam-Ipec Corporation Method and apparatus for the electrochemical deposition and planarization of a material on a workpiece surface
US20030209448A1 (en) * 2002-05-07 2003-11-13 Yongqi Hu Conductive polishing article for electrochemical mechanical polishing
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6991526B2 (en) * 2002-09-16 2006-01-31 Applied Materials, Inc. Control of removal profile in electrochemically assisted CMP

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130137263A1 (en) * 2011-11-24 2013-05-30 National Taiwan University Of Science And Technology Electrically assisted chemical-mechanical planarization (eacmp) system and method thereof
US9375821B2 (en) * 2011-11-24 2016-06-28 National Taiwan University Of Science And Technology Electrically assisted chemical-mechanical planarization (EACMP) system and method thereof
US20170151648A1 (en) * 2015-11-30 2017-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing pad, method for manufacturing polishing pad, and polishing method
US10189143B2 (en) * 2015-11-30 2019-01-29 Taiwan Semiconductor Manufacturing Company Limited Polishing pad, method for manufacturing polishing pad, and polishing method
US11597053B2 (en) 2015-11-30 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing pad, method for manufacturing polishing pad, and polishing method

Also Published As

Publication number Publication date
TW200505631A (en) 2005-02-16
US7842169B2 (en) 2010-11-30
US20060124474A1 (en) 2006-06-15
CN1771355A (en) 2006-05-10
JP2006523951A (en) 2006-10-19
KR20050107594A (en) 2005-11-14
WO2004078411A2 (en) 2004-09-16
US20040173461A1 (en) 2004-09-09
WO2004078411A3 (en) 2005-07-21

Similar Documents

Publication Publication Date Title
US20110053465A1 (en) Method and apparatus for local polishing control
US6979248B2 (en) Conductive polishing article for electrochemical mechanical polishing
US6991528B2 (en) Conductive polishing article for electrochemical mechanical polishing
US7278911B2 (en) Conductive polishing article for electrochemical mechanical polishing
US7374644B2 (en) Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) Conductive polishing article for electrochemical mechanical polishing
US7066800B2 (en) Conductive polishing article for electrochemical mechanical polishing
KR20030090788A (en) Conductive polishing article for electrochemical mechanical polishing
US7311592B2 (en) Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) Conductive polishing article for electrochemical mechanical polishing
US7344432B2 (en) Conductive pad with ion exchange membrane for electrochemical mechanical polishing
WO2004108358A2 (en) Conductive polishing article for electrochemical mechanical polishing
KR20040012611A (en) Conductive polishing article for electrochemical mechanical polishing
EP1640113B1 (en) Conductive polishing article for electrochemical mechanical polishing

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TSAI, STAN;LIU, FENG Q.;WANG, YAN;AND OTHERS;SIGNING DATES FROM 20030226 TO 20030227;REEL/FRAME:025304/0258

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION