US20110056625A1 - Electron beam etching device and method - Google Patents

Electron beam etching device and method Download PDF

Info

Publication number
US20110056625A1
US20110056625A1 US12/945,135 US94513510A US2011056625A1 US 20110056625 A1 US20110056625 A1 US 20110056625A1 US 94513510 A US94513510 A US 94513510A US 2011056625 A1 US2011056625 A1 US 2011056625A1
Authority
US
United States
Prior art keywords
gas
source
electron beam
processing system
semiconductor processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/945,135
Inventor
Neal R. Rueger
Mark J. Williamson
Gurtej S. Sandhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/945,135 priority Critical patent/US20110056625A1/en
Publication of US20110056625A1 publication Critical patent/US20110056625A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • This application relates generally to semiconductor devices and device fabrication and, more particularly, to surface processing using electron beams.
  • Semiconductor processing is used to form structures and devices such as transistors, capacitors, etc. that in turn are used to form semiconductor memory chips, processing chips, and other integrated circuits.
  • Semiconductor device uses range from personal computers, to MP3 music players, to mobile telephones.
  • techniques that are frequently used include material deposition processes, and material removal processes such as etching. By sequentially depositing and etching in selected regions on a semiconductor wafer, devices such as transistors, etc. are eventually formed.
  • Selectively etching a semiconductor surface is a necessary step in most semiconductor processing operations.
  • Selectivity can be obtained using a number of techniques, including use of a protective mask or using chemicals that selectively react with one material over another. Although techniques exist that provide some degree of selectivity, further improvements to processes that reduce time needed to complete a step, and/or eliminate processing steps are desired to further reduce cost. Improving selectivity also provides increased precision, allowing more detailed and/or smaller structure formation.
  • FIG. 1 shows a method flow diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 2 shows a side view surface diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 3 shows a block diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 4 shows another diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 5 shows a block diagram of a semiconductor memory according to an embodiment of the invention.
  • FIG. 6 shows a block diagram of an electronic system according to an embodiment of the invention.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure.
  • substrate is understood to include semiconductor wafers.
  • substrate is understood to include semiconductor on insulator wafers such as silicon-on-insulator (SOI).
  • SOI silicon-on-insulator
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • FIG. 1 shows a flow diagram with a method of semiconductor surface processing according to one embodiment of the invention.
  • a semiconductor surface is included within a processing chamber, and a gas is introduced.
  • the semiconductor surface includes one or more semiconductor wafers.
  • One processing chamber includes an in-line production chamber where wafers are passed from station to station in a vacuum.
  • a processing chamber includes a chamber of a scanning electron microscope (SEM) as will be discussed in more detail below.
  • SEM scanning electron microscope
  • the gas includes a gas capable of dissociating into one or more species capable of etching a region of the semiconductor surface.
  • the gas includes a gas that dissociates when exposed to energies supplied by an electron beam, including, but not limited to a beam in a SEM.
  • the gas includes a halogen species. Examples of halogens include fluorine, chlorine, bromine, iodine, and astatine.
  • the gas further includes carbon.
  • One example of a gas that includes carbon and fluorine as a halogen include CF 4 .
  • the gas includes other species such as hydrogen or another element.
  • One example of a gas including hydrogen is CHF 3 .
  • other species in addition to carbon and a halogen include multi-component species such as a carbon and hydrogen chain, or other combination of elements.
  • the gas is exposed to an electron beam.
  • the electron beam is generated by an electron beam source in an electron microscope such as a SEM.
  • the electron beam can be focused using electromagnetic lenses.
  • the SEM configuration also provides a system to scan the electron beam over an area of the substrate.
  • an imaging system is further included.
  • an imaging system includes devices such as a secondary electron detector.
  • One advantage of a SEM configuration includes the ability to focus and scan on only a selected portion of the substrate such as a semiconductor wafer.
  • Another advantage of a SEM configuration includes the ability to concurrently image the selected portion of the surface being exposed to the electron beam. The ability to image allows a user to easily select the region to be exposed to the electron beam from the bulk of the semiconductor surface.
  • a material composition detection system is further included.
  • material composition detection systems include, but are not limited to x-ray detection systems, Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc.
  • FTIR Fourier transform infrared
  • a material composition detection system is used to quantify composition of a coating that is grown in conjunction with electron beam interaction. Growth of such coatings will be discussed in more detail below.
  • an electron microscope is used as an example of an electron beam source, the invention is not so limited.
  • Other embodiments include an electron beam source without additional microscope elements such as lenses, rastering systems, secondary electron detectors, etc.
  • the gas is at least partially dissociated into a number of reactive species.
  • the energy from the electron beam provides at least a portion of the energy necessary to dissociate the gas into the number of reactive species.
  • the exact composition of the species will depend on the gas that is used. For example, CF 4 gas will dissociate into a number of species such as CF 3 , CF 2 , and CF.
  • CF 4 gas will dissociate into a number of species such as CF 3 , CF 2 , and CF.
  • electron beam energy is in a range between 5 eV and 100 eV, although the invention is not so limited.
  • One advantage of an electron beam energy range between 5 eV and 100 eV for selected systems includes an energy high enough to cause dissociation, yet low enough to not alter surface chemistry and/or structure.
  • other energetic beams such as neutron beams, x-rays, etc. are used to provide energy appropriate to dissociate the chosen gas.
  • Energetic beams such as electron beams provide an advantage in selected embodiments because they cause minimal damage to the workpiece in contrast to ion beams or other particle beams that may cause sputtering or other surface damage.
  • the gas is chosen such that the reactive species selectively etch a specific material on the semiconductor surface.
  • the reactive species are chosen to etch silicon dioxide.
  • the reactive species generated from the gas does not etch a second material such as silicon.
  • a selective reaction such as etching is determined by a large difference in reaction rate. Although a reaction may be described as occurring on one material and not on another, in one embodiment the reaction may occur on both materials, however a substantial difference in reaction rate is observed.
  • a coating is deposited on a region of the semiconductor surface, while concurrently an etching reaction is occurring on another region of the semiconductor surface.
  • One example includes a silicon dioxide region that is adjacent to a silicon region.
  • a coating is deposited on the silicon region while the silicon dioxide region is etched at substantially the same time.
  • a coating is deposited on the silicon dioxide region while the silicon region is etched at substantially the same time.
  • silicon and silicon dioxide are used as examples, the invention is not so limited.
  • Other semiconductor processing materials can be selectively etched or coated using appropriate gas chemistry that will be appreciated by one of ordinary skill in the art, having the benefit of the present disclosure. Examples of other semiconductor materials include, but are not limited to nitride materials, spin on glass materials, or other semiconductors such as germanium, or gallium arsenide, etc.
  • the coating deposited at step 130 includes a carbon containing coating.
  • the coating includes an amount of halogen.
  • the coating can be characterized using a ratio of halogen to carbon.
  • FIG. 2 illustrates one example of a method using some of the examples listed above.
  • a gas species 220 is shown in a reaction chamber over a substrate 210 .
  • the gas species 220 includes CHF 3 .
  • the substrate 210 includes a semiconductor wafer.
  • a first silicon region 214 and a second silicon region 216 are shown with a silicon dioxide region 218 located adjacent to the silicon regions 214 , 216 .
  • An electron beam 230 is shown directed at the substrate 210 .
  • the electron beam 230 is used to image a portion of the substrate 210 , for example, in a SEM device. Additional particles 232 are also shown that are generated as a result of the electron beam 230 interaction with the surface of the substrate 210 . Additional particles 232 include, but are not limited to secondary electrons and backscattered particles. In one embodiment, additional particles are used for imaging and/or material characterization.
  • the electron beam is scanned over a surface 212 of the substrate 210 and interacts with the portions of the surface 212 such as silicon regions 214 , 216 and silicon dioxide regions 218 during a scan.
  • the electron beam 230 is indicated in FIG. 2 as a line, the diameter of the electron beam 230 can vary. In selected embodiments, the electron beam diameter is small and a surface is scanned. In other selected embodiments, the electron beam diameter is large, and a larger surface area of the substrate 210 is covered without scanning. Although it is useful in selected embodiments to have the electron beam contact large regions of the substrate 210 , the invention is not so limited.
  • FIG. 2 illustrates the gas species 220 as including a first subspecies 222 and a second subspecies 224 .
  • the illustration of two subspecies is used as an example only. In various embodiments, the gas species 220 can be broken down into more than two subspecies.
  • the gas 220 reacts with the electron beam 230 and is dissociated into the first subspecies 222 and the second subspecies 224 .
  • FIG. 2 shows the second subspecies 224 etching a surface 219 of the silicon dioxide region 218 . Also shown are a first coating 240 on a top surface 215 of the first silicon region 214 , and a second coating 242 on a top surface 217 of the second silicon region 216 . In a separate reaction, one of the subspecies also forms the coatings. For example, the second subspecies 224 is shown in FIG. 2 forming the first and second coatings 240 , 242 .
  • a first subspecies example includes HF and a second subspecies includes CF 2 .
  • the CF 2 subspecies reacts with SiO 2 to form SiOF x and CO x byproducts and the SiO 2 surface, such as surface 219 in FIG. 2 , is etched in the reaction.
  • the CF 2 subspecies deposits a coating on Si surfaces such as surfaces 215 and 217 of FIG. 2 .
  • the coating is deposited in a polymerization reaction.
  • An advantage of forming a coating concurrent to etching includes the ability to further enhance selectivity in an etching operation.
  • the coating serves as a sacrificial coating, and further protects the coated surface from etching.
  • selective etching is defined as a large difference in etch rate, with a material such as silicon etching, but at a much slower rate than another adjacent material such as silicon dioxide.
  • the presence of a coating further reduces or eliminates any etching of the non selected material.
  • Enhanced selectivity provides a number of advantages including the ability to form more detailed structures with sharper edge profiles, etc.
  • the coating contains both carbon and an amount of halogen such as fluorine.
  • a ratio of halogen to carbon is controlled to tailor the chemical and physical properties of the coating. Controlling the coating chemistry further enhances desired properties such as selective etching. For example, materials with a lower ratio of halogen to carbon provide better resistance to etching.
  • the ratio of halogen to carbon in the coating is controlled by further introducing a scavenger gas to the reaction chamber.
  • the scavenger gas is chosen to react with the halogen to form a byproduct gas that is removed from the reaction chamber by the vacuum system. In this way, the amount of halogen is reduced in the coating.
  • the scavenger gas includes hydrogen gas (H 2 ).
  • hydrogen forms HF gas, and thus reduces the amount of fluorine available in the chamber to form in the coating.
  • a scavenger gas is introduced to remove other species. For example, if it is desirable to have a high ratio of halogen to carbon in a coating, a scavenger gas such as 0 2 can be introduced to preferentially remove carbon from the system, forming CO x gasses.
  • a noble gas is further introduced to the system.
  • noble gasses includes helium, neon, argon, krypton, xenon, and radon.
  • the addition of a noble gas further enhances the dissociation of the gas species 220 from FIG. 2 in addition to the dissociation provided by the electron beam 230 .
  • One mechanism of enhanced dissociation from noble gasses includes electron attachment dissociation.
  • FIG. 3 shows a block diagram of a semiconductor processing system 300 .
  • the system 300 includes a reaction chamber 310 with an electron beam source 312 coupled to the chamber 310 .
  • the electron beam source 312 includes a focused scanning electron beam source such as provided in an SEM.
  • a vacuum pump 318 is shown coupled to the reaction chamber 310 .
  • vacuum pumps such as mechanical pumps, turbo pumps, etc. are within the scope of the invention.
  • a gas supply 316 is shown coupled to the reaction chamber 310 .
  • the gas supply 316 provides one or more gas species in selected amounts.
  • One gas includes a gas species to dissociate into etching and coating species.
  • the gas supply also provides additional gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above.
  • the gas supply includes controlling mechanisms and circuitry to function as an atomic layer deposition (ALD) system.
  • ALD atomic layer deposition
  • selected gasses can be supplied in pulses, and purge gasses or evacuation steps can be included between gas pulses.
  • ALD atomic layer deposition
  • a detector 314 is further included in the system 300 , such as a secondary electron detector.
  • the detector 314 is used to provide imaging capability to the system 300 such as in a scanning electron microscope configuration.
  • other detection capability is also included in detector 314 such as detection of elemental composition.
  • FIG. 4 shows a more detailed diagram of a system 400 similar to the system 300 shown in FIG. 3 .
  • the example system 400 in FIG. 4 includes a scanning electron type system 400 according to an embodiment of the invention.
  • a processing chamber 410 is shown with a workpiece 402 .
  • the workpiece includes a semiconductor device, chip, or other component.
  • a conduit 418 or other connection is shown coupling the system 400 to a vacuum device (not shown).
  • An electron source 412 is included in the system 400 to generate an electron beam 424 directed at a surface of the workpiece 402 .
  • a beam focusing lens device 420 is included to focus the electron beam 424 .
  • a scanning device 422 is further included to raster, or otherwise scan a surface of the workpiece 402 with the beam 424 .
  • a detector 414 is shown coupled to the system 400 .
  • the detector 414 includes a secondary electron detector as described above to detect secondary electrons 426 as shown in the Figure.
  • the detector 414 includes other detecting capability such as Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. for detecting and quantifying material composition.
  • FTIR Fourier transform infrared
  • a gas source 416 is shown coupled to the reaction chamber 410 .
  • a gas supplied by the gas source 416 includes a gas species to dissociate into one or more species that provide etching and coating. In one embodiment, one dissociated species both etches one region and coats another region.
  • the gas source 416 provides gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. Specific gasses include, but are not limited to, H 2 , O 2 , noble gasses, and carbon and halogen gasses such as CHF 3 .
  • a tube or other directing structure 417 is included to better direct the gas or gasses over the workpiece 402 .
  • Methods of processing semiconducting wafers, semiconductor devices, IC's, surface, etc. including electron beam techniques as described above may be implemented into a wide variety of electronic devices.
  • Embodiments of these devices may include semiconductor memory, telecommunication systems, wireless systems, and computers. Further, embodiments of electronic devices may be realized as integrated circuits.
  • FIG. 5 illustrates an example of a semiconductor memory 500 formed using methods and devices described above.
  • the memory 500 includes an array of memory cells 510 such as dynamic random access memory (DRAM) cells, or flash memory cells.
  • a first sense amplifier 530 is included in one embodiment.
  • a second sense amplifier 532 is included in one embodiment.
  • Circuitry 520 is coupled between cells in the array 510 and one or more sense amplifiers to detect the state of selected cells.
  • FIG. 6 depicts a diagram of an embodiment of a system 600 having a controller 610 and a memory 630 .
  • the controller 610 or memory 630 may include structures formed by processes in accordance with the teachings herein.
  • System 600 also includes an electronic apparatus 640 and a bus 620 , where bus 620 provides electrical conductivity between controller 610 and electronic apparatus 640 , and between controller 610 and memory 630 .
  • Bus 620 may include an address, a data bus, and a control bus, each independently configured. Alternatively, bus 620 may use common conductive lines for providing address, data, or control, the use of which is regulated by controller 610 .
  • electronic apparatus 640 may be additional memory configured similar as memory 630 .
  • An embodiment may include an additional peripheral device or devices 650 coupled to bus 620 .
  • the controller 610 is a processor.
  • the controller 610 is a processor having a memory. Any of controller 610 , memory 630 , bus 620 , electronic apparatus 640 , and peripheral device devices 650 may include structures formed by processes as described in selected embodiments above.
  • System 600 may include, but is not limited to, information handling devices, telecommunication systems, and computers.
  • Peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 610 .
  • peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with the controller 610 or memory 630 , etc.
  • Memory 630 may be realized as a memory device containing structures formed by processes in accordance with various embodiments. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device.
  • Memory types include a DRAM, SRAM (Static Random Access Memory) or Flash memories. Additionally, the DRAM could be a synchronous DRAM commonly referred to as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as Synchlink or Rambus DRAMs and other emerging DRAM technologies.

Abstract

Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a halogen and carbon containing gas source. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation.

Description

    PRIORITY APPLICATION
  • This application is a divisional of U.S. application Ser. No. 11/503,681, filed Aug. 14, 2006, which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • This application relates generally to semiconductor devices and device fabrication and, more particularly, to surface processing using electron beams.
  • BACKGROUND
  • Semiconductor processing is used to form structures and devices such as transistors, capacitors, etc. that in turn are used to form semiconductor memory chips, processing chips, and other integrated circuits. Semiconductor device uses range from personal computers, to MP3 music players, to mobile telephones. In the fabrication process of semiconductor structures and devices, techniques that are frequently used include material deposition processes, and material removal processes such as etching. By sequentially depositing and etching in selected regions on a semiconductor wafer, devices such as transistors, etc. are eventually formed.
  • As in any manufacturing process, reducing the time needed for a given manufacturing step or eliminating selected manufacturing steps reduces the cost of the final product. Selectively etching a semiconductor surface is a necessary step in most semiconductor processing operations. Selectivity can be obtained using a number of techniques, including use of a protective mask or using chemicals that selectively react with one material over another. Although techniques exist that provide some degree of selectivity, further improvements to processes that reduce time needed to complete a step, and/or eliminate processing steps are desired to further reduce cost. Improving selectivity also provides increased precision, allowing more detailed and/or smaller structure formation.
  • What is needed is an improved semiconductor processing method that addresses these and other concerns. What is also needed is a system to provide these methods and other processing needs. Also needed are inexpensive and high precision components formed by improved processing methods.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a method flow diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 2 shows a side view surface diagram of semiconductor processing according to an embodiment of the invention.
  • FIG. 3 shows a block diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 4 shows another diagram of a semiconductor processing system according to an embodiment of the invention.
  • FIG. 5 shows a block diagram of a semiconductor memory according to an embodiment of the invention.
  • FIG. 6 shows a block diagram of an electronic system according to an embodiment of the invention.
  • DETAILED DESCRIPTION
  • The following detailed description refers to the accompanying drawings that show, by way of illustration, specific aspects and embodiments in which the present invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. Other embodiments may be utilized and chemical, structural, logical, and electrical changes may be made without departing from the scope of the present invention. The various embodiments are not necessarily mutually exclusive, as some embodiments can be combined with one or more other embodiments to form new embodiments.
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form an integrated circuit (IC) structure. The term substrate is understood to include semiconductor wafers. The term substrate is understood to include semiconductor on insulator wafers such as silicon-on-insulator (SOI). The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to generally include n-type and p-type semiconductors and the term insulator or dielectric is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • FIG. 1 shows a flow diagram with a method of semiconductor surface processing according to one embodiment of the invention. In step 100, a semiconductor surface is included within a processing chamber, and a gas is introduced. In one embodiment, the semiconductor surface includes one or more semiconductor wafers. One processing chamber includes an in-line production chamber where wafers are passed from station to station in a vacuum. In one embodiment, a processing chamber includes a chamber of a scanning electron microscope (SEM) as will be discussed in more detail below.
  • In one embodiment, the gas includes a gas capable of dissociating into one or more species capable of etching a region of the semiconductor surface. In one embodiment, the gas includes a gas that dissociates when exposed to energies supplied by an electron beam, including, but not limited to a beam in a SEM. In one embodiment, the gas includes a halogen species. Examples of halogens include fluorine, chlorine, bromine, iodine, and astatine. In one embodiment, the gas further includes carbon. One example of a gas that includes carbon and fluorine as a halogen include CF4. In one embodiment, the gas includes other species such as hydrogen or another element. One example of a gas including hydrogen is CHF3. In one embodiment, other species in addition to carbon and a halogen include multi-component species such as a carbon and hydrogen chain, or other combination of elements.
  • In step 110, the gas is exposed to an electron beam. As discussed above, in one embodiment, the electron beam is generated by an electron beam source in an electron microscope such as a SEM. In a SEM embodiment, the electron beam can be focused using electromagnetic lenses. In one embodiment, the SEM configuration also provides a system to scan the electron beam over an area of the substrate. In one embodiment, such as a SEM embodiment, an imaging system is further included. In one embodiment, an imaging system includes devices such as a secondary electron detector.
  • One advantage of a SEM configuration includes the ability to focus and scan on only a selected portion of the substrate such as a semiconductor wafer. Another advantage of a SEM configuration includes the ability to concurrently image the selected portion of the surface being exposed to the electron beam. The ability to image allows a user to easily select the region to be exposed to the electron beam from the bulk of the semiconductor surface.
  • In one embodiment, a material composition detection system is further included. Examples of material composition detection systems include, but are not limited to x-ray detection systems, Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. In one embodiment, a material composition detection system is used to quantify composition of a coating that is grown in conjunction with electron beam interaction. Growth of such coatings will be discussed in more detail below.
  • Although an electron microscope is used as an example of an electron beam source, the invention is not so limited. Other embodiments include an electron beam source without additional microscope elements such as lenses, rastering systems, secondary electron detectors, etc.
  • In step 120, the gas is at least partially dissociated into a number of reactive species. In one embodiment, the energy from the electron beam provides at least a portion of the energy necessary to dissociate the gas into the number of reactive species. The exact composition of the species will depend on the gas that is used. For example, CF4 gas will dissociate into a number of species such as CF3, CF2, and CF. One of ordinary skill in the art, having the benefit of the present disclosure will recognize that the energy of the electron beam can be adjusted to more effectively dissociate the gas depending on the specific gas chemistry chosen. In one embodiment, electron beam energy is in a range between 5 eV and 100 eV, although the invention is not so limited. One advantage of an electron beam energy range between 5 eV and 100 eV for selected systems includes an energy high enough to cause dissociation, yet low enough to not alter surface chemistry and/or structure. In selected embodiments, other energetic beams such as neutron beams, x-rays, etc. are used to provide energy appropriate to dissociate the chosen gas. Energetic beams such as electron beams provide an advantage in selected embodiments because they cause minimal damage to the workpiece in contrast to ion beams or other particle beams that may cause sputtering or other surface damage.
  • In one embodiment, the gas is chosen such that the reactive species selectively etch a specific material on the semiconductor surface. In one embodiment, the reactive species are chosen to etch silicon dioxide. In one embodiment, the reactive species generated from the gas does not etch a second material such as silicon. In one embodiment, a selective reaction such as etching is determined by a large difference in reaction rate. Although a reaction may be described as occurring on one material and not on another, in one embodiment the reaction may occur on both materials, however a substantial difference in reaction rate is observed.
  • In step 130, a coating is deposited on a region of the semiconductor surface, while concurrently an etching reaction is occurring on another region of the semiconductor surface. One example includes a silicon dioxide region that is adjacent to a silicon region. In one embodiment, a coating is deposited on the silicon region while the silicon dioxide region is etched at substantially the same time. Further, in one embodiment, a coating is deposited on the silicon dioxide region while the silicon region is etched at substantially the same time. Although silicon and silicon dioxide are used as examples, the invention is not so limited. Other semiconductor processing materials can be selectively etched or coated using appropriate gas chemistry that will be appreciated by one of ordinary skill in the art, having the benefit of the present disclosure. Examples of other semiconductor materials include, but are not limited to nitride materials, spin on glass materials, or other semiconductors such as germanium, or gallium arsenide, etc.
  • In one embodiment, the coating deposited at step 130 includes a carbon containing coating. In one embodiment, the coating includes an amount of halogen. Using such an example, the coating can be characterized using a ratio of halogen to carbon.
  • FIG. 2 illustrates one example of a method using some of the examples listed above. A gas species 220 is shown in a reaction chamber over a substrate 210. In one embodiment, the gas species 220 includes CHF3. In one embodiment, the substrate 210 includes a semiconductor wafer. A first silicon region 214 and a second silicon region 216 are shown with a silicon dioxide region 218 located adjacent to the silicon regions 214, 216.
  • An electron beam 230 is shown directed at the substrate 210. As discussed above, in one embodiment, the electron beam 230 is used to image a portion of the substrate 210, for example, in a SEM device. Additional particles 232 are also shown that are generated as a result of the electron beam 230 interaction with the surface of the substrate 210. Additional particles 232 include, but are not limited to secondary electrons and backscattered particles. In one embodiment, additional particles are used for imaging and/or material characterization.
  • In one embodiment, the electron beam is scanned over a surface 212 of the substrate 210 and interacts with the portions of the surface 212 such as silicon regions 214, 216 and silicon dioxide regions 218 during a scan. Although the electron beam 230 is indicated in FIG. 2 as a line, the diameter of the electron beam 230 can vary. In selected embodiments, the electron beam diameter is small and a surface is scanned. In other selected embodiments, the electron beam diameter is large, and a larger surface area of the substrate 210 is covered without scanning. Although it is useful in selected embodiments to have the electron beam contact large regions of the substrate 210, the invention is not so limited.
  • FIG. 2 illustrates the gas species 220 as including a first subspecies 222 and a second subspecies 224. The illustration of two subspecies is used as an example only. In various embodiments, the gas species 220 can be broken down into more than two subspecies. In one embodiment, the gas 220 reacts with the electron beam 230 and is dissociated into the first subspecies 222 and the second subspecies 224.
  • FIG. 2 shows the second subspecies 224 etching a surface 219 of the silicon dioxide region 218. Also shown are a first coating 240 on a top surface 215 of the first silicon region 214, and a second coating 242 on a top surface 217 of the second silicon region 216. In a separate reaction, one of the subspecies also forms the coatings. For example, the second subspecies 224 is shown in FIG. 2 forming the first and second coatings 240, 242.
  • Using CHF3 gas as a gas species 220 example, a first subspecies example includes HF and a second subspecies includes CF2. In the example, the CF2 subspecies reacts with SiO2 to form SiOFx and COx byproducts and the SiO2 surface, such as surface 219 in FIG. 2, is etched in the reaction. Further, in the example, the CF2 subspecies deposits a coating on Si surfaces such as surfaces 215 and 217 of FIG. 2. In one embodiment, the coating is deposited in a polymerization reaction. An advantage of using a carbon and halogen containing gas includes the ability to both etch and deposit a coating concurrently. Specifically with SiO2 and Si surfaces present, the carbon is needed in the chemical reaction to etch SiO2 and the carbon further provides material to form the coating.
  • An advantage of forming a coating concurrent to etching includes the ability to further enhance selectivity in an etching operation. In one embodiment, the coating serves as a sacrificial coating, and further protects the coated surface from etching. As discussed above, in one embodiment, selective etching is defined as a large difference in etch rate, with a material such as silicon etching, but at a much slower rate than another adjacent material such as silicon dioxide. The presence of a coating further reduces or eliminates any etching of the non selected material. Enhanced selectivity provides a number of advantages including the ability to form more detailed structures with sharper edge profiles, etc.
  • As mentioned above, in one embodiment the coating contains both carbon and an amount of halogen such as fluorine. In one embodiment, a ratio of halogen to carbon is controlled to tailor the chemical and physical properties of the coating. Controlling the coating chemistry further enhances desired properties such as selective etching. For example, materials with a lower ratio of halogen to carbon provide better resistance to etching. In one embodiment, the ratio of halogen to carbon in the coating is controlled by further introducing a scavenger gas to the reaction chamber. In one embodiment, the scavenger gas is chosen to react with the halogen to form a byproduct gas that is removed from the reaction chamber by the vacuum system. In this way, the amount of halogen is reduced in the coating.
  • In one embodiment, the scavenger gas includes hydrogen gas (H2). In a carbon-fluorine gas example, hydrogen forms HF gas, and thus reduces the amount of fluorine available in the chamber to form in the coating. In one embodiment, a scavenger gas is introduced to remove other species. For example, if it is desirable to have a high ratio of halogen to carbon in a coating, a scavenger gas such as 0 2 can be introduced to preferentially remove carbon from the system, forming COx gasses.
  • In one embodiment, a noble gas is further introduced to the system. Examples of noble gasses includes helium, neon, argon, krypton, xenon, and radon. In one embodiment, the addition of a noble gas further enhances the dissociation of the gas species 220 from FIG. 2 in addition to the dissociation provided by the electron beam 230. One mechanism of enhanced dissociation from noble gasses includes electron attachment dissociation.
  • FIG. 3 shows a block diagram of a semiconductor processing system 300. The system 300 includes a reaction chamber 310 with an electron beam source 312 coupled to the chamber 310. In one embodiment, the electron beam source 312 includes a focused scanning electron beam source such as provided in an SEM. A vacuum pump 318 is shown coupled to the reaction chamber 310. One of ordinary skill in the art having the benefit of the present disclosure will recognize that a number of possible vacuum pumps such as mechanical pumps, turbo pumps, etc. are within the scope of the invention.
  • A gas supply 316 is shown coupled to the reaction chamber 310. In one embodiment, the gas supply 316 provides one or more gas species in selected amounts. One gas includes a gas species to dissociate into etching and coating species. In selected embodiments, the gas supply also provides additional gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. In one embodiment, the gas supply includes controlling mechanisms and circuitry to function as an atomic layer deposition (ALD) system. For example, selected gasses can be supplied in pulses, and purge gasses or evacuation steps can be included between gas pulses. One of ordinary skill in the art having the benefit of the present disclosure will recognize that ALD gas choice depends on the chemistry of the surface where layer deposition is desired.
  • In one embodiment, a detector 314 is further included in the system 300, such as a secondary electron detector. In one embodiment, the detector 314 is used to provide imaging capability to the system 300 such as in a scanning electron microscope configuration. In one embodiment, other detection capability is also included in detector 314 such as detection of elemental composition.
  • FIG. 4 shows a more detailed diagram of a system 400 similar to the system 300 shown in FIG. 3. The example system 400 in FIG. 4 includes a scanning electron type system 400 according to an embodiment of the invention. A processing chamber 410 is shown with a workpiece 402. As discussed above, in one embodiment, the workpiece includes a semiconductor device, chip, or other component. A conduit 418 or other connection is shown coupling the system 400 to a vacuum device (not shown). An electron source 412 is included in the system 400 to generate an electron beam 424 directed at a surface of the workpiece 402. In one embodiment, a beam focusing lens device 420 is included to focus the electron beam 424. In one embodiment, a scanning device 422 is further included to raster, or otherwise scan a surface of the workpiece 402 with the beam 424.
  • A detector 414 is shown coupled to the system 400. In one embodiment, the detector 414 includes a secondary electron detector as described above to detect secondary electrons 426 as shown in the Figure. In one embodiment, the detector 414 includes other detecting capability such as Fourier transform infrared (FTIR) detection systems, mass spectrometers, etc. for detecting and quantifying material composition.
  • A gas source 416 is shown coupled to the reaction chamber 410. As discussed in selected embodiments above, an example of a gas supplied by the gas source 416 includes a gas species to dissociate into one or more species that provide etching and coating. In one embodiment, one dissociated species both etches one region and coats another region. In selected embodiments, the gas source 416 provides gasses such as scavenger gasses and/or noble gasses as discussed in embodiments above. Specific gasses include, but are not limited to, H2, O2, noble gasses, and carbon and halogen gasses such as CHF3. In one embodiment, a tube or other directing structure 417 is included to better direct the gas or gasses over the workpiece 402.
  • Methods of processing semiconducting wafers, semiconductor devices, IC's, surface, etc. including electron beam techniques as described above may be implemented into a wide variety of electronic devices. Embodiments of these devices may include semiconductor memory, telecommunication systems, wireless systems, and computers. Further, embodiments of electronic devices may be realized as integrated circuits.
  • FIG. 5 illustrates an example of a semiconductor memory 500 formed using methods and devices described above. The memory 500 includes an array of memory cells 510 such as dynamic random access memory (DRAM) cells, or flash memory cells. A first sense amplifier 530 is included in one embodiment. A second sense amplifier 532 is included in one embodiment. Circuitry 520 is coupled between cells in the array 510 and one or more sense amplifiers to detect the state of selected cells.
  • FIG. 6 depicts a diagram of an embodiment of a system 600 having a controller 610 and a memory 630. The controller 610 or memory 630 may include structures formed by processes in accordance with the teachings herein. System 600 also includes an electronic apparatus 640 and a bus 620, where bus 620 provides electrical conductivity between controller 610 and electronic apparatus 640, and between controller 610 and memory 630. Bus 620 may include an address, a data bus, and a control bus, each independently configured. Alternatively, bus 620 may use common conductive lines for providing address, data, or control, the use of which is regulated by controller 610. In one embodiment, electronic apparatus 640 may be additional memory configured similar as memory 630. An embodiment may include an additional peripheral device or devices 650 coupled to bus 620. In one embodiment, the controller 610 is a processor. In one embodiment, the controller 610 is a processor having a memory. Any of controller 610, memory 630, bus 620, electronic apparatus 640, and peripheral device devices 650 may include structures formed by processes as described in selected embodiments above. System 600 may include, but is not limited to, information handling devices, telecommunication systems, and computers.
  • Peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with controller 610. Alternatively, peripheral devices 650 may include displays, additional storage memory, or other control devices that may operate in conjunction with the controller 610 or memory 630, etc.
  • Memory 630 may be realized as a memory device containing structures formed by processes in accordance with various embodiments. It will be understood that embodiments are equally applicable to any size and type of memory circuit and are not intended to be limited to a particular type of memory device. Memory types include a DRAM, SRAM (Static Random Access Memory) or Flash memories. Additionally, the DRAM could be a synchronous DRAM commonly referred to as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as Synchlink or Rambus DRAMs and other emerging DRAM technologies.
  • Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement that is calculated to achieve the same purpose may be substituted for the specific embodiments shown. This application is intended to cover any adaptations or variations of embodiments of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive, and that the phraseology or terminology employed herein is for the purpose of description and not of limitation. Combinations of the above embodiments and other embodiments will be apparent to those of skill in the art upon studying the above description. The scope of the present invention includes any other applications in which embodiment of the above structures and fabrication methods are used. The scope of the embodiments of the present invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (20)

What is claimed is:
1. A semiconductor processing system, comprising:
a reaction chamber;
an electron beam source to provide an electron beam to a semiconductor surface within the reaction chamber; and
a source of carbon-halogen gas to react with the electron beam to create reactive species.
2. The semiconductor processing system of claim 1, further including a beam rastering system and a secondary electron detector to image the surface.
3. The semiconductor processing system of claim 1, further including a noble gas source coupled to the reaction chamber.
4. The semiconductor processing system of claim 1, further including a scavenger gas source to selectively remove halogen species from the reaction chamber.
5. The semiconductor processing system of claim 4, wherein a scavenger gas source includes H2 gas.
6. The semiconductor processing system of claim 1, wherein the source of carbon-halogen gas includes a source of CHF3 gas.
7. A semiconductor processing system, comprising:
a reaction chamber;
an electron beam source to provide an electron beam to a semiconductor surface within the reaction chamber; and
a source of carbon-fluorine gas to react with the electron beam to create reactive species.
8. The semiconductor processing system of claim 7, wherein the source of carbon-fluorine gas is a CHF3 gas source.
9. The semiconductor processing system of claim 7, wherein the source of carbon-fluorine gas is a CF4 gas source.
10. The semiconductor processing system of claim 7, wherein the electron beam source operates at a beam energy between approximately 5 eV and 100 eV.
11. A semiconductor processing system, comprising:
a reaction chamber;
an electron beam source to provide an electron beam to a semiconductor surface within the reaction chamber;
a source of carbon-halogen gas to react with the electron beam to create reactive species;
an first scavenger gas source to selectively remove carbon species from the reaction chamber; and
a second scavenger gas source to selectively remove halogen species from the reaction chamber.
12. The semiconductor processing system of claim 11, wherein the source of carbon-fluorine gas is a CHF3 gas source.
13. The semiconductor processing system of claim 11, wherein the source of carbon-fluorine gas is a CF4 gas source.
14. The semiconductor processing system of claim 11, wherein the first scavenger gas includes oxygen.
15. The semiconductor processing system of claim 11, wherein the second scavenger gas includes hydrogen.
16. A semiconductor processing system, comprising:
a reaction chamber;
an electron beam source to provide an electron beam to a semiconductor surface within the reaction chamber;
a source of carbon-fluorine gas to react with the electron beam to create reactive species;
a first scavenger gas source to selectively remove halogen species from the reaction chamber;
a noble gas source coupled to the reaction chamber; and
a beam rastering system and an imager to view the surface.
17. The semiconductor processing system of claim 16, wherein the noble gas source includes a helium source.
18. The semiconductor processing system of claim 16, wherein the noble gas source includes an argon source.
19. The semiconductor processing system of claim 16, further including a second scavenger gas to remove carbon.
20. The semiconductor processing system of claim 19, wherein the first scavenger gas includes hydrogen and the second scavenger gas includes oxygen.
US12/945,135 2006-08-14 2010-11-12 Electron beam etching device and method Abandoned US20110056625A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/945,135 US20110056625A1 (en) 2006-08-14 2010-11-12 Electron beam etching device and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/503,681 US7833427B2 (en) 2006-08-14 2006-08-14 Electron beam etching device and method
US12/945,135 US20110056625A1 (en) 2006-08-14 2010-11-12 Electron beam etching device and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/503,681 Division US7833427B2 (en) 2006-08-14 2006-08-14 Electron beam etching device and method

Publications (1)

Publication Number Publication Date
US20110056625A1 true US20110056625A1 (en) 2011-03-10

Family

ID=39051341

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/503,681 Active 2027-03-03 US7833427B2 (en) 2006-08-14 2006-08-14 Electron beam etching device and method
US12/945,135 Abandoned US20110056625A1 (en) 2006-08-14 2010-11-12 Electron beam etching device and method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/503,681 Active 2027-03-03 US7833427B2 (en) 2006-08-14 2006-08-14 Electron beam etching device and method

Country Status (1)

Country Link
US (2) US7833427B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100320384A1 (en) * 2006-07-10 2010-12-23 Williamson Mark J Method of enhancing detection of defects on a surface
US20110017401A1 (en) * 2006-07-10 2011-01-27 Williamson Mark J Electron induced chemical etching and deposition for local circuit repair
US20110139368A1 (en) * 2006-07-10 2011-06-16 Williamson Mark J Apparatus and systems for integrated circuit diagnosis
US8389415B2 (en) 2006-08-14 2013-03-05 Micron Technology, Inc. Profiling solid state samples
WO2020014065A1 (en) * 2018-07-09 2020-01-16 Lam Research Corporation Electron excitation atomic layer etch
US11380556B2 (en) 2018-05-25 2022-07-05 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7718080B2 (en) * 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7833427B2 (en) * 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US8778804B2 (en) * 2009-01-30 2014-07-15 Fei Company High selectivity, low damage electron-beam delineation etch
WO2011046003A1 (en) * 2009-10-14 2011-04-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20140097002A1 (en) * 2012-10-05 2014-04-10 Tyco Electronics Amp Gmbh Electrical components and methods and systems of manufacturing electrical components
US9758858B2 (en) 2012-10-05 2017-09-12 Tyco Electronics Corporation Methods of manufacturing a coated structure on a substrate
DE102013012225A1 (en) 2013-07-23 2015-01-29 Carl Zeiss Microscopy Gmbh Method for TEM lamella fabrication and assembly for TEM lamellae protection device

Citations (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4260649A (en) * 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4543486A (en) * 1983-05-20 1985-09-24 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for using a photoacoustic effect for controlling various processes utilizing laser and ion beams, and the like
US4579750A (en) * 1980-07-07 1986-04-01 Massachusetts Institute Of Technology Laser heated CVD process
US4581248A (en) * 1984-03-07 1986-04-08 Roche Gregory A Apparatus and method for laser-induced chemical vapor deposition
US4655849A (en) * 1985-05-22 1987-04-07 Eaton Corporation Semiconductor processing technique for generating dangling surface bonds and growing epitaxial layer by excimer laser
US4668304A (en) * 1985-04-10 1987-05-26 Eaton Corporation Dopant gettering semiconductor processing by excimer laser
US4670063A (en) * 1985-04-10 1987-06-02 Eaton Corporation Semiconductor processing technique with differentially fluxed radiation at incremental thicknesses
US4670064A (en) * 1985-04-10 1987-06-02 Eaton Corporation Generating high purity ions by non-thermal excimer laser processing
US4685976A (en) * 1985-04-10 1987-08-11 Eaton Corporation Multi-layer semiconductor processing with scavenging between layers by excimer laser
US4694777A (en) * 1985-07-03 1987-09-22 Roche Gregory A Apparatus for, and methods of, depositing a substance on a substrate
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
US4933206A (en) * 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US4938996A (en) * 1988-04-12 1990-07-03 Ziv Alan R Via filling by selective laser chemical vapor deposition
US4940505A (en) * 1988-12-02 1990-07-10 Eaton Corporation Method for growing single crystalline silicon with intermediate bonding agent and combined thermal and photolytic activation
US5032435A (en) * 1989-03-27 1991-07-16 The United States Of America As Represented By The United States Department Of Energy UV absorption control of thin film growth
US5047649A (en) * 1990-10-09 1991-09-10 International Business Machines Corporation Method and apparatus for writing or etching narrow linewidth patterns on insulating materials
US5102830A (en) * 1990-07-24 1992-04-07 Micron Technology, Inc. Integrated circuit fabrication process for preventing overprocessing during a laser scan
US5140164A (en) * 1991-01-14 1992-08-18 Schlumberger Technologies, Inc. Ic modification with focused ion beam system
US5326981A (en) * 1991-09-27 1994-07-05 Kawasaki Jukogyo Kabushiki Kaisha Electron beam excited ion irradiation apparatus
US5356514A (en) * 1990-08-27 1994-10-18 Nec Corporation Process and apparatus for etching iron-containing materials
US5387443A (en) * 1992-07-09 1995-02-07 Sumitomo Electric Industries, Ltd. Laser CVD method for synthesizing diamond
US5403433A (en) * 1992-07-15 1995-04-04 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US5429730A (en) * 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
US5438019A (en) * 1994-07-11 1995-08-01 Micron Semiconductor, Inc. Large area thin film growing method
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5622567A (en) * 1992-11-30 1997-04-22 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus using laser
US5639342A (en) * 1996-03-15 1997-06-17 Taiwan Semiconductor Manufacturing Company Ltd. Method of monitoring and controlling a silicon nitride etch step
US5641545A (en) * 1995-06-07 1997-06-24 Micron Technology, Inc. Method to deposit highly conformal CVD films
US5648114A (en) * 1991-12-13 1997-07-15 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
US5733609A (en) * 1993-06-01 1998-03-31 Wang; Liang Ceramic coatings synthesized by chemical reactions energized by laser plasmas
US5754297A (en) * 1994-01-28 1998-05-19 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapor deposition
US5759923A (en) * 1991-02-25 1998-06-02 Symetrix Corporation Method and apparatus for fabricating silicon dioxide and silicon glass layers in integrated circuits
US5807650A (en) * 1992-03-24 1998-09-15 Kabushiki Kaisha Toshiba Photo mask and apparatus for repairing photo mask
US5942854A (en) * 1997-06-11 1999-08-24 Kawasaki Jukogyo Kabushiki Kaisha Electron-beam excited plasma generator with side orifices in the discharge chamber
US6051287A (en) * 1997-06-20 2000-04-18 Micron Technology, Inc. Laser desorption of CVD precursor species
US6064800A (en) * 1996-02-02 2000-05-16 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US6091071A (en) * 1996-04-18 2000-07-18 Sick Ag Opto-electronic sensor
US6113751A (en) * 1998-08-06 2000-09-05 Lockheed Martin Corporation Electromagnetic beam assisted deposition method for depositing a material on an irradiated substrate
US6177147B1 (en) * 1998-03-27 2001-01-23 Nec Corporation Process and apparatus for treating a substrate
US6187492B1 (en) * 1998-07-07 2001-02-13 Ricoh Company, Ltd. Electrophotographic photoconductor and method of producing aromatic polycarbonate resin for use in the photoconductor
US6194325B1 (en) * 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US6214183B1 (en) * 1999-01-30 2001-04-10 Advanced Ion Technology, Inc. Combined ion-source and target-sputtering magnetron and a method for sputtering conductive and nonconductive materials
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
US6492068B1 (en) * 1999-01-12 2002-12-10 Kawasaki Steel Corporation Etching method for production of semiconductor devices
US20030047691A1 (en) * 2001-07-27 2003-03-13 Musil Christian R. Electron beam processing
US6573199B2 (en) * 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
US20040018739A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
US20040036398A1 (en) * 2002-08-23 2004-02-26 Sungho Jin MEMS-based two-dimensional e-beam nano lithography device and method for making the same
US20040043621A1 (en) * 2002-08-27 2004-03-04 Kla-Tencor Technologies Corporation, A Corporation Of California Method and apparatus for endpoint detection in electron beam assisted etching
US20040048398A1 (en) * 2001-06-29 2004-03-11 Ted Liang Mask repair with electron beam-induced chemical etching
US20040074867A1 (en) * 2002-10-18 2004-04-22 Lam Research Corporation Pre-endpoint techniques in photoresist etching
US6730367B2 (en) * 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
US20040084409A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
US20040091638A1 (en) * 2000-11-13 2004-05-13 International Business Machines Corporation Method and apparatus for performing laser CVD
US20040097076A1 (en) * 2002-11-14 2004-05-20 Iyer Subramanyam A. Controlled use of photochemically susceptible chemistries for etching, cleaning and surface conditioning
US20040113097A1 (en) * 2002-12-17 2004-06-17 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair
US20040124348A1 (en) * 2000-03-15 2004-07-01 Utz Arthur L Controlling surface chemistry on solid substrates
US6764856B2 (en) * 2002-05-02 2004-07-20 Bradley Jay Holmes Semen detection system and method
US20040140437A1 (en) * 2003-01-21 2004-07-22 International Business Machines Corporation Electron beam array write head system and method
US20040151991A1 (en) * 2002-09-18 2004-08-05 Stewart Diane K. Photolithography mask repair
US20040169963A1 (en) * 2001-09-19 2004-09-02 Kabushiki Kaisha Toshiba Magnetoresistance effect element, its manufacturing method, magnetic reproducing element and magnetic memory
US20040217086A1 (en) * 2002-09-11 2004-11-04 Matsushita Electric Industrial Co., Ltd. Pattern formation method
US6838121B2 (en) * 2001-05-10 2005-01-04 Zyvex Corporation System and method for controlling deposition parameters in producing a surface to tune the surface's plasmon resonance wavelength
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6869479B2 (en) * 2002-03-29 2005-03-22 Altair Center, Llc Method of laser-assisted fabrication of optoelectronic and photonic components
US20050072753A1 (en) * 2002-10-16 2005-04-07 Koops Hans Wilfried Peter Procedure for etching of materials at the surface with focussed electron beam induced chemical reaction at said surface
US20050078462A1 (en) * 2003-10-10 2005-04-14 Micron Technology, Inc. Laser assisted material deposition
US20050087514A1 (en) * 2003-08-28 2005-04-28 Hans Koops Method for high-resolution processing of thin layers using electron beams
US6897907B2 (en) * 2001-11-26 2005-05-24 Kabushiki Kaisha Toshiba Liquid crystal display device and method of manufacturing the same
US6911832B2 (en) * 2003-07-16 2005-06-28 Texas Instruments Incorporated Focused ion beam endpoint detection using charge pulse detection electronics
US6927173B2 (en) * 1996-04-26 2005-08-09 Renesas Technology Corp. Plasma processing method
US20060094132A1 (en) * 2004-10-29 2006-05-04 Hsien-Wen Liu Method for analyzing the structure of deep trench capacitors and a preparation method thereof
US20060134920A1 (en) * 2004-12-17 2006-06-22 Ted Liang Passivating metal etch structures
US20060147814A1 (en) * 2005-01-03 2006-07-06 Ted Liang Methods for repairing an alternating phase-shift mask
US20060154477A1 (en) * 2005-01-12 2006-07-13 Quain Geng Polymer spacer formation
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US20070015371A1 (en) * 2005-06-02 2007-01-18 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US7238294B2 (en) * 2002-05-16 2007-07-03 Nawotec Gmbh Procedure for etching of materials at the surface with focussed electron beam induced chemical reactions at said surface
US20070158303A1 (en) * 2006-01-12 2007-07-12 Kla-Tencor Technologies Corporation Structural modification using electron beam activated chemical etch
US20070158304A1 (en) * 2006-01-12 2007-07-12 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
US7256405B2 (en) * 2004-01-20 2007-08-14 Ebara Corporation Sample repairing apparatus, a sample repairing method and a device manufacturing method using the same method
US7262555B2 (en) * 2005-03-17 2007-08-28 Micron Technology, Inc. Method and system for discretely controllable plasma processing
US20080006603A1 (en) * 2006-07-10 2008-01-10 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US20080009140A1 (en) * 2006-07-10 2008-01-10 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US20080006786A1 (en) * 2006-07-10 2008-01-10 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US20080038928A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Electron beam etching device and method
US20080038894A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US20080038863A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Profiling solid state samples
US20080038933A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Plasma and electron beam etching device and method
US7365909B2 (en) * 2002-10-17 2008-04-29 Xradia, Inc. Fabrication methods for micro compounds optics

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4624736A (en) * 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
DE3804805A1 (en) 1988-02-16 1989-08-24 Max Planck Gesellschaft CVD METHOD FOR DEPOSITING A LAYER ON A THIN-LAYER METAL STRUCTURE
US4980198A (en) 1989-11-30 1990-12-25 Syracuse University Laser CVD and plasma CVD of CrO2 films and cobalt doped CrO2 films using organometallic precursors
US5155053A (en) 1991-05-28 1992-10-13 Hughes Aircraft Company Method of forming t-gate structure on microelectronic device substrate
US5985693A (en) 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
EP0601692B1 (en) 1992-09-14 1997-11-12 Kabushiki Kaisha Toshiba Electronic device incorporating artificial super lattice
US5472935A (en) 1992-12-01 1995-12-05 Yandrofski; Robert M. Tuneable microwave devices incorporating high temperature superconducting and ferroelectric films
US5825035A (en) 1993-03-10 1998-10-20 Hitachi, Ltd. Processing method and apparatus using focused ion beam generating means
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
JP3766991B2 (en) 1995-10-20 2006-04-19 株式会社日立製作所 End point detection method and apparatus for plasma processing, and semiconductor manufacturing method and apparatus using the detection method and apparatus
JP3523405B2 (en) 1996-01-26 2004-04-26 株式会社日立製作所 Pattern forming method by charged beam processing and charged beam processing apparatus
GB9618897D0 (en) 1996-09-10 1996-10-23 Bio Rad Micromeasurements Ltd Micro defects in silicon wafers
US5834331A (en) 1996-10-17 1998-11-10 Northwestern University Method for making III-Nitride laser and detection device
US6183817B1 (en) 1997-05-29 2001-02-06 Michael S. Gersonde Method and apparatus for direct write fabrication of nanostructures
JP3441955B2 (en) 1998-02-23 2003-09-02 株式会社日立製作所 Projection type charged particle microscope and substrate inspection system
DE19851622A1 (en) 1998-11-09 2000-05-18 Fraunhofer Ges Forschung Method for examining and / or modifying surface structures of a sample
EP1006568A1 (en) 1998-12-02 2000-06-07 STMicroelectronics S.r.l. Enhancing protection of dielectrics from plasma induced damages
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6583413B1 (en) 1999-09-01 2003-06-24 Hitachi, Ltd. Method of inspecting a circuit pattern and inspecting instrument
KR100425449B1 (en) 2001-05-18 2004-03-30 삼성전자주식회사 Method and apparatus for forming multiple layers of thin film by using photolysis chemical vapor deposition
US6811615B2 (en) 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
KR100421218B1 (en) 2001-06-04 2004-03-02 삼성전자주식회사 Apparatus of electron emission lithography by using selectively grown carbon nanotube and lithography method thereof
US7001481B2 (en) 2001-11-30 2006-02-21 Micron Technology, Inc. Method and system providing high flux of point of use activated reactive species for semiconductor processing
DE60132788T2 (en) 2001-12-06 2009-02-05 Interuniversitair Microelektronica Centrum Vzw Method and device for local surface analysis
US6797337B2 (en) 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
JP2004257845A (en) 2003-02-26 2004-09-16 Renesas Technology Corp Classification method of defect
US7083903B2 (en) 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US7661387B2 (en) 2004-01-30 2010-02-16 Dunfries Investment Limited Dual laser coating apparatus and process
JP4550457B2 (en) 2004-03-26 2010-09-22 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
JP4761740B2 (en) 2004-08-31 2011-08-31 東京エレクトロン株式会社 Method for forming a microlens
US7670956B2 (en) * 2005-04-08 2010-03-02 Fei Company Beam-induced etching
US7531102B2 (en) 2006-03-31 2009-05-12 Intel Corporation Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US7550747B2 (en) 2006-04-03 2009-06-23 Blaise Laurent Mouttet Parallel electron beam lithography stamp (PEBLS)
US20070278180A1 (en) * 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization

Patent Citations (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4260649A (en) * 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4579750A (en) * 1980-07-07 1986-04-01 Massachusetts Institute Of Technology Laser heated CVD process
US4543486A (en) * 1983-05-20 1985-09-24 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for using a photoacoustic effect for controlling various processes utilizing laser and ion beams, and the like
US4581248A (en) * 1984-03-07 1986-04-08 Roche Gregory A Apparatus and method for laser-induced chemical vapor deposition
US4670063A (en) * 1985-04-10 1987-06-02 Eaton Corporation Semiconductor processing technique with differentially fluxed radiation at incremental thicknesses
US4668304A (en) * 1985-04-10 1987-05-26 Eaton Corporation Dopant gettering semiconductor processing by excimer laser
US4670064A (en) * 1985-04-10 1987-06-02 Eaton Corporation Generating high purity ions by non-thermal excimer laser processing
US4685976A (en) * 1985-04-10 1987-08-11 Eaton Corporation Multi-layer semiconductor processing with scavenging between layers by excimer laser
US4655849A (en) * 1985-05-22 1987-04-07 Eaton Corporation Semiconductor processing technique for generating dangling surface bonds and growing epitaxial layer by excimer laser
US4694777A (en) * 1985-07-03 1987-09-22 Roche Gregory A Apparatus for, and methods of, depositing a substance on a substrate
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
US4938996A (en) * 1988-04-12 1990-07-03 Ziv Alan R Via filling by selective laser chemical vapor deposition
US4933206A (en) * 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US4940505A (en) * 1988-12-02 1990-07-10 Eaton Corporation Method for growing single crystalline silicon with intermediate bonding agent and combined thermal and photolytic activation
US5032435A (en) * 1989-03-27 1991-07-16 The United States Of America As Represented By The United States Department Of Energy UV absorption control of thin film growth
US5102830A (en) * 1990-07-24 1992-04-07 Micron Technology, Inc. Integrated circuit fabrication process for preventing overprocessing during a laser scan
US5356514A (en) * 1990-08-27 1994-10-18 Nec Corporation Process and apparatus for etching iron-containing materials
US5047649A (en) * 1990-10-09 1991-09-10 International Business Machines Corporation Method and apparatus for writing or etching narrow linewidth patterns on insulating materials
US5140164A (en) * 1991-01-14 1992-08-18 Schlumberger Technologies, Inc. Ic modification with focused ion beam system
US5759923A (en) * 1991-02-25 1998-06-02 Symetrix Corporation Method and apparatus for fabricating silicon dioxide and silicon glass layers in integrated circuits
US5326981A (en) * 1991-09-27 1994-07-05 Kawasaki Jukogyo Kabushiki Kaisha Electron beam excited ion irradiation apparatus
US5648114A (en) * 1991-12-13 1997-07-15 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
US5807650A (en) * 1992-03-24 1998-09-15 Kabushiki Kaisha Toshiba Photo mask and apparatus for repairing photo mask
US5387443A (en) * 1992-07-09 1995-02-07 Sumitomo Electric Industries, Ltd. Laser CVD method for synthesizing diamond
US5403433A (en) * 1992-07-15 1995-04-04 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US6194325B1 (en) * 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5429730A (en) * 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
US5622567A (en) * 1992-11-30 1997-04-22 Mitsubishi Denki Kabushiki Kaisha Thin film forming apparatus using laser
US5733609A (en) * 1993-06-01 1998-03-31 Wang; Liang Ceramic coatings synthesized by chemical reactions energized by laser plasmas
US5754297A (en) * 1994-01-28 1998-05-19 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapor deposition
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5438019A (en) * 1994-07-11 1995-08-01 Micron Semiconductor, Inc. Large area thin film growing method
US5641545A (en) * 1995-06-07 1997-06-24 Micron Technology, Inc. Method to deposit highly conformal CVD films
US5800617A (en) * 1995-06-07 1998-09-01 Micron Technology, Inc. Method to deposit highly conformal CVD films
US6064800A (en) * 1996-02-02 2000-05-16 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5639342A (en) * 1996-03-15 1997-06-17 Taiwan Semiconductor Manufacturing Company Ltd. Method of monitoring and controlling a silicon nitride etch step
US6091071A (en) * 1996-04-18 2000-07-18 Sick Ag Opto-electronic sensor
US6927173B2 (en) * 1996-04-26 2005-08-09 Renesas Technology Corp. Plasma processing method
US5942854A (en) * 1997-06-11 1999-08-24 Kawasaki Jukogyo Kabushiki Kaisha Electron-beam excited plasma generator with side orifices in the discharge chamber
US6051287A (en) * 1997-06-20 2000-04-18 Micron Technology, Inc. Laser desorption of CVD precursor species
US6177147B1 (en) * 1998-03-27 2001-01-23 Nec Corporation Process and apparatus for treating a substrate
US6281072B1 (en) * 1998-05-11 2001-08-28 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6187492B1 (en) * 1998-07-07 2001-02-13 Ricoh Company, Ltd. Electrophotographic photoconductor and method of producing aromatic polycarbonate resin for use in the photoconductor
US6113751A (en) * 1998-08-06 2000-09-05 Lockheed Martin Corporation Electromagnetic beam assisted deposition method for depositing a material on an irradiated substrate
US6492068B1 (en) * 1999-01-12 2002-12-10 Kawasaki Steel Corporation Etching method for production of semiconductor devices
US6214183B1 (en) * 1999-01-30 2001-04-10 Advanced Ion Technology, Inc. Combined ion-source and target-sputtering magnetron and a method for sputtering conductive and nonconductive materials
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
US20040124348A1 (en) * 2000-03-15 2004-07-01 Utz Arthur L Controlling surface chemistry on solid substrates
US20040091638A1 (en) * 2000-11-13 2004-05-13 International Business Machines Corporation Method and apparatus for performing laser CVD
US6838121B2 (en) * 2001-05-10 2005-01-04 Zyvex Corporation System and method for controlling deposition parameters in producing a surface to tune the surface's plasmon resonance wavelength
US20040048398A1 (en) * 2001-06-29 2004-03-11 Ted Liang Mask repair with electron beam-induced chemical etching
US20030047691A1 (en) * 2001-07-27 2003-03-13 Musil Christian R. Electron beam processing
US6753538B2 (en) * 2001-07-27 2004-06-22 Fei Company Electron beam processing
US6683005B2 (en) * 2001-08-30 2004-01-27 Micron Technology, Inc. Method of forming capacitor constructions
US6573199B2 (en) * 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
US6720272B2 (en) * 2001-08-30 2004-04-13 Micron Technology, Inc. Methods of forming capacitor constructions
US20040169963A1 (en) * 2001-09-19 2004-09-02 Kabushiki Kaisha Toshiba Magnetoresistance effect element, its manufacturing method, magnetic reproducing element and magnetic memory
US6897907B2 (en) * 2001-11-26 2005-05-24 Kabushiki Kaisha Toshiba Liquid crystal display device and method of manufacturing the same
US6730367B2 (en) * 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
US6869479B2 (en) * 2002-03-29 2005-03-22 Altair Center, Llc Method of laser-assisted fabrication of optoelectronic and photonic components
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6764856B2 (en) * 2002-05-02 2004-07-20 Bradley Jay Holmes Semen detection system and method
US7238294B2 (en) * 2002-05-16 2007-07-03 Nawotec Gmbh Procedure for etching of materials at the surface with focussed electron beam induced chemical reactions at said surface
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040018739A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
US20040036398A1 (en) * 2002-08-23 2004-02-26 Sungho Jin MEMS-based two-dimensional e-beam nano lithography device and method for making the same
US20040043621A1 (en) * 2002-08-27 2004-03-04 Kla-Tencor Technologies Corporation, A Corporation Of California Method and apparatus for endpoint detection in electron beam assisted etching
US20040217086A1 (en) * 2002-09-11 2004-11-04 Matsushita Electric Industrial Co., Ltd. Pattern formation method
US20040151991A1 (en) * 2002-09-18 2004-08-05 Stewart Diane K. Photolithography mask repair
US20050072753A1 (en) * 2002-10-16 2005-04-07 Koops Hans Wilfried Peter Procedure for etching of materials at the surface with focussed electron beam induced chemical reaction at said surface
US7365909B2 (en) * 2002-10-17 2008-04-29 Xradia, Inc. Fabrication methods for micro compounds optics
US20040074867A1 (en) * 2002-10-18 2004-04-22 Lam Research Corporation Pre-endpoint techniques in photoresist etching
US20040084409A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
US20040097076A1 (en) * 2002-11-14 2004-05-20 Iyer Subramanyam A. Controlled use of photochemically susceptible chemistries for etching, cleaning and surface conditioning
US20040113097A1 (en) * 2002-12-17 2004-06-17 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair
US20040140437A1 (en) * 2003-01-21 2004-07-22 International Business Machines Corporation Electron beam array write head system and method
US6911832B2 (en) * 2003-07-16 2005-06-28 Texas Instruments Incorporated Focused ion beam endpoint detection using charge pulse detection electronics
US20050087514A1 (en) * 2003-08-28 2005-04-28 Hans Koops Method for high-resolution processing of thin layers using electron beams
US20050078462A1 (en) * 2003-10-10 2005-04-14 Micron Technology, Inc. Laser assisted material deposition
US7256405B2 (en) * 2004-01-20 2007-08-14 Ebara Corporation Sample repairing apparatus, a sample repairing method and a device manufacturing method using the same method
US20060094132A1 (en) * 2004-10-29 2006-05-04 Hsien-Wen Liu Method for analyzing the structure of deep trench capacitors and a preparation method thereof
US20060134920A1 (en) * 2004-12-17 2006-06-22 Ted Liang Passivating metal etch structures
US20060147814A1 (en) * 2005-01-03 2006-07-06 Ted Liang Methods for repairing an alternating phase-shift mask
US20060154477A1 (en) * 2005-01-12 2006-07-13 Quain Geng Polymer spacer formation
US20060172540A1 (en) * 2005-02-03 2006-08-03 Jeffrey Marks Reduction of feature critical dimensions using multiple masks
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7262555B2 (en) * 2005-03-17 2007-08-28 Micron Technology, Inc. Method and system for discretely controllable plasma processing
US20070015371A1 (en) * 2005-06-02 2007-01-18 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US20070158304A1 (en) * 2006-01-12 2007-07-12 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
US20070158303A1 (en) * 2006-01-12 2007-07-12 Kla-Tencor Technologies Corporation Structural modification using electron beam activated chemical etch
US20080009140A1 (en) * 2006-07-10 2008-01-10 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US20080006786A1 (en) * 2006-07-10 2008-01-10 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US20080006603A1 (en) * 2006-07-10 2008-01-10 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US20110139368A1 (en) * 2006-07-10 2011-06-16 Williamson Mark J Apparatus and systems for integrated circuit diagnosis
US20080038928A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Electron beam etching device and method
US20080038894A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US20080038863A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Profiling solid state samples
US20080038933A1 (en) * 2006-08-14 2008-02-14 Micron Technology, Inc. Plasma and electron beam etching device and method
US7569484B2 (en) * 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method
US7718080B2 (en) * 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US8389415B2 (en) * 2006-08-14 2013-03-05 Micron Technology, Inc. Profiling solid state samples
US20130180950A1 (en) * 2006-08-14 2013-07-18 Micron Technology, Inc. Profiling solid state samples

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100320384A1 (en) * 2006-07-10 2010-12-23 Williamson Mark J Method of enhancing detection of defects on a surface
US20110017401A1 (en) * 2006-07-10 2011-01-27 Williamson Mark J Electron induced chemical etching and deposition for local circuit repair
US20110139368A1 (en) * 2006-07-10 2011-06-16 Williamson Mark J Apparatus and systems for integrated circuit diagnosis
US8026501B2 (en) 2006-07-10 2011-09-27 Micron Technology, Inc. Method of removing or deposting material on a surface including material selected to decorate a particle on the surface for imaging
US8809074B2 (en) 2006-07-10 2014-08-19 Micron Technology, Inc. Method for integrated circuit diagnosis
US8821682B2 (en) 2006-07-10 2014-09-02 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US8389415B2 (en) 2006-08-14 2013-03-05 Micron Technology, Inc. Profiling solid state samples
US8609542B2 (en) 2006-08-14 2013-12-17 Micron Technology, Inc. Profiling solid state samples
US11380556B2 (en) 2018-05-25 2022-07-05 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
WO2020014065A1 (en) * 2018-07-09 2020-01-16 Lam Research Corporation Electron excitation atomic layer etch
US11637022B2 (en) 2018-07-09 2023-04-25 Lam Research Corporation Electron excitation atomic layer etch

Also Published As

Publication number Publication date
US7833427B2 (en) 2010-11-16
US20080038928A1 (en) 2008-02-14

Similar Documents

Publication Publication Date Title
US7833427B2 (en) Electron beam etching device and method
US7718080B2 (en) Electronic beam processing device and method using carbon nanotube emitter
US7569484B2 (en) Plasma and electron beam etching device and method
US8987139B2 (en) Method of patterning a low-k dielectric film
US7955870B2 (en) Dry etch stop process for eliminating electrical shorting in MRAM device structures
US20070155027A1 (en) Dry etch stop process for eliminating electrical shorting in MRAM device structures
US6291363B1 (en) Surface treatment of DARC films to reduce defects in subsequent cap layers
US11367832B2 (en) Method of making magnetoresistive random access memory device
JP2003297822A (en) Method of forming insulation film
US20180082945A1 (en) Surface nitridation in metal interconnects
US6686292B1 (en) Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
US6335284B1 (en) Metallization process for manufacturing semiconductor devices
US11877519B2 (en) Semiconductor device manufacturing method
US6350699B1 (en) Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US6877517B2 (en) Plasma etch method for forming plasma etched silicon layer
US6521544B1 (en) Method of forming an ultra thin dielectric film
KR20230057348A (en) etching method
US20070202704A1 (en) Method for etching platinum and method for fabricating capacitor using the same
WO2023224950A1 (en) Hardmask for high aspect ratio dielectric etch at cryo and elevated temperatures
TW202410176A (en) Hardmask for high aspect ratio dielectric etch at cryo and elevated temperatures
JP2005167114A (en) Method and apparatus for forming boron nitride film
US20090197421A1 (en) Chemistry and compositions for manufacturing integrated circuits
US20110045661A1 (en) Method for manufacturing nano-crystalline silicon material for semiconductor integrated circuits
Carter et al. Surface residue island nucleation in anhydrous HF/Alcohol vapor processing of Si surfaces
CN103617949A (en) Method of using nitrogen trifluoride to inhibit interface layer growth between high dielectric constant gate medium layer and silicon substrate

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION