US20110086472A1 - Semiconductor device and method for forming the same - Google Patents

Semiconductor device and method for forming the same Download PDF

Info

Publication number
US20110086472A1
US20110086472A1 US12/971,966 US97196610A US2011086472A1 US 20110086472 A1 US20110086472 A1 US 20110086472A1 US 97196610 A US97196610 A US 97196610A US 2011086472 A1 US2011086472 A1 US 2011086472A1
Authority
US
United States
Prior art keywords
film
forming
silicon
semiconductor
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/971,966
Inventor
Shunpei Yamazaki
Yasuhiko Takemura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP23870991A external-priority patent/JP3187086B2/en
Priority claimed from JP23871491A external-priority patent/JP3483581B2/en
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to US12/971,966 priority Critical patent/US20110086472A1/en
Publication of US20110086472A1 publication Critical patent/US20110086472A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Definitions

  • the present invention relates to a thin film semiconductor device and a method for forming the same. More particularly, the present invention relates to a thin film semiconductor device and a method for forming the same which excel in confidence, productivity, and yield thereof.
  • the present invention is applicable to e.g. a three dimensional integrated circuit or a driving circuit used in a liquid crystal display or a thin film image sensor and the like.
  • a semiconductor integrated circuit has been mainly a monolithic type, which has been formed on such semiconductor substrate as silicon. Recently, it has been tried to form it on an insulating substrate made of glass, sapphire, and the like. This is caused by the reasons that an operation speed will be raised according to the lowering of parasitic capacity between a substrate and a wiring, especially quartz or glass materials are not limited in size like a silicon wafer, cheap, easy to separate each device, and further a latch-up phenomenon, which poses a problem in a monolithic circuit of CMOS, will not arise. Also, it is necessary to form a thin film transistor (hereinafter refer to as TFT) etc. on a transparent substrate, because a semiconductor device and a liquid crystal element, or a light detecting element are required to be composed in a body, in a liquid crystal display and a contact type image sensor.
  • TFT thin film transistor
  • a thin film semiconductor device has come to be formed on an insulating substrate.
  • a film 503 of silicon oxide etc. is formed on an insulating substrate 501 , as a passivation film, and thereon, TFT is formed independent of other TFT.
  • TFT has a source (drain) region 507 , a drain (source) region 509 , a channel forming region 508 (simply called as a channel region) which is held between the said regions of 507 and 509 , a gate insulating film 504 , a gate electrode 510 , a source (drain) electrode 511 , and a drain (source) electrode 512 , just like MOSFET of a monolithic integrated circuit. Also, there is provided with an interlayer insulation 506 of PSG (phosphosilicate glass) so that a multilayer interconnect can be made.
  • PSG phosphosilicate glass
  • TFT has further different types such as an inverse coplanar type, a stagger type, and an inverse stagger type, according to the arrangement of a gate electrode and a channel region.
  • inverse coplanar type a stagger type
  • inverse stagger type a type of a gate electrode and a channel region.
  • a thin film semiconductor device is different from a monolithic integrated circuit, in a point that a contaminated ion concentration in a substrate of the former is rather higher than that of the latter. That is, a single silicon crystal used in a monolithic integrated circuit has been so manufactured as to eliminate these harmful elements, based on the long experienced technologies. The content of these elements contaminated in thepresent products on sale is 10 10 cm ⁇ 3 or less.
  • the concentration of elements contaminated in an insulating substrate usable for a thin film semiconductor device is not low.
  • TN glass is a cheap glass substrate, heat resisting, and equivalent to silicon in a heat expansion coefficient
  • it is desirable for a substrate used in a liquid crystal display.
  • it contains about 5% of lithium, a portion of which is ionized to intrude into a semiconductor device as a mobile ion, and to deteriorate a device grade.
  • it is difficult to prepare a high purity lithium of 99% or more, and about 0.7% of natrium is usually contained therein.
  • An ionization ratio of natrium is very high to show about 10%, which brings about a very serious effect on the device features.
  • silicon oxide etc. has been used as a passivation film to cope with the intrusion of these mobile ions. Also these ions have been dealt with by gettering them, employing PSG (Phosphosilicate glass) or BPSG (Borophosphosilicate glass) as a interlayer insulation. However, it has been difficult to prevent the contamination effectively by these methods.
  • a blocking film such as a film of silicon nitride, aluminum nitride, aluminum oxide, tantalum oxide and the like, which has a function to block the mobile ion, is formed on and beneath a thin film semiconductor device, and further, 1 ⁇ 10 18 to 5 ⁇ 10 20 atoms/cm 3 , preferably 1 ⁇ 10 19 to 1 ⁇ 10 20 atoms/cm 3 of such halogen element as chlorine and fluorine is contained in either one or two of the semiconductor film (channel region provided in the semiconductor film) and the gate insulating film which constitute TFT.
  • the halogen element has a function that it will combine with such mobile ion as natrium strongly, in the semiconductor film or the insulating film, and then will lower the ion effect exceedingly.
  • FIG. 1 A typical instance according to the present invention is shown in FIG. 1 , in which TFT applied by the present invention is illustrated. That is, the first silicon nitride film 102 is formed on an insulating substrate 101 , as the first blocking film which functions to keep away the contamination through a substrate. On the first silicon nitride film, e.g. such silicon oxide film (insulating film) 103 as has a good contacting property with a silicon material is formed.
  • TFT is prepared by a direct forming of a semiconductor film, on the first silicon nitride, without forming the film 103 , TFT will not operate, as a channel region will act as a conductor, according to a trap level generated in a boundary surface between the silicon nitride and the semiconductor material. It is therefore important to provide such a buffer material.
  • TFT is formed on the film 103 .
  • TFT has a source (drain) region 107 , a drain (source) region 109 , a channel region 108 provided between the regions of 107 and 109 , a gate insulating film 104 , and a gate electrode 110 .
  • Each region of source, drain, and channel of TFT is formed, employing a semiconductor material which is a single crystal, a polycrystal, or an amorphous.
  • the semiconductor material for example, silicon, germanium, silicon carbide, and alloys thereof can be used.
  • the second silicon nitride film 105 is formed covering this TFT.
  • the present invention has the features that the second silicon nitride film is formed, after the formation of TFT and also before the formation of an electrode at the source and/or the drain.
  • a silicon nitride film as a final passivation film is formed, after a formation of an electrode.
  • the silicon nitride film of the present invention is different from that formed by the prior arts under such meaning. That is, the second silicon nitride film of the present invention is formed, in order to envelop TFT along with the first silicon nitride film.
  • the present invention also aims at the prevention of contamination in an electrode formation process, after the forming of TFT. Therefore, it may be possible to form the silicon nitride film as a final passivation film, like the prior arts, after TFT and its accompanied electrode or wirings are formed in accordance with the present invention.
  • an interlayer insulating film 106 is formed, with an interlayer insulating material e.g. PSG (phosphosilicate glass) and so on. After that, a source (drain) electrode 111 and a drain (source) electrode 112 are formed.
  • an aluminum oxide, an aluminum nitride or a tantalum oxide instead of a silicon nitride is used as a blocking film.
  • FIG. 2 In the instance of FIG. 1 , however, a gate insulating film extends in a distance, and there is a possibility that a mobile ion etc. will intrude into the innerside of TFT from an edge part of the said gate insulating film extension.
  • FIG. 2 The improvement on this point is shown in FIG. 2 , in which a gate insulating film is situated only on TFT. Then, this type has no problem posed in FIG. 1 , but it is possible to disturb an operation of TFT in this case, on account of the reason that a silicon nitride part, which is in contact with a source region and a drain region parts being adjacent to a channel region, is polarized or traps electron by a gate voltage.
  • FIG. 3 An instance which overcomes the above problem is shown in FIG. 3 , in which a source region and a drain region being adjacent to a channel region are not neighboring to and not in contact with a silicon nitride film. So that the above problem of the polarization and the electron-trap of a silicon nitride can be solved.
  • a self-alignment process using a gate electrode as a mask in the forming of a source and a drain region, it is necessary to implant an acceptor or a donor element through a gate insulating film, similarly in an instance of FIG. 1 .
  • an ion implantation method it is required to raise an ion acceleration energy.
  • a high speed ion will be implanted thereinto, and then, it is possible that a source and a drain region are expanded by a secondary scattering of the high speed ion.
  • an insulating substrate, 202 the first silicon nitride film, 203 ; an insulating film used in buffering for silicon nitride etc. 204 ; a gate insulating film, 205 ; the second silicon nitride film, 206 ; an interlayer insulating film, 207 ; a source (drain) region, 208 ; a channel region, 209 ; a drain (source) region, 210 ; a gate electrode, 211 ; a source (drain) electrode, and 212 ; a drain (source) electrode.
  • an insulating substrate, 302 the first silicon nitride film, 303 ; an insulating film used in buffering for silicon nitride etc., 304 ; a gate insulating film, 305 ; the second silicon nitride film, 306 ; an interlayer insulating film, 307 ; a source (drain) region, 308 ; a channel region, 309 ; a drain (source) region, 310 ; a gate electrode, 311 ; a source (drain) electrode, and 312 ; a drain (source) electrode.
  • a silicon nitride film is formed by a low pressure CVD method
  • dichlorosilane (SiCl 2 H 2 ) and ammonia (NH 3 ) are used as a raw material.
  • silane (SiH 4 ) and tetrachlorosilane (SiCl 4 ) can be used.
  • an aluminum oxide film or a tantalum oxide film is used in the present invention, the closer to Al 2 O 3 or Ta 2 O 5 a stoichiometric composition was, the better result could be obtained.
  • These films are formed by a CVD method or a sputtering method.
  • an aluminum oxide film can be formed by oxidation of trimethyl aluminum Al(CH 3 ) 3 with nitrogen oxides (N 2 O, NO, NO 2 ).
  • the hydrogen atom concentration in a semiconductor film of such thin film semiconductor device as TFT be four times or less, preferably one time or less of the added halogen atom, and that the concentration of a harmful element such as carbon, nitrogen, or oxygen be 7 ⁇ 10 19 cm ⁇ 3 or less, preferably 1 ⁇ 10 19 cm ⁇ 3 or less. Further, it is recommended that the concentration of a mobile ion such as natrium, lithium, or potassium contained in a semiconductor film be 5 ⁇ 10 18 cm ⁇ 3 or less.
  • the insulating substrate containing a lot of mobile ion sources is born in mind to be used. Then, in order to conduct the present invention more efficiently, it is preferable to cover without omission all the circumference of insulating substrate, when the first silicon nitride film is formed on such substrate. In such situation, it is possible to exceedingly lower a probability that a mobile ion mixes into an device region from the substrate.
  • FIG. 4 shows an instance of forming a lightly doped drain (LDD), which is a well known prior art, according to the present invention.
  • a silicon nitride film 402 is formed on such insulating substrate 401 as quartz or AN glass in 50 to 1000 nm, using a low pressure CVD method.
  • the probability that a mobile ion generated in the back side reaches to the surface at a later process for example, the probability that a mobile ion intrudes into a film during a gate oxide film forming, will be remarkably lowered. Also, it is preferable in keeping the manufacturing equipments purified.
  • a silicon oxide film 403 used in buffering is formed on a silicon nitride film in 50 to 1000 nm thick, also using a low pressure CVD method.
  • a halogen element such as chlorine, fluorine will be involved in the obtained silicon oxide film, if a gas containing such halogen as hydrogen chloride (HCl), nitrogen fluoride (NF 3 or N 2 F 4 ), chlorine (Cl 2 ), fluorine (F 2 ), all sorts of fleon gas, and carbon tetrachloride (CCl 4 ) is mixed in a raw material gas, in a ratio of 3% to 6% by volume, e.g. 5% by volume.
  • This halogen combines with such alkaline ion as natrium, to fix natrium, then it is very effective to prevent natrium contamination. But it is not preferable that an excess addition of a halogen makes a film rough and damages its adhesion property and surface flatness. Also, it is recommendable to mix the above gas containing a halogen element in a raw material gas in a ratio of 2 to 5% by volume, in case of forming the said film using a light CVD method or a plasma CVD method, instead of a low pressure CVD method. Further, it is recommendable to mix the above halogen gas in a sputtering atmosphere by 2 to 20% by volume, in case of forming the said film by a sputtering method. Since the composition in an atmosphere does not be reflected to a film composition, it is needed to increase a little more concentration of halogen gas than the case of CVD methods.
  • an amorphous silicon film, a microcrystal or a polycrystal silicon film is formed in contact with the silicon oxide film 403 , in 20 to 500 nm thick, by means of a low pressure CVD method, a plasma CVD method, or a sputtering method. Then, this is etched to form the island shape.
  • a low pressure CVD method a plasma CVD method, or a sputtering method.
  • this is etched to form the island shape.
  • a method to introduce a halogen element it may be possible to mix a gas containing a halogen into a film forming atmosphere, in the same method as the aforementioned film 403 , or to introduce the gas after the film forming, by an ion implantation method. At this time, it is required to control a raw material gas concentration so that a halogen element concentration in a film be in the range of 1 ⁇ 10 18 to 5 ⁇ 10 20 atoms/cm 3 , preferably 1 ⁇ 10 19 to 1 ⁇ 10 20 atoms/cm 3 .
  • a hydrogen element concentration in a film is four times as much as or less, preferably one time as much as or less than that of a halogen, a halogen adding effect will be raised.
  • This effect can be explained as follows: Hydrogen is necessary to terminate a dangling bond of silicon, but its combination is weak and is simply loosed.
  • halogen element combines with silicon strongly. If hydrogen exists in a silicon excessively, which is meant by that there are a lot of dangling bonds in a film, almost all the halogen will combine with silicon. Then, it is impossible to effect gettering of a mobile ion traveling in a film. Therefore, it can be supposed that in a silicon containing much hydrogen, the halogen adding effect will be lowered. To the contrary, in a silicon containing less hydrogen, the halogen adding effect will be raised vice versa.
  • the concentration of carbon, nitrogen, and oxygen be 7 ⁇ 10 19 atoms/cm 3 or less, preferably 1 ⁇ 10 19 atoms/cm 3 or less, in such semiconductor film as silicon. This is resulted from the reason that these elements can not be removed by adding halogen.
  • the gettering of such mobile ion as natrium, lithium, and, potassium can be effected by adding halogen, this effect will be denied in case where these elements exist in excess. Therefore, it is preferable that the concentration of each mobile ion be 5 ⁇ 10 18 atoms/cm 3 or less.
  • a silicon oxide film as a gate insulating film is formed, in 10 to 200 nm, preferably 10 to 100 nm, using a low pressure CVD method or a sputtering method.
  • a halogen containing gas beforehand in a raw material gas or a sputtering gas, in the same way as mentioned before.
  • a polycrystal or a microcrystal silicon film in which about 10 21 cm ⁇ 3 of phosphorus is doped, is formed using a low pressure CVD method or a plasma CVD method. Then, the silicon film and the gate insulating film (silicon oxide) provided beneath the silicon film are patterned to form a gate electrode 410 and a gate insulating film 404 .
  • a source (drain) region 407 and a drain (source) region 409 which contain comparatively low impurity concentration (about 10 17 to 10 19 cm ⁇ 3 ), are formed, implanting an ion in a self-alignment method, using this gate electrode as a mask.
  • the portion where an impurity is not implanted remains as a channel region 408 .
  • FIG. 4 (A) is obtained.
  • a PSG (phosphosilicate glass) film 413 is formed throughout the surface, using a low pressure CVD method. And this is etched by the conventional direction depending etching method to form a side wall 414 , in the side of a gate electrode. Then, a source (drain) region 407 a and a drain (source) region 409 a , which contain a high impurity concentration, are formed by implanting an ion again. A region having a low impurity concentration becomes a source (drain) region 407 b and a drain (source) region 409 b , and forms LDD (lightly doped drain). Thus, FIG. 4(C) is obtained.
  • a silicon nitride film 405 is formed all over the surface, in 50 to 1000 nm thick using a low pressure CVD method. Then, a silicon film is crystallized to activate a source, drain region, by a low temperature annealing of e.g. 600° C. This process can be effected by a laser annealing. Thus, TFT intermediate is obtained.
  • FIG. 4 shows one preferred example of the present invention, and the present invention is not limited to the above process.
  • a silicon nitride film, a gate electrode, and a source or a drain region do not adjoin, like the example of FIG. 3 . That is, the case of FIG. 4 is different from that of FIG. 2 in that a side wall 414 is provided. Then, there is no problem which was concerned about in FIG. 2 . Further, the case of FIG. 4 is characterized in that an adding of a donor or an acceptor can be easily effected without passing an insulating film, in different way from the case of FIG. 3 .
  • FIG. 1 shows an example of TFT in accordance with the present invention.
  • FIG. 2 shows an another example of TFT in accordance with the present invention.
  • FIG. 3 shows a still another example of TFT in accordance with the present invention.
  • FIG. 4 shows a preparing example of TFT in accordance with the present invention.
  • FIG. 5 shows an example of the conventional TFT.
  • FIG. 6 shows a mobile ion effect on the characteristics of TFT.
  • FIG. 7 shows TFT characteristics, in cases of using the present invention and without using the present invention.
  • FIG. 8 shows another TFT characteristics, in cases of using the present invention and without using the present invention.
  • TFT used in this Example was a LDD type TFT prepared on a quartz glass, according to the process of FIG. 4 .
  • a silicon nitride film 402 was formed in 100 nm thick, on a quartz glass 401 and also a back and a side thereof (i.e. in whole surface of the substrate), using a low pressure CVD method.
  • a silicon oxide film (insulating film) 403 (a low temperature oxidation film, LTO film) was formed in 200 nm thick.
  • an amorphous silicon film was formed on the silicon oxide film 403 in 30 nm thick. At this time, the maximum process temperature was 600° C.
  • the amorphous silicon was patterned into the island shape. Then, the very thin surface portion of the amorphous silicon was oxidized, in 2 to 10 nm thick by an anodic oxidation method. The oxidized surface portion comprised silicon oxide. Then, a silicon oxide film (insulating film) was formed thereon in 100 nm by a sputtering method.
  • the sputtering atmosphere was mixed gases consisting of oxygen and argon, or other rare gas, and the oxygen partial pressure thereof was 80% or more. At this time, a defect generated in a surface film by a shock of sputtering. For example, if the surface film is a silicon film, oxygen atom is implanted in the silicon to increase an oxygen concentration.
  • silicon will be in a high localized level. Namely, a boundary between silicon and silicon oxide will be unclear.
  • the beforehand forming of the thin anodic oxidized film can prevent the above atom mixing, and then the boundary between silicon and silicon oxide can be kept clear. This is due to the reason that there exists silicon oxide in advance in sputtering.
  • an n + -type microcrystal silicon film containing 10 21 cm ⁇ 3 degree of phosphorus was formed in 300 nm thick, using a low pressure CVD method.
  • the maximum process temperature during the above film forming was 650° C. .
  • patterning was carried out to form a gate electrode 410 and a gate insulating film 404 .
  • the gate electrode 410 was made from the n + -type microcrystal silicon film and the gate insulating film 404 was made from the anodic oxidized surface portion and the silicon oxide film formed thereon.
  • a source and drain regions 407 and 409 were formed, by implanting 2 ⁇ 10 18 cm ⁇ 3 of arsenic ion using an ion implantation.
  • FIG. 4(A) was obtained.
  • PSG (phosphosilicate glass) film 413 was formed by a low pressure CVD method, and as shown in FIG. 4(C) , a side wall 414 was formed by a direction depending etching method. Then, 5 ⁇ 10 20 cm ⁇ 3 of an arsenic ion was implanted in the regions 407 a and 409 a , by an ion implantation.
  • a silicon nitride film 405 was formed all over the surface, by a low pressure CVD method. Thereby, FIG. 4(D) was obtained. Then, it was annealed at 620° C. for 48 hrs. in a vacuum to activate the regions 407 a , 407 b , 408 , 409 a , and 409 b . Then, as an interlayer insulation, PSG (phosphosilicate glass) film was formed all over the surface by a low pressure CVD method. And a hole was made to provide an aluminum electrodes in the source and the drain regions. Lastly, for the purpose of a passivation, a silicon nitride film was again formed all over the surface, using a low pressure CVD method.
  • PSG phosphosilicate glass
  • TFT prepared in this way as mentioned above was remarkably high in confidence. It showed that the operation features of an devise did not change by even a so-called Bias-Temperature treatment (BT treatment).
  • BT treatment was conducted by applying a bias voltage V B between the gate (G), the source(S), and the drain(D) of a circuit shown in FIG. 8 under heating.
  • FIG. (B) shows a TFT features obtained by the method described in the above. Like this, the features were not dependent on the bias voltage V B , on TFT according to the present Example, and the fluctuations of threshold value voltage was 0.2 V or less by the accurate measurement.
  • FIG. 8 (A) shows TFT obtained by the same process with the present Example, excepting that the silicon nitride films 402 and 405 were not provided.
  • TFT features are very dependent on the V B .
  • Such fluctuations fluctuations of threshold value
  • Such fluctuations are explained to be caused by such mobile ion as natrium etc. in a gate insulating film. Namely, it is explained that the more mobile ion is contained, the more fluctuations are effected, and that the case where the fluctuations are few as shown in FIG. 8 (B) are due to a small amount of the mobile ion.
  • a mobile ion amount in a gate electrode of TFT prepared by the present Examples is about 8 ⁇ 10 10 cm ⁇ 3 , from the fluctuations width of threshold value voltage.
  • TFT used in this Example was a LDD (lightly doped drain)type TFT, which was prepared on a quartz glass substrate according to the process of FIG. 4 .
  • a silicon nitride film 402 was formed on a quartz glass substrate 401 and a back thereof, in 100 nm thick by a low pressure CVD method.
  • a silicon oxide film (low temperature oxidation film, LTO film) 403 was formed continuously in 200 nm thick by a low pressure CVD method.
  • an amorphous silicon film was formed thereon, in 30 nm thick by also a low pressure CVD method. At this time, the maximum temperature of the process was 600° C.
  • the film forming was carried out in a CVD apparatus consisting of three reactors arranged in succession, but in case of the forming of a silicon oxide film and an amorphous silicon film, a reaction was effected by adding 5% by volume of hydrogen chloride gas (HCl) as a halogen additive gas, in addition to a raw material gas.
  • HCl hydrogen chloride gas
  • a halogen chlorine
  • a silicon oxide film and an amorphous silicon film By a secondary ion mass spectrometry, the chlorine contents in a silicon oxide film and an amorphous silicon were 2.3 ⁇ 10 19 atoms/cm 3 , 3.1 ⁇ 10 19 atoms/cm 3 , respectively.
  • a raw material gas of a silicon nitride film dichlorosilane (SiCl 2 H 2 ) and ammonia (NH 3 ), as a raw material gas of a silicon oxide film, disilane (Si 2 H 6 ) and oxygen (O 2 ) and hydrogen chloride, and as a raw material gas of an amorphous silicon, disilane and hydrogen chloride were employed respectively.
  • the purity of each raw material was 6N.
  • the contents of hydrogen atom in a silicon oxide film and an amorphous silicon film thus obtained were confirmed to be both 1 ⁇ 10 19 atoms/cm 3 or less. Also, since the film forming was effected continuously without being exposed in the air, the concentration of carbon, nitrogen, and oxygen in the silicon film was confirmed to be 1 ⁇ 10 18 atoms/cm 3 or less.
  • an amorphous silicon film was patterned into an island shape, and the very thin surface portion of the amorphous silicon was oxidized, in 2 to 10 nm thick by an anodic oxidation.
  • the anodic oxidation was effected, using a platinum electrode as a cathod in an electrolyte containing N-methylacetoamide (NMA) or tetrahydrofurfurylalcohol (THF) added with KNO 2 , by a constant voltage method at 10 to 50° C.
  • NMA N-methylacetoamide
  • THF tetrahydrofurfurylalcohol
  • a silicon oxide film was formed thereon in 100 nm thick using a sputtering method.
  • a mixed gas of oxygen and argon or other rare gas and hydrogen chloride in which the oxygen partial pressure was 80% or more and the hydrogen chloride concentration was 10%, was employed.
  • a defect generated in a surface film by a shock of sputtering For example, if the surface film is a silicon film, oxygen atom is implanted in silicon to increase oxygen concentration therein. Under such situation, silicon will be in a lot of the localized level. That is, a boundary between silicon and silicon oxide will be unclear.
  • the present Example by beforehand forming of the thin anodic oxidized film, the above mentioned atom mixing can be prevented, and the boundary between the silicon film and the silicon oxide film can be maintained, because the silicon oxide film (the thin anodic oxidized film) has been formed before the sputtering.
  • an n + -type microcrystal silicon film containing 10 21 cm ⁇ 3 extent of phosphorus was formed, in 300 nm thick using a low pressure CVD method.
  • the maximum process temperature in the above film forming was 650° C.
  • a gate electrode was patterned to form a gate electrode 410 and a gate insulating film 404 .
  • a source and a drain regions 407 and 409 were formed, implanting 2 ⁇ 10 18 cm ⁇ 3 of arsenic ion by ion implantation method.
  • FIG. 4 (A) was obtained.
  • PSG (phosphosilicate glass) film 413 was formed by a low pressure CVD method, and a side wall 414 shown in FIG. 4 (C) was formed by a direction dependent etching. Further, 5 ⁇ 10 20 cm ⁇ 3 of arsenic ion was implanted in the regions 407 a and 409 a by ion implantation method.
  • a silicon nitride film 405 was formed throughout the surface, by a low pressure CVD method. Thereby, FIG. 4 (D) was obtained. Then, it was annealed to activate the regions 407 a , 407 b , 408 , 409 a, and 409 b , at 620° C. for 48 hrs. in a vacuum. As an interlayer insulation, PSG (phosphosilicate glass) film was formed all over the surface by a low pressure CVD method, and a hole for an electrode was made to form an aluminum electrodes in a source region and a drain region. Lastly, for the purpose of a passivation, a silicon nitride film was formed again throughout the surface, by a low pressure CVD method.
  • PSG phosphosilicate glass
  • BT treatment bias-temperature treatment
  • FIG. 6 (A) indicates TFT in which a mobile ion exists in a gate insulating film and in a channel region.
  • the channel region In the channel region, there exists an alkaline mobile ion (indicated as A + in FIG.) which functions as a donor. Then, the channel shows a weak N type (N ⁇ -type), the state of which is referred to as state 1 .
  • the mobile ion (positive ion) in the channel region will be made far away from a gate electrode in the first place, and then, the channel region will be made intrinsic (I-type). This state is referred to as state 2 . Consequently, I D (drain current) ⁇ V G (gate voltage) characteristic of TFT will be moved largely to the rightside, as shown in FIG. 6 (D).
  • the characteristic of TFT prepared by the method as described in the above is illustrated in FIG. 7 (B).
  • the bias voltage has no influence on TFT at all as such, and the fluctuation of threshold value voltage was 0.2 V or less as a result by an accurate measurement.
  • TFT shown in FIG. 7 (A) is the one in case where the silicon nitride films 402 and 405 are not provided, and the halogen concentration of each film thereof is 1 ⁇ 10 14 cm ⁇ 3 or less, and also it is prepared by the same process with the method disclosed in the present Example, excepting the said points.
  • the characteristic is dependent upon V B to a large extent. It is estimated that the content of a mobile ion in a gate electrode of TFT prepared by the present Example is in a degree of 8 ⁇ 10 10 cm ⁇ 3 , from a fluctuation width point view of the threshold value voltage of FIG. 7(B) .
  • the concentrations of natrium, potassium, and lithium in a silicon film (channel region) and a gate insulating film of TFT prepared by the present Example were measured to be 3 ⁇ 10 17 cm ⁇ 3 , 7 ⁇ 10 15 cm ⁇ 3 , and 5 ⁇ 10 15 cm ⁇ 3 respectively. Though such a lot of alkaline element existed therein, the mobile ion amount was little. This fact is presumed to be caused by that it was fixed with halogen (with chlorine in this case). The measurement of natrium, potassium, and lithium concentration in TFT prepared for a comparison showed such much amount as 7 ⁇ 10 18 cm ⁇ 3 , 2 ⁇ 10 16 cm ⁇ 3 , and 4 ⁇ 10 19 cm ⁇ 3 respectively.
  • the present invention it is possible to prepare a thin film semiconductor device such as TFT, on which the influence of such a mobile ion as natrium can be reduced. And it is achieved that TFT can be prepared, even on a substrate, the device on which has not been able to be prepared so far, on account of the existence of a mobile ion therein.
  • the present invention can be applied to a coplanar type as shown in FIGS. 1 to 4 , an inverse coplanar type, a stagger type, and an inverse stagger type.
  • the silicon of a transistor may be an amorphous, a polycrystal, a microcrystal, a single crystal and an intermediate state thereof.

Abstract

An improved type thin film semiconductor device and a method for forming the same are described. That is, in a thin film semiconductor device such as TFT formed on an insulating substrate, it is possible to prevent the intrusion of a mobile ion from a substrate or other parts, by forming the first blocking film comprising a silicon nitride, an aluminum oxide, an aluminum nitride, a tantalum oxide, and the like, under the semiconductor device through an insulating film used in a buffering, and then, by forming the second blocking film on TFT, and further, by covering TFT with said first and second blocking films.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a thin film semiconductor device and a method for forming the same. More particularly, the present invention relates to a thin film semiconductor device and a method for forming the same which excel in confidence, productivity, and yield thereof. The present invention is applicable to e.g. a three dimensional integrated circuit or a driving circuit used in a liquid crystal display or a thin film image sensor and the like.
  • 2. Description of the Related Art
  • Heretofore, a semiconductor integrated circuit has been mainly a monolithic type, which has been formed on such semiconductor substrate as silicon. Recently, it has been tried to form it on an insulating substrate made of glass, sapphire, and the like. This is caused by the reasons that an operation speed will be raised according to the lowering of parasitic capacity between a substrate and a wiring, especially quartz or glass materials are not limited in size like a silicon wafer, cheap, easy to separate each device, and further a latch-up phenomenon, which poses a problem in a monolithic circuit of CMOS, will not arise. Also, it is necessary to form a thin film transistor (hereinafter refer to as TFT) etc. on a transparent substrate, because a semiconductor device and a liquid crystal element, or a light detecting element are required to be composed in a body, in a liquid crystal display and a contact type image sensor.
  • According to these reasons, a thin film semiconductor device has come to be formed on an insulating substrate. As shown in FIG. 5 which represents an instance of the usual thin film semiconductor device, a film 503 of silicon oxide etc. is formed on an insulating substrate 501, as a passivation film, and thereon, TFT is formed independent of other TFT. TFT has a source (drain) region 507, a drain (source) region 509, a channel forming region 508 (simply called as a channel region) which is held between the said regions of 507 and 509, a gate insulating film 504, a gate electrode 510, a source (drain) electrode 511, and a drain (source) electrode 512, just like MOSFET of a monolithic integrated circuit. Also, there is provided with an interlayer insulation 506 of PSG (phosphosilicate glass) so that a multilayer interconnect can be made.
  • The instance of FIG. 5 is called as a coplanar type, but, TFT has further different types such as an inverse coplanar type, a stagger type, and an inverse stagger type, according to the arrangement of a gate electrode and a channel region. These types are well known in the usual literatures to which the details can be referred.
  • Also in case of the monolithic integrated circuit, such alkaline ion as natrium and potassium, or such transition metal ion as iron, copper, and nickel poses a serious contamination problem, and much attention has been paid to stop the intrusion of these ions. In case of TFT, the problem of these ions are important alike, and close attention has been so given to the purification in a manufacturing process as to avoid the ion contamination to the utmost. And also a countermeasure has been taken so that the contamination of these ions do not extend to the device.
  • A thin film semiconductor device is different from a monolithic integrated circuit, in a point that a contaminated ion concentration in a substrate of the former is rather higher than that of the latter. That is, a single silicon crystal used in a monolithic integrated circuit has been so manufactured as to eliminate these harmful elements, based on the long experienced technologies. The content of these elements contaminated in thepresent products on sale is 1010 cm−3 or less.
  • However, in general, the concentration of elements contaminated in an insulating substrate usable for a thin film semiconductor device is not low. Of course, it is theoretically possible to reduce a strange element concentration, which will be the above contamination source, in case of such single crystal substrate as a spinel or a sapphire substrate. But it is not actual from a profitable point of view.
  • Also, in case of a quartz substrate, it is ideally possible to suppress the intrusion of the strange element, if it is prepared in a gaseous reaction (vapor reaction), using a high purity of silane gas and oxygen as a raw material. But it is difficult to send out the strange element to the outside in case it is once taken in, as the structure of quartz substrate is amorphous. A substrate used in a liquid crystal display gives a priority to the cost problem in particular, and then it is needed to use a cheap one, which originally contains various sorts of strange elements so as to make the manufacturing and the processing easy. There are strange elements which are not desirable in themselves for a semiconductor device, and there are cases where the strange elements will be mixed from the outside in the process of their adding, or these are contained in an adding material as an impurity.
  • Since for example, TN glass is a cheap glass substrate, heat resisting, and equivalent to silicon in a heat expansion coefficient, it is desirable for a substrate used in a liquid crystal display. But it contains about 5% of lithium, a portion of which is ionized to intrude into a semiconductor device as a mobile ion, and to deteriorate a device grade. Also it is difficult to prepare a high purity lithium of 99% or more, and about 0.7% of natrium is usually contained therein. An ionization ratio of natrium is very high to show about 10%, which brings about a very serious effect on the device features.
  • As shown in FIG. 5, in the conventional thin film semiconductor device, silicon oxide etc. has been used as a passivation film to cope with the intrusion of these mobile ions. Also these ions have been dealt with by gettering them, employing PSG (Phosphosilicate glass) or BPSG (Borophosphosilicate glass) as a interlayer insulation. However, it has been difficult to prevent the contamination effectively by these methods.
  • SUMMARY OF THE INVENTION
  • Accordingly, it is an object of the present invention to effectively suppress the deterioration of a device which is caused by the intrusion of these contaminated elements or ions. In accordance with the present invention, it is characterized in that so as to control the above contamination, a blocking film such as a film of silicon nitride, aluminum nitride, aluminum oxide, tantalum oxide and the like, which has a function to block the mobile ion, is formed on and beneath a thin film semiconductor device, and further, 1×1018 to 5×1020 atoms/cm3, preferably 1×1019 to 1×1020 atoms/cm3 of such halogen element as chlorine and fluorine is contained in either one or two of the semiconductor film (channel region provided in the semiconductor film) and the gate insulating film which constitute TFT. The halogen element has a function that it will combine with such mobile ion as natrium strongly, in the semiconductor film or the insulating film, and then will lower the ion effect exceedingly.
  • A typical instance according to the present invention is shown in FIG. 1, in which TFT applied by the present invention is illustrated. That is, the first silicon nitride film 102 is formed on an insulating substrate 101, as the first blocking film which functions to keep away the contamination through a substrate. On the first silicon nitride film, e.g. such silicon oxide film (insulating film) 103 as has a good contacting property with a silicon material is formed. If TFT is prepared by a direct forming of a semiconductor film, on the first silicon nitride, without forming the film 103, TFT will not operate, as a channel region will act as a conductor, according to a trap level generated in a boundary surface between the silicon nitride and the semiconductor material. It is therefore important to provide such a buffer material.
  • TFT is formed on the film 103. TFT has a source (drain) region 107, a drain (source) region 109, a channel region 108 provided between the regions of 107 and 109, a gate insulating film 104, and a gate electrode 110. Each region of source, drain, and channel of TFT is formed, employing a semiconductor material which is a single crystal, a polycrystal, or an amorphous. As the semiconductor material, for example, silicon, germanium, silicon carbide, and alloys thereof can be used.
  • Then, as the second blocking film, the second silicon nitride film 105 is formed covering this TFT. The present invention has the features that the second silicon nitride film is formed, after the formation of TFT and also before the formation of an electrode at the source and/or the drain. In the prior arts, a silicon nitride film as a final passivation film is formed, after a formation of an electrode. The silicon nitride film of the present invention, however, is different from that formed by the prior arts under such meaning. That is, the second silicon nitride film of the present invention is formed, in order to envelop TFT along with the first silicon nitride film. The present invention also aims at the prevention of contamination in an electrode formation process, after the forming of TFT. Therefore, it may be possible to form the silicon nitride film as a final passivation film, like the prior arts, after TFT and its accompanied electrode or wirings are formed in accordance with the present invention.
  • Then, after the formation of the second silicon nitride film, an interlayer insulating film 106 is formed, with an interlayer insulating material e.g. PSG (phosphosilicate glass) and so on. After that, a source (drain) electrode 111 and a drain (source) electrode 112 are formed. As aforementioned, it may be possible that an aluminum oxide, an aluminum nitride or a tantalum oxide instead of a silicon nitride is used as a blocking film.
  • In the instance of FIG. 1, however, a gate insulating film extends in a distance, and there is a possibility that a mobile ion etc. will intrude into the innerside of TFT from an edge part of the said gate insulating film extension. The improvement on this point is shown in FIG. 2, in which a gate insulating film is situated only on TFT. Then, this type has no problem posed in FIG. 1, but it is possible to disturb an operation of TFT in this case, on account of the reason that a silicon nitride part, which is in contact with a source region and a drain region parts being adjacent to a channel region, is polarized or traps electron by a gate voltage.
  • An instance which overcomes the above problem is shown in FIG. 3, in which a source region and a drain region being adjacent to a channel region are not neighboring to and not in contact with a silicon nitride film. So that the above problem of the polarization and the electron-trap of a silicon nitride can be solved. However, in case of applying a self-alignment process using a gate electrode as a mask, in the forming of a source and a drain region, it is necessary to implant an acceptor or a donor element through a gate insulating film, similarly in an instance of FIG. 1. For that purpose, if an ion implantation method is employed, it is required to raise an ion acceleration energy. On that occasion, a high speed ion will be implanted thereinto, and then, it is possible that a source and a drain region are expanded by a secondary scattering of the high speed ion.
  • In FIG. 2, the numerals are as follows:
  • 201; an insulating substrate, 202; the first silicon nitride film, 203; an insulating film used in buffering for silicon nitride etc. 204; a gate insulating film, 205; the second silicon nitride film, 206; an interlayer insulating film, 207; a source (drain) region, 208; a channel region, 209; a drain (source) region, 210; a gate electrode, 211; a source (drain) electrode, and 212; a drain (source) electrode.
  • In FIG. 3, the numerals are as follows:
  • 301; an insulating substrate, 302; the first silicon nitride film, 303; an insulating film used in buffering for silicon nitride etc., 304; a gate insulating film, 305; the second silicon nitride film, 306; an interlayer insulating film, 307; a source (drain) region, 308; a channel region, 309; a drain (source) region, 310; a gate electrode, 311; a source (drain) electrode, and 312; a drain (source) electrode.
  • In case where a silicon nitride film is used as a blocking film, according to the present invention, a good result has been obtained by selecting the followings.
  • In the formula represented by SiNx, x=1.0 to 1.7, preferably x=1.3 to 1.35. (stoichiometric composition, x=1.33). Therefore, in the present invention, it is better to form a silicon nitride by using a low pressure CVD method. But it is needless to say that even the silicon nitride film formed by a plasma CVD method or a light CVD method has more confidence in a device quality, compared with the one formed without using the present invention.
  • In case where a silicon nitride film is formed by a low pressure CVD method, as a raw material, dichlorosilane (SiCl2H2) and ammonia (NH3) are used. As a reaction condition, pressure: 10 1000 Pa and temperature: 500 to 800° C., preferably 550 to 750° C., are employed. Of course, silane (SiH4) and tetrachlorosilane (SiCl4) can be used.
  • In case where an aluminum oxide film or a tantalum oxide film is used in the present invention, the closer to Al2O3 or Ta2O5 a stoichiometric composition was, the better result could be obtained. These films are formed by a CVD method or a sputtering method. For example, an aluminum oxide film can be formed by oxidation of trimethyl aluminum Al(CH3)3 with nitrogen oxides (N2O, NO, NO2).
  • In order to carry out the present invention more effectively, it is recommended that the hydrogen atom concentration in a semiconductor film of such thin film semiconductor device as TFT be four times or less, preferably one time or less of the added halogen atom, and that the concentration of a harmful element such as carbon, nitrogen, or oxygen be 7×1019 cm−3 or less, preferably 1×1019 cm−3 or less. Further, it is recommended that the concentration of a mobile ion such as natrium, lithium, or potassium contained in a semiconductor film be 5×1018 cm−3 or less.
  • In order to achieve the above mentioned objects, it is desired to use a gas having a high purity of 5 N or more, paying a full attention to a material gas. Further, according to the present invention, the insulating substrate containing a lot of mobile ion sources is born in mind to be used. Then, in order to conduct the present invention more efficiently, it is preferable to cover without omission all the circumference of insulating substrate, when the first silicon nitride film is formed on such substrate. In such situation, it is possible to exceedingly lower a probability that a mobile ion mixes into an device region from the substrate.
  • FIG. 4 shows an instance of forming a lightly doped drain (LDD), which is a well known prior art, according to the present invention. First of all, a silicon nitride film 402 is formed on such insulating substrate 401 as quartz or AN glass in 50 to 1000 nm, using a low pressure CVD method. At this time, by covering all the surface of substrate including its back side too, as aforesaid, the probability that a mobile ion generated in the back side reaches to the surface at a later process, for example, the probability that a mobile ion intrudes into a film during a gate oxide film forming, will be remarkably lowered. Also, it is preferable in keeping the manufacturing equipments purified. A silicon oxide film 403 used in buffering is formed on a silicon nitride film in 50 to 1000 nm thick, also using a low pressure CVD method. At this time, a halogen element such as chlorine, fluorine will be involved in the obtained silicon oxide film, if a gas containing such halogen as hydrogen chloride (HCl), nitrogen fluoride (NF3 or N2F4), chlorine (Cl2), fluorine (F2), all sorts of fleon gas, and carbon tetrachloride (CCl4) is mixed in a raw material gas, in a ratio of 3% to 6% by volume, e.g. 5% by volume.
  • This halogen combines with such alkaline ion as natrium, to fix natrium, then it is very effective to prevent natrium contamination. But it is not preferable that an excess addition of a halogen makes a film rough and damages its adhesion property and surface flatness. Also, it is recommendable to mix the above gas containing a halogen element in a raw material gas in a ratio of 2 to 5% by volume, in case of forming the said film using a light CVD method or a plasma CVD method, instead of a low pressure CVD method. Further, it is recommendable to mix the above halogen gas in a sputtering atmosphere by 2 to 20% by volume, in case of forming the said film by a sputtering method. Since the composition in an atmosphere does not be reflected to a film composition, it is needed to increase a little more concentration of halogen gas than the case of CVD methods.
  • Then, an amorphous silicon film, a microcrystal or a polycrystal silicon film is formed in contact with the silicon oxide film 403, in 20 to 500 nm thick, by means of a low pressure CVD method, a plasma CVD method, or a sputtering method. Then, this is etched to form the island shape. When the silicon film is formed, it is preferable to introduce a halogen element into the film, in the same way as the forming of the aforesaid film 403. As a method to introduce a halogen element, it may be possible to mix a gas containing a halogen into a film forming atmosphere, in the same method as the aforementioned film 403, or to introduce the gas after the film forming, by an ion implantation method. At this time, it is required to control a raw material gas concentration so that a halogen element concentration in a film be in the range of 1×1018 to 5×1020 atoms/cm3, preferably 1×1019 to 1×1020 atoms/cm3.
  • Still further, at the same time, if a hydrogen element concentration in a film is four times as much as or less, preferably one time as much as or less than that of a halogen, a halogen adding effect will be raised. This effect can be explained as follows: Hydrogen is necessary to terminate a dangling bond of silicon, but its combination is weak and is simply loosed. On the other hand, halogen element combines with silicon strongly. If hydrogen exists in a silicon excessively, which is meant by that there are a lot of dangling bonds in a film, almost all the halogen will combine with silicon. Then, it is impossible to effect gettering of a mobile ion traveling in a film. Therefore, it can be supposed that in a silicon containing much hydrogen, the halogen adding effect will be lowered. To the contrary, in a silicon containing less hydrogen, the halogen adding effect will be raised vice versa.
  • Also, it is desired that as a harmful element except a mobile ion, the concentration of carbon, nitrogen, and oxygen be 7×1019 atoms/cm3 or less, preferably 1×1019 atoms/cm3 or less, in such semiconductor film as silicon. This is resulted from the reason that these elements can not be removed by adding halogen.
  • Further, though the gettering of such mobile ion as natrium, lithium, and, potassium can be effected by adding halogen, this effect will be denied in case where these elements exist in excess. Therefore, it is preferable that the concentration of each mobile ion be 5×1018 atoms/cm3 or less.
  • Then, on a silicon film formed as above mentioned, a silicon oxide film as a gate insulating film is formed, in 10 to 200 nm, preferably 10 to 100 nm, using a low pressure CVD method or a sputtering method. In this case, it is also preferable to mix a halogen containing gas beforehand in a raw material gas or a sputtering gas, in the same way as mentioned before.
  • Next, on this silicon oxide film, a polycrystal or a microcrystal silicon film, in which about 10 21 cm−3 of phosphorus is doped, is formed using a low pressure CVD method or a plasma CVD method. Then, the silicon film and the gate insulating film (silicon oxide) provided beneath the silicon film are patterned to form a gate electrode 410 and a gate insulating film 404.
  • Further, a source (drain) region 407 and a drain (source) region 409, which contain comparatively low impurity concentration (about 10 17 to 10 19 cm−3), are formed, implanting an ion in a self-alignment method, using this gate electrode as a mask. The portion where an impurity is not implanted remains as a channel region 408. Thus, FIG. 4 (A) is obtained.
  • Next, as shown in FIG. 4 (B), a PSG (phosphosilicate glass) film 413 is formed throughout the surface, using a low pressure CVD method. And this is etched by the conventional direction depending etching method to form a side wall 414, in the side of a gate electrode. Then, a source (drain) region 407 a and a drain (source) region 409 a, which contain a high impurity concentration, are formed by implanting an ion again. A region having a low impurity concentration becomes a source (drain) region 407 b and a drain (source) region 409 b, and forms LDD (lightly doped drain). Thus, FIG. 4(C) is obtained.
  • After that, as shown in FIG. 4(D), a silicon nitride film 405 is formed all over the surface, in 50 to 1000 nm thick using a low pressure CVD method. Then, a silicon film is crystallized to activate a source, drain region, by a low temperature annealing of e.g. 600° C. This process can be effected by a laser annealing. Thus, TFT intermediate is obtained.
  • FIG. 4 shows one preferred example of the present invention, and the present invention is not limited to the above process. In the example of FIG. 4, a silicon nitride film, a gate electrode, and a source or a drain region do not adjoin, like the example of FIG. 3. That is, the case of FIG. 4 is different from that of FIG. 2 in that a side wall 414 is provided. Then, there is no problem which was worried about in FIG. 2. Further, the case of FIG. 4 is characterized in that an adding of a donor or an acceptor can be easily effected without passing an insulating film, in different way from the case of FIG. 3.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects, features, and advantages of the present invention will be become more apparent, from the following description of the preferred embodiments taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 shows an example of TFT in accordance with the present invention.
  • FIG. 2 shows an another example of TFT in accordance with the present invention.
  • FIG. 3 shows a still another example of TFT in accordance with the present invention.
  • FIG. 4 shows a preparing example of TFT in accordance with the present invention.
  • FIG. 5 shows an example of the conventional TFT.
  • FIG. 6 shows a mobile ion effect on the characteristics of TFT.
  • FIG. 7 shows TFT characteristics, in cases of using the present invention and without using the present invention.
  • FIG. 8 shows another TFT characteristics, in cases of using the present invention and without using the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The present invention will be explained in more detail by reference to the following Examples, in connection with the drawings. The present invention is by no means limited to the Examples.
  • Example 1
  • The characteristics of TFT in accordance with the present invention is described as follows. TFT used in this Example was a LDD type TFT prepared on a quartz glass, according to the process of FIG. 4. First of all, a silicon nitride film 402 was formed in 100 nm thick, on a quartz glass 401 and also a back and a side thereof (i.e. in whole surface of the substrate), using a low pressure CVD method. Then, continuously by this low pressure CVD method, a silicon oxide film (insulating film) 403 (a low temperature oxidation film, LTO film) was formed in 200 nm thick. Lastly, by this low pressure CVD method as well, an amorphous silicon film was formed on the silicon oxide film 403 in 30 nm thick. At this time, the maximum process temperature was 600° C.
  • Next, the amorphous silicon was patterned into the island shape. Then, the very thin surface portion of the amorphous silicon was oxidized, in 2 to 10 nm thick by an anodic oxidation method. The oxidized surface portion comprised silicon oxide. Then, a silicon oxide film (insulating film) was formed thereon in 100 nm by a sputtering method. Here, the sputtering atmosphere was mixed gases consisting of oxygen and argon, or other rare gas, and the oxygen partial pressure thereof was 80% or more. At this time, a defect generated in a surface film by a shock of sputtering. For example, if the surface film is a silicon film, oxygen atom is implanted in the silicon to increase an oxygen concentration. Under this status, silicon will be in a high localized level. Namely, a boundary between silicon and silicon oxide will be unclear. However, according to the present Example, the beforehand forming of the thin anodic oxidized film can prevent the above atom mixing, and then the boundary between silicon and silicon oxide can be kept clear. This is due to the reason that there exists silicon oxide in advance in sputtering.
  • After forming of this silicon oxide film, an n+-type microcrystal silicon film containing 1021 cm−3 degree of phosphorus was formed in 300 nm thick, using a low pressure CVD method. The maximum process temperature during the above film forming was 650° C. . Then, patterning was carried out to form a gate electrode 410 and a gate insulating film 404. The gate electrode 410 was made from the n+-type microcrystal silicon film and the gate insulating film 404 was made from the anodic oxidized surface portion and the silicon oxide film formed thereon. Further, a source and drain regions 407 and 409 were formed, by implanting 2×1018 cm−3 of arsenic ion using an ion implantation. Thus, FIG. 4(A) was obtained.
  • As shown in FIG. 4(B), PSG (phosphosilicate glass) film 413 was formed by a low pressure CVD method, and as shown in FIG. 4(C), a side wall 414 was formed by a direction depending etching method. Then, 5×1020 cm−3 of an arsenic ion was implanted in the regions 407 a and 409 a, by an ion implantation.
  • After that, a silicon nitride film 405 was formed all over the surface, by a low pressure CVD method. Thereby, FIG. 4(D) was obtained. Then, it was annealed at 620° C. for 48 hrs. in a vacuum to activate the regions 407 a, 407 b, 408, 409 a, and 409 b. Then, as an interlayer insulation, PSG (phosphosilicate glass) film was formed all over the surface by a low pressure CVD method. And a hole was made to provide an aluminum electrodes in the source and the drain regions. Lastly, for the purpose of a passivation, a silicon nitride film was again formed all over the surface, using a low pressure CVD method.
  • TFT prepared in this way as mentioned above was remarkably high in confidence. It showed that the operation features of an devise did not change by even a so-called Bias-Temperature treatment (BT treatment). The features are illustrated in FIG. 8. BT treatment was conducted by applying a bias voltage VB between the gate (G), the source(S), and the drain(D) of a circuit shown in FIG. 8 under heating. Concretely, as soon as TFT was prepared, the gate voltage−drain current characteristic of the TFT was measured at room temperature (VB=0). Then, +20 V of voltage was applied to the gate electrode at 150° C. for an hour and the measurement of the gate voltage−drain current characteristic of the TFT at room temperature was conducted (VB=+20 V). Next, −20 V of voltage was applied to a gate electrode again at 150′C. for an hour, then the gate voltage−drain current characteristic of the TFT was measured at room temperature (VB=−20 V), and the fluctuations of threshold value voltage of TFT was examined. FIG. (B) shows a TFT features obtained by the method described in the above. Like this, the features were not dependent on the bias voltage VB, on TFT according to the present Example, and the fluctuations of threshold value voltage was 0.2 V or less by the accurate measurement.
  • On the other hand, FIG. 8 (A) shows TFT obtained by the same process with the present Example, excepting that the silicon nitride films 402 and 405 were not provided. As evident in FIG. 8 (A), TFT features are very dependent on the VB. Such fluctuations (fluctuations of threshold value) are explained to be caused by such mobile ion as natrium etc. in a gate insulating film. Namely, it is explained that the more mobile ion is contained, the more fluctuations are effected, and that the case where the fluctuations are few as shown in FIG. 8 (B) are due to a small amount of the mobile ion. It is estimated that a mobile ion amount in a gate electrode of TFT prepared by the present Examples is about 8×1010 cm−3, from the fluctuations width of threshold value voltage. Thus, it is possible to improve the features of TFT remarkably and to raise its confidence by providing a silicon nitride film in accordance with the present invention.
  • Example 2
  • TFT used in this Example was a LDD (lightly doped drain)type TFT, which was prepared on a quartz glass substrate according to the process of FIG. 4. Firstly, a silicon nitride film 402 was formed on a quartz glass substrate 401 and a back thereof, in 100 nm thick by a low pressure CVD method. Then, a silicon oxide film (low temperature oxidation film, LTO film) 403 was formed continuously in 200 nm thick by a low pressure CVD method. Lastly, an amorphous silicon film was formed thereon, in 30 nm thick by also a low pressure CVD method. At this time, the maximum temperature of the process was 600° C. In this process, the film forming was carried out in a CVD apparatus consisting of three reactors arranged in succession, but in case of the forming of a silicon oxide film and an amorphous silicon film, a reaction was effected by adding 5% by volume of hydrogen chloride gas (HCl) as a halogen additive gas, in addition to a raw material gas.
  • As a result, a halogen (chlorine) could be added in a silicon oxide film and an amorphous silicon film. By a secondary ion mass spectrometry, the chlorine contents in a silicon oxide film and an amorphous silicon were 2.3×1019 atoms/cm3, 3.1×1019 atoms/cm3, respectively. As a raw material gas of a silicon nitride film, dichlorosilane (SiCl2H2) and ammonia (NH3), as a raw material gas of a silicon oxide film, disilane (Si2H6) and oxygen (O2) and hydrogen chloride, and as a raw material gas of an amorphous silicon, disilane and hydrogen chloride were employed respectively. The purity of each raw material was 6N. The contents of hydrogen atom in a silicon oxide film and an amorphous silicon film thus obtained were confirmed to be both 1×1019 atoms/cm3 or less. Also, since the film forming was effected continuously without being exposed in the air, the concentration of carbon, nitrogen, and oxygen in the silicon film was confirmed to be 1×1018 atoms/cm3 or less.
  • Next, an amorphous silicon film was patterned into an island shape, and the very thin surface portion of the amorphous silicon was oxidized, in 2 to 10 nm thick by an anodic oxidation. The anodic oxidation was effected, using a platinum electrode as a cathod in an electrolyte containing N-methylacetoamide (NMA) or tetrahydrofurfurylalcohol (THF) added with KNO2, by a constant voltage method at 10 to 50° C. After completion of the anodic oxidation, it was annealed at 600° C. in an argon atmosphere. Then, a silicon oxide film was formed thereon in 100 nm thick using a sputtering method. Here, as the sputtering atmosphere, a mixed gas of oxygen and argon or other rare gas and hydrogen chloride, in which the oxygen partial pressure was 80% or more and the hydrogen chloride concentration was 10%, was employed.
  • In the film forming by sputtering, a defect generated in a surface film by a shock of sputtering. For example, if the surface film is a silicon film, oxygen atom is implanted in silicon to increase oxygen concentration therein. Under such situation, silicon will be in a lot of the localized level. That is, a boundary between silicon and silicon oxide will be unclear. However, according to the present Example, by beforehand forming of the thin anodic oxidized film, the above mentioned atom mixing can be prevented, and the boundary between the silicon film and the silicon oxide film can be maintained, because the silicon oxide film (the thin anodic oxidized film) has been formed before the sputtering.
  • After forming of this silicon oxide film, an n+-type microcrystal silicon film containing 1021 cm−3 extent of phosphorus was formed, in 300 nm thick using a low pressure CVD method. The maximum process temperature in the above film forming was 650° C. Then, a gate electrode was patterned to form a gate electrode 410 and a gate insulating film 404. Further, a source and a drain regions 407 and 409 were formed, implanting 2×1018 cm−3 of arsenic ion by ion implantation method. Then, FIG. 4 (A) was obtained.
  • Next, as shown in FIG. 4 (B), PSG (phosphosilicate glass) film 413 was formed by a low pressure CVD method, and a side wall 414 shown in FIG. 4 (C) was formed by a direction dependent etching. Further, 5×1020 cm−3 of arsenic ion was implanted in the regions 407 a and 409 a by ion implantation method.
  • After that, a silicon nitride film 405 was formed throughout the surface, by a low pressure CVD method. Thereby, FIG. 4 (D) was obtained. Then, it was annealed to activate the regions 407 a, 407 b, 408, 409 a, and 409 b, at 620° C. for 48 hrs. in a vacuum. As an interlayer insulation, PSG (phosphosilicate glass) film was formed all over the surface by a low pressure CVD method, and a hole for an electrode was made to form an aluminum electrodes in a source region and a drain region. Lastly, for the purpose of a passivation, a silicon nitride film was formed again throughout the surface, by a low pressure CVD method.
  • TFT prepared in this way, was remarkably high in a confidence. It pointed out that the features of device operation did not change by a so-called bias-temperature treatment (BT treatment). BT treatment is meant by the treatment that a voltage is applied between a source and a drain and to a gate electrode in heating. There is no problem in case of a normal device, but the features change is seen in case of a device in which for example, a mobile ion is contained. Such situation is shown in FIG. 6.
  • FIG. 6 (A) indicates TFT in which a mobile ion exists in a gate insulating film and in a channel region. In the channel region, there exists an alkaline mobile ion (indicated as A+ in FIG.) which functions as a donor. Then, the channel shows a weak N type (N-type), the state of which is referred to as state 1. By applying positive bias voltage to the gate electrode and between the source and drain of this TFT, as shown in FIG. 6 (B), the mobile ion (positive ion) in the channel region will be made far away from a gate electrode in the first place, and then, the channel region will be made intrinsic (I-type). This state is referred to as state 2. Consequently, ID (drain current)−VG(gate voltage) characteristic of TFT will be moved largely to the rightside, as shown in FIG. 6 (D).
  • However, in case where a mobile ion exists in a gate insulating film, the mobile ion gathers to the under part of a gate electrode (to the side of a channel region), owing to a bias voltage applied to a gate electrode. As a result, the channel region will have a positive electric field, and electron will gather therein. Then, the channel region shows a weak N type again. This state is referred to as state 3. As shown in FIG. 6 (E), ID−VG curve shifts to the left side from the state 2 to the state 3. After all, the curve has been shifted to the right side, compared with the first one. Also, in case where a negative bias is applied conversely, a mobile ion gathers in a channel region. Then, the channel region will proceed to N type, resulting in the state that the drain current can not be controlled by the gate voltage.
  • Concretely in the present Example, the gate voltage−drain current characteristic of TFT at room temperature was measured (VB=0), immediately after TFT was prepared. Then, +20 V of voltage was applied to a gate electrode at 150° C. for an hour, and the gate voltage−drain current characteristic of TFT was measured at room temperature (VB=+20 V). After that, −20 V of voltage was applied again to a gate electrode at 150° C. for an hour. Then, the gate voltage−drain current characteristic of TFT was measured at room temperature (VB=−20 V), and the threshold value voltage fluctuation of TFT was examined.
  • The characteristic of TFT prepared by the method as described in the above is illustrated in FIG. 7 (B). The bias voltage has no influence on TFT at all as such, and the fluctuation of threshold value voltage was 0.2 V or less as a result by an accurate measurement.
  • On the other hand, TFT shown in FIG. 7 (A) is the one in case where the silicon nitride films 402 and 405 are not provided, and the halogen concentration of each film thereof is 1×1014 cm−3 or less, and also it is prepared by the same process with the method disclosed in the present Example, excepting the said points. As evident in the FIG. 7(A), the characteristic is dependent upon VB to a large extent. It is estimated that the content of a mobile ion in a gate electrode of TFT prepared by the present Example is in a degree of 8×1010 cm−3, from a fluctuation width point view of the threshold value voltage of FIG. 7(B).
  • After the above measurement, the concentrations of natrium, potassium, and lithium in a silicon film (channel region) and a gate insulating film of TFT prepared by the present Example were measured to be 3×1017 cm−3, 7×1015 cm−3, and 5×1015 cm−3 respectively. Though such a lot of alkaline element existed therein, the mobile ion amount was little. This fact is presumed to be caused by that it was fixed with halogen (with chlorine in this case). The measurement of natrium, potassium, and lithium concentration in TFT prepared for a comparison showed such much amount as 7×1018 cm−3, 2×1016 cm−3, and 4×1019 cm−3 respectively.
  • Thus, it can be presumed that the blocking effect of a silicon nitride film in accordance with the present invention is obtained as stated above. Namely, it is understood that the features and the confidence of TFT can be improved remarkably.
  • By the present invention, it is possible to prepare a thin film semiconductor device such as TFT, on which the influence of such a mobile ion as natrium can be reduced. And it is achieved that TFT can be prepared, even on a substrate, the device on which has not been able to be prepared so far, on account of the existence of a mobile ion therein. The present invention can be applied to a coplanar type as shown in FIGS. 1 to 4, an inverse coplanar type, a stagger type, and an inverse stagger type. Also, since the present invention does not impose restrictions on an operation of a thin film semiconductor device, the silicon of a transistor may be an amorphous, a polycrystal, a microcrystal, a single crystal and an intermediate state thereof.

Claims (20)

1. A method for forming a semiconductor device comprising the steps of:
forming a first blocking film comprising silicon nitride over a glass substrate;
forming a first insulating film comprising silicon oxide over the blocking film;
forming a semiconductor film on the first insulating film;
forming a second insulating film on the semiconductor film;
forming a gate electrode over the semiconductor film with the second insulating film interposed therebetween; and
forming a second blocking film comprising silicon nitride over the gate electrode, the second insulating film and the semiconductor film; and
subjecting the semiconductor film to annealing after forming the second blocking film.
2. The method of claim 1 wherein the semiconductor film comprises crystalline silicon.
3. The method of claim 1 wherein the semiconductor film is formed by depositing a semiconductor layer and patterning the semiconductor layer.
4. The method of claim 1 wherein the second blocking film is formed by plasma CVD.
5. The method of claim 1 wherein the first insulating film is formed by plasma CVD using a material gas and a halogen containing gas.
6. The method of claim 1 wherein the second insulating film is formed by plasma CVD using a material gas and a halogen containing gas.
7. The method of claim 1 wherein the semiconductor film includes a channel region.
8. The method of claim 1 wherein the semiconductor film comprises polycrystalline silicon.
9. A method for forming a semiconductor device comprising the steps of:
forming a first blocking film comprising silicon nitride over a glass substrate;
forming a first insulating film comprising silicon oxide over the first blocking film;
forming a semiconductor layer comprising silicon on the first insulating film;
etching the semiconductor layer to form a semiconductor film including a region to be a channel region;
forming a gate insulating film over the semiconductor film;
forming a gate electrode over the semiconductor film with the gate insulating film interposed therebetween; and
forming a second blocking film comprising silicon nitride over the gate electrode wherein the second blocking film extends beyond edges of the semiconductor film to contact the first insulating film; and
subjecting the semiconductor film to annealing after forming the second blocking film.
10. The method of claim 9 wherein the semiconductor film comprises crystalline silicon.
11. The method of claim 9 wherein the semiconductor layer is formed by plasma CVD.
12. The method of claim 9 wherein the second blocking film is formed by plasma CVD.
13. The method of claim 9 wherein the first insulating film is formed by plasma CVD using a material gas and a halogen containing gas.
14. The method of claim 9 wherein the semiconductor film comprises polycrystalline silicon.
15. A method for forming a semiconductor device comprising the steps of:
forming a first blocking film comprising silicon nitride over a glass substrate;
forming a first insulating film comprising silicon oxide and fluorine over the first blocking film;
forming a semiconductor layer comprising silicon on the first insulating film;
etching the semiconductor layer to form a semiconductor film including a region to be a channel region;
forming a gate insulating film over the semiconductor film;
forming a gate electrode over the semiconductor film with the gate insulating film interposed therebetween; and
forming a second blocking film comprising silicon nitride over the gate electrode wherein the second blocking film extends beyond edges of the semiconductor film to contact the first insulating film; and
subjecting the semiconductor film to annealing after forming the second blocking film.
16. The method of claim 15 wherein the semiconductor film comprises crystalline silicon.
17. The method of claim 15 wherein the semiconductor layer is formed by plasma CVD.
18. The method of claim 15 wherein the second blocking film is formed by plasma CVD.
19. The method of claim 15 wherein the first insulating film is formed by plasma CVD.
20. The method of claim 15 wherein the semiconductor film comprises polycrystalline silicon.
US12/971,966 1991-08-26 2010-12-17 Semiconductor device and method for forming the same Abandoned US20110086472A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/971,966 US20110086472A1 (en) 1991-08-26 2010-12-17 Semiconductor device and method for forming the same

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP3-238714 1991-08-26
JP23870991A JP3187086B2 (en) 1991-08-26 1991-08-26 Semiconductor device and method for manufacturing semiconductor device
JP3-238709 1991-08-26
JP23871491A JP3483581B2 (en) 1991-08-26 1991-08-26 Semiconductor device
US92938892A 1992-08-14 1992-08-14
US08/202,680 US6849872B1 (en) 1991-08-26 1994-02-25 Thin film transistor
US11/041,704 US7855106B2 (en) 1991-08-26 2005-01-25 Semiconductor device and method for forming the same
US12/971,966 US20110086472A1 (en) 1991-08-26 2010-12-17 Semiconductor device and method for forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/041,704 Continuation US7855106B2 (en) 1991-08-26 2005-01-25 Semiconductor device and method for forming the same

Publications (1)

Publication Number Publication Date
US20110086472A1 true US20110086472A1 (en) 2011-04-14

Family

ID=34084210

Family Applications (3)

Application Number Title Priority Date Filing Date
US08/202,680 Expired - Lifetime US6849872B1 (en) 1991-08-26 1994-02-25 Thin film transistor
US11/041,704 Expired - Fee Related US7855106B2 (en) 1991-08-26 2005-01-25 Semiconductor device and method for forming the same
US12/971,966 Abandoned US20110086472A1 (en) 1991-08-26 2010-12-17 Semiconductor device and method for forming the same

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US08/202,680 Expired - Lifetime US6849872B1 (en) 1991-08-26 1994-02-25 Thin film transistor
US11/041,704 Expired - Fee Related US7855106B2 (en) 1991-08-26 2005-01-25 Semiconductor device and method for forming the same

Country Status (1)

Country Link
US (3) US6849872B1 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100051949A1 (en) * 2008-09-01 2010-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20110163315A1 (en) * 1996-09-04 2011-07-07 Semiconductor Energy Laboratory Co., Ltd. Display device
US20130001753A1 (en) * 2011-06-30 2013-01-03 Shingo Kanamitsu Template substrate and method for manufacturing same
US8415679B2 (en) 2002-10-30 2013-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8633590B2 (en) 2007-09-21 2014-01-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9087745B2 (en) 2008-07-31 2015-07-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9496406B2 (en) 2008-07-31 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10008587B2 (en) 2011-01-26 2018-06-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US10158005B2 (en) 2008-11-07 2018-12-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US10811522B2 (en) 2010-11-11 2020-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10923600B2 (en) 2015-04-15 2021-02-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6979840B1 (en) * 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US6413805B1 (en) * 1993-03-12 2002-07-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device forming method
US5719065A (en) * 1993-10-01 1998-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with removable spacers
TW374196B (en) 1996-02-23 1999-11-11 Semiconductor Energy Lab Co Ltd Semiconductor thin film and method for manufacturing the same and semiconductor device and method for manufacturing the same
US6291837B1 (en) * 1997-03-18 2001-09-18 Semiconductor Energy Laboratory Co., Ltd. Substrate of semiconductor device and fabrication method thereof as well as semiconductor device and fabrication method thereof
TW408351B (en) * 1997-10-17 2000-10-11 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
US6686623B2 (en) 1997-11-18 2004-02-03 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and electronic apparatus
JP2000012864A (en) * 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor device
US6271101B1 (en) * 1998-07-29 2001-08-07 Semiconductor Energy Laboratory Co., Ltd. Process for production of SOI substrate and process for production of semiconductor device
JP4476390B2 (en) * 1998-09-04 2010-06-09 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2001168092A (en) * 1999-01-08 2001-06-22 Toshiba Corp Semiconductor device and its manufacturing method
US7402467B1 (en) 1999-03-26 2008-07-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JP3592218B2 (en) * 2000-09-06 2004-11-24 株式会社ヒューモラボラトリー Manufacturing method of crystal thin film
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US7820495B2 (en) * 2005-06-30 2010-10-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR101189271B1 (en) * 2005-07-12 2012-10-09 삼성디스플레이 주식회사 Thin film transistor array panel and method for manufacturing the same
KR100786498B1 (en) * 2005-09-27 2007-12-17 삼성에스디아이 주식회사 Transparent thin film transistor and manufacturing method thereof
JP2007273919A (en) * 2006-03-31 2007-10-18 Nec Corp Semiconductor device and manufacturing method
US7875881B2 (en) * 2007-04-03 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Memory device and semiconductor device
CN101669193B (en) * 2007-04-27 2012-02-15 株式会社半导体能源研究所 Soi substrate and manufacturing method of the same, and semiconductor device
KR101400699B1 (en) * 2007-05-18 2014-05-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor substrate, semiconductor device and manufacturing method thereof
JP5459899B2 (en) * 2007-06-01 2014-04-02 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US8114722B2 (en) * 2007-08-24 2012-02-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
KR20090124527A (en) * 2008-05-30 2009-12-03 삼성모바일디스플레이주식회사 Thin film transistor, method of manufacturing the thin film transistor and flat panel display device having the thin film transistor
US9496405B2 (en) 2010-05-20 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device including step of adding cation to oxide semiconductor layer
US9722084B2 (en) 2013-04-30 2017-08-01 Corning Incorporated Method for forming a glass substrate with a depleted surface layer and polycrystalline-silicon TFT built thereon
TWI639235B (en) * 2013-05-16 2018-10-21 半導體能源研究所股份有限公司 Semiconductor device
KR20150008316A (en) * 2013-07-12 2015-01-22 삼성디스플레이 주식회사 Semiconductor device, method and system for manufacturing the same
JP6544398B2 (en) * 2017-08-29 2019-07-17 セイコーエプソン株式会社 Substrate for electro-optical device, electro-optical device, and electronic apparatus

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468855A (en) * 1981-08-05 1984-09-04 Fujitsu Limited Method of making aluminum gate self-aligned FET by selective beam annealing through reflective and antireflective coatings
US4625224A (en) * 1982-01-19 1986-11-25 Canon Kabushiki Kaisha Thin film transistor having polycrystalline silicon layer with 0.01 to 5 atomic % chlorine
US4656101A (en) * 1984-11-07 1987-04-07 Semiconductor Energy Laboratory Co., Ltd. Electronic device with a protective film
US4746628A (en) * 1983-08-26 1988-05-24 Sharp Kabushiki Kaisha Method for making a thin film transistor
US4782037A (en) * 1983-11-18 1988-11-01 Hatachi, Ltd Process of fabricating a semiconductor insulated circuit device having a phosphosilicate glass insulating film
US4851363A (en) * 1986-07-11 1989-07-25 General Motors Corporation Fabrication of polysilicon fets on alkaline earth alumino-silicate glasses
US5040037A (en) * 1988-12-13 1991-08-13 Mitsubishi Denki Kabushiki Kaisha MOS type field effect transistor formed on a semiconductor layer on an insulator substrate
US5041311A (en) * 1989-03-14 1991-08-20 Fujitsu Limited Chemical vapor deposition method using a plasma self-cleaning
US5112764A (en) * 1990-09-04 1992-05-12 North American Philips Corporation Method for the fabrication of low leakage polysilicon thin film transistors
US5130772A (en) * 1989-12-15 1992-07-14 Samsung Electron Devices Co., Ltd. Thin film transistor with a thin layer of silicon nitride
US5208476A (en) * 1990-06-08 1993-05-04 Seiko Epson Corporation Low leakage current offset-gate thin film transistor structure
US5270567A (en) * 1989-09-06 1993-12-14 Casio Computer Co., Ltd. Thin film transistors without capacitances between electrodes thereof
US5306651A (en) * 1990-05-11 1994-04-26 Asahi Glass Company Ltd. Process for preparing a polycrystalline semiconductor thin film transistor
US5313075A (en) * 1990-05-29 1994-05-17 Hongyong Zhang Thin-film transistor
US5917225A (en) * 1992-03-05 1999-06-29 Semiconductor Energy Laboratory Co., Ltd. Insulated gate field effect transistor having specific dielectric structures
US6624450B1 (en) * 1992-03-27 2003-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4027380A (en) * 1974-06-03 1977-06-07 Fairchild Camera And Instrument Corporation Complementary insulated gate field effect transistor structure and process for fabricating the structure
US3933530A (en) * 1975-01-28 1976-01-20 Rca Corporation Method of radiation hardening and gettering semiconductor devices
JPS5348473A (en) 1976-10-15 1978-05-01 Hitachi Ltd Production of insulation film
US4224733A (en) * 1977-10-11 1980-09-30 Fujitsu Limited Ion implantation method
JPS5550663A (en) 1978-10-07 1980-04-12 Shunpei Yamazaki Semiconductor device and method of fabricating the same
JPS5550664A (en) 1978-10-07 1980-04-12 Shunpei Yamazaki Semiconductor device and method of fabricating the same
JPS56111258A (en) 1980-01-07 1981-09-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Thin film semiconductor device
JPS5826051A (en) 1981-08-06 1983-02-16 Asahi Glass Co Ltd Glass body having formed alkali diffusion preventing silicon oxide film
JPS5893273A (en) 1981-11-30 1983-06-02 Toshiba Corp Thin film semiconductor device
JPS58164268A (en) 1982-03-25 1983-09-29 Seiko Epson Corp Thin film silicon transistor
JPS58204570A (en) 1982-05-24 1983-11-29 Seiko Epson Corp Manufacture of semiconductor integrated circuit device
JPS5989436A (en) 1982-11-15 1984-05-23 Seiko Epson Corp Manufacture of thin film semiconductor device
JPS59126673A (en) 1983-01-11 1984-07-21 Seiko Epson Corp Thin film transistor
US4876582A (en) * 1983-05-02 1989-10-24 Ncr Corporation Crystallized silicon-on-insulator nonvolatile memory device
JPS60170972A (en) 1984-02-15 1985-09-04 Sony Corp Thin film semiconductor device
JPS6126264A (en) 1984-07-16 1986-02-05 Canon Inc Manufacture of semiconductor device
JPS61183970A (en) 1985-02-08 1986-08-16 Matsushita Electric Ind Co Ltd Thin film transistor
US4810673A (en) * 1986-09-18 1989-03-07 Texas Instruments Incorporated Oxide deposition method
JPS63204769A (en) 1987-02-20 1988-08-24 Nippon Telegr & Teleph Corp <Ntt> Thin film transistor
JPS63237570A (en) 1987-03-26 1988-10-04 Seiko Epson Corp Manufacture of thin film transistor
JPS6423575A (en) 1987-07-17 1989-01-26 Sharp Kk Thin film transistor
JPS6432678A (en) 1987-07-28 1989-02-02 Ricoh Kk Thin-film transistor
JPS6435959A (en) 1987-07-30 1989-02-07 Ricoh Kk Thin film transistor
JPS6435961A (en) 1987-07-30 1989-02-07 Ricoh Kk Thin film transistor
JPS6423575U (en) 1987-08-03 1989-02-08
JPS6447076A (en) 1987-08-18 1989-02-21 Ricoh Kk Manufacture of mos type thin film transistor
JPS6432678U (en) 1987-08-20 1989-03-01
JPS6435961U (en) 1987-08-31 1989-03-03
JPS6435959U (en) 1987-08-31 1989-03-03
US5166085A (en) * 1987-09-09 1992-11-24 Casio Computer Co., Ltd. Method of manufacturing a thin film transistor
JPS6447076U (en) 1987-09-16 1989-03-23
JPS6450757U (en) 1987-09-25 1989-03-29
JPH0196960A (en) 1987-10-09 1989-04-14 Hitachi Ltd Semiconductor device
JPH01152274A (en) 1987-12-09 1989-06-14 Iwatani Internatl Corp Method for removing pollutant after chlorine fluoride cleaning in film forming operation system
JPH01207025A (en) 1988-02-15 1989-08-21 Matsushita Electric Ind Co Ltd Vacuum cleaner
JP2621327B2 (en) 1988-04-15 1997-06-18 セイコーエプソン株式会社 Method for manufacturing semiconductor device
JPH01268064A (en) 1988-04-20 1989-10-25 Hitachi Ltd Formation of polycrystalline silicon thin film
JPH01276672A (en) 1988-04-27 1989-11-07 Seikosha Co Ltd Reversely staggered type amorphous silicon thin film transistor
JPH0227772A (en) 1988-07-15 1990-01-30 Sony Corp Field effect type thin film transistor
JPH0279027A (en) 1988-09-16 1990-03-19 Hitachi Ltd Polycrystalline silicon thin film transistor
JPH02130836A (en) 1988-11-10 1990-05-18 Fuji Xerox Co Ltd Manufacture of silicon thin-film transistor
JPH039531A (en) 1989-06-07 1991-01-17 Toshiba Corp Thin film semiconductor device
JPH0323639A (en) * 1989-06-21 1991-01-31 Sony Corp Thin-film transistor
JPH0334434A (en) 1989-06-30 1991-02-14 Hitachi Ltd Thin film semiconductor device and manufacture thereof
JPH0349218A (en) 1989-07-18 1991-03-04 Fuji Xerox Co Ltd Manufacture of thin film semiconductor device
JP2941908B2 (en) 1989-07-31 1999-08-30 キヤノン株式会社 Thin film transistor and manufacture and device therefor
JPH0395939A (en) 1989-09-07 1991-04-22 Canon Inc Manufacture of semiconductor device
JPH0395938A (en) 1989-09-07 1991-04-22 Canon Inc Manufacture of semiconductor device
JP2543416B2 (en) 1989-11-11 1996-10-16 猛英 白土 Semiconductor device
JPH03185735A (en) 1989-12-14 1991-08-13 Canon Inc Manufacture of semiconductor device
US5227900A (en) * 1990-03-20 1993-07-13 Canon Kabushiki Kaisha Method of driving ferroelectric liquid crystal element
US5254208A (en) * 1990-07-24 1993-10-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468855A (en) * 1981-08-05 1984-09-04 Fujitsu Limited Method of making aluminum gate self-aligned FET by selective beam annealing through reflective and antireflective coatings
US4625224A (en) * 1982-01-19 1986-11-25 Canon Kabushiki Kaisha Thin film transistor having polycrystalline silicon layer with 0.01 to 5 atomic % chlorine
US4746628A (en) * 1983-08-26 1988-05-24 Sharp Kabushiki Kaisha Method for making a thin film transistor
US4782037A (en) * 1983-11-18 1988-11-01 Hatachi, Ltd Process of fabricating a semiconductor insulated circuit device having a phosphosilicate glass insulating film
US4656101A (en) * 1984-11-07 1987-04-07 Semiconductor Energy Laboratory Co., Ltd. Electronic device with a protective film
US4851363A (en) * 1986-07-11 1989-07-25 General Motors Corporation Fabrication of polysilicon fets on alkaline earth alumino-silicate glasses
US5040037A (en) * 1988-12-13 1991-08-13 Mitsubishi Denki Kabushiki Kaisha MOS type field effect transistor formed on a semiconductor layer on an insulator substrate
US5041311A (en) * 1989-03-14 1991-08-20 Fujitsu Limited Chemical vapor deposition method using a plasma self-cleaning
US5270567A (en) * 1989-09-06 1993-12-14 Casio Computer Co., Ltd. Thin film transistors without capacitances between electrodes thereof
US5130772A (en) * 1989-12-15 1992-07-14 Samsung Electron Devices Co., Ltd. Thin film transistor with a thin layer of silicon nitride
US5306651A (en) * 1990-05-11 1994-04-26 Asahi Glass Company Ltd. Process for preparing a polycrystalline semiconductor thin film transistor
US5313075A (en) * 1990-05-29 1994-05-17 Hongyong Zhang Thin-film transistor
US5208476A (en) * 1990-06-08 1993-05-04 Seiko Epson Corporation Low leakage current offset-gate thin film transistor structure
US5112764A (en) * 1990-09-04 1992-05-12 North American Philips Corporation Method for the fabrication of low leakage polysilicon thin film transistors
US5917225A (en) * 1992-03-05 1999-06-29 Semiconductor Energy Laboratory Co., Ltd. Insulated gate field effect transistor having specific dielectric structures
US6624450B1 (en) * 1992-03-27 2003-09-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110163315A1 (en) * 1996-09-04 2011-07-07 Semiconductor Energy Laboratory Co., Ltd. Display device
US8536577B2 (en) 1996-09-04 2013-09-17 Semiconductor Energy Laboratory Co., Ltd. Display device
US8586985B2 (en) 1996-09-04 2013-11-19 Semiconductor Energy Laboratory Co., Ltd. Display device
US9224667B2 (en) 2002-10-30 2015-12-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9508620B2 (en) 2002-10-30 2016-11-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8415679B2 (en) 2002-10-30 2013-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9929190B2 (en) 2002-10-30 2018-03-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8633590B2 (en) 2007-09-21 2014-01-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9087745B2 (en) 2008-07-31 2015-07-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9111804B2 (en) 2008-07-31 2015-08-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10937897B2 (en) 2008-07-31 2021-03-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9496406B2 (en) 2008-07-31 2016-11-15 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US11296121B2 (en) 2008-07-31 2022-04-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9397194B2 (en) 2008-09-01 2016-07-19 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with oxide semiconductor ohmic conatct layers
US20100051949A1 (en) * 2008-09-01 2010-03-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10128381B2 (en) 2008-09-01 2018-11-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxygen rich gate insulating layer
US9082857B2 (en) 2008-09-01 2015-07-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising an oxide semiconductor layer
US10158005B2 (en) 2008-11-07 2018-12-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US10811522B2 (en) 2010-11-11 2020-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US11631756B2 (en) 2010-11-11 2023-04-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10008587B2 (en) 2011-01-26 2018-06-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9377682B2 (en) * 2011-06-30 2016-06-28 Kabushiki Kaisha Toshiba Template substrate, method for manufacturing same, and template
US20130001753A1 (en) * 2011-06-30 2013-01-03 Shingo Kanamitsu Template substrate and method for manufacturing same
US10923600B2 (en) 2015-04-15 2021-02-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US11646378B2 (en) 2015-04-15 2023-05-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US6849872B1 (en) 2005-02-01
US7855106B2 (en) 2010-12-21
US20050158901A1 (en) 2005-07-21

Similar Documents

Publication Publication Date Title
US7855106B2 (en) Semiconductor device and method for forming the same
JP3187086B2 (en) Semiconductor device and method for manufacturing semiconductor device
US4954867A (en) Semiconductor device with silicon oxynitride over refractory metal gate electrode in LDD structure
US5308998A (en) Insulated gate field effect semiconductor devices having a LDD region and an anodic oxide film of a gate electrode
US7528406B2 (en) Semiconductor integrated circuit and method of fabricating same
US6153445A (en) Method of manufacturing semiconductor device
JP3483581B2 (en) Semiconductor device
EP0684650B1 (en) SiGe thin film semiconductor device with SiGe layer structure and method of fabrication
US6570184B2 (en) Thin film transistor and method for manufacturing the same
USRE36314E (en) Insulated gate field effect semiconductor devices having a LDD region and an anodic oxide film of a gate electrode
JP3923458B2 (en) Semiconductor device
JP3316027B2 (en) Method for manufacturing insulated gate field effect semiconductor device
JP3359794B2 (en) Method for manufacturing semiconductor device
JP3970891B2 (en) Semiconductor device
JP3701549B2 (en) Semiconductor device
JP3352998B2 (en) Method for manufacturing semiconductor device
JP3375938B2 (en) Semiconductor device
JP3310654B2 (en) Semiconductor device
KR960000231B1 (en) Thin film type semiconductor and its making method
JP3390731B2 (en) Semiconductor device
JP3958349B2 (en) Method for manufacturing semiconductor device
JPH09270521A (en) Thin film transistor manufacturing method
JPH03265142A (en) Thin film transistor and manufacture thereof
JPH05275699A (en) Thin film transistor and manufacture thereof
JP3380546B2 (en) Semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION