US20110101523A1 - Pillar bump with barrier layer - Google Patents

Pillar bump with barrier layer Download PDF

Info

Publication number
US20110101523A1
US20110101523A1 US12/940,196 US94019610A US2011101523A1 US 20110101523 A1 US20110101523 A1 US 20110101523A1 US 94019610 A US94019610 A US 94019610A US 2011101523 A1 US2011101523 A1 US 2011101523A1
Authority
US
United States
Prior art keywords
layer
copper
substrate
flip
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/940,196
Inventor
Chien Ling Hwang
Yi-Wen WU
Chung-Shi Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/940,196 priority Critical patent/US20110101523A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HWANG, CHIEN LING, LIU, CHUNG-SHI, WU, YI-WEN
Publication of US20110101523A1 publication Critical patent/US20110101523A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/03912Methods of manufacturing bonding areas involving a specific sequence of method steps the bump being used as a mask for patterning the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • H01L2224/05027Disposition the internal layer being disposed in a recess of the surface the internal layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/1012Auxiliary members for bump connectors, e.g. spacers
    • H01L2224/10122Auxiliary members for bump connectors, e.g. spacers being formed on the semiconductor or solid-state body to be connected
    • H01L2224/10145Flow barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/11452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1182Applying permanent coating, e.g. in-situ coating
    • H01L2224/11827Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13006Bump connector larger than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/165Material
    • H01L2224/16505Material outside the bonding interface, e.g. in the bulk of the bump connector
    • H01L2224/16507Material outside the bonding interface, e.g. in the bulk of the bump connector comprising an intermetallic compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01025Manganese [Mn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0103Zinc [Zn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01038Strontium [Sr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0104Zirconium [Zr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01051Antimony [Sb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01075Rhenium [Re]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01327Intermediate phases, i.e. intermetallics compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/36Material effects
    • H01L2924/365Metallurgical effects
    • H01L2924/3651Formation of intermetallics

Definitions

  • This disclosure relates to the fabrication of integrated circuit devices, and more particularly, to the fabrication of bump structures in integrated circuit devices.
  • Modern integrated circuits are made up of literally millions of active devices such as transistors and capacitors. These devices are initially isolated from each other, but are later interconnected together to form functional circuits.
  • Typical interconnect structures include lateral interconnections, such as metal lines (wirings), and vertical interconnections, such as vias and contacts. Interconnections are increasingly determining the limits of performance and the density of modern integrated circuits.
  • bond pads are formed and exposed on the surface of the respective chip. Electrical connections are made through bond pads to connect the chip to a package substrate or another die. Bond pads can be used for wire bonding or flip-chip bonding.
  • Flip-chip packaging utilizes bumps to establish electrical contact between a chip's I/O pads and the substrate or lead frame of the package.
  • a bump actually contains the bump itself and a so-called under bump metallurgy (UBM) located between the bump and an I/O pad.
  • UBM under bump metallurgy
  • An UBM generally contains an adhesion layer, a barrier layer and a wetting layer, arranged in this order on the I/O pad.
  • the bumps themselves are classified as solder bumps, gold bumps, copper pillar bumps and bumps with mixed metals. Recently, copper pillar bump technology is proposed. Instead of using solder bump, the electronic component is connected to a substrate by means of copper pillar bump, which achieves finer pitch with minimum probability of bump bridging, reduces the capacitance load for the circuits and allows the electronic component to perform at higher frequencies.
  • the Cu pillar bump flip-chip assembly has the following advantages: (1) better thermal/electric performance, (2) higher current carrying capacity, (3) better resistance to electromigration, thus longer bump life, (4) minimizing molding voids—more consistence gaps between Cu pillar bumps. Also, a lower cost substrate is possible by using Cu-pillar controlled solder spreading, eliminating lead-free teardrop design. However, there are concerns regarding the Intermetallic Compound (IMC) generated between the Cu pillar bump and the solder during annealing. When used with Sn solder material, sufficient Cu diffusion from Cu pillar bump into the solder forms thick IMC such as Cu 6 Sn 5 and Cu 3 Sn through the reaction between the diffused Cu and Sn in the solder.
  • IMC Intermetallic Compound
  • Thick IMC layers reduce mechanical strength of the Cu pillar bump because the IMC layers are brittle.
  • the IMC becomes scallops and spalls off the interface.
  • With thicker Sn solder longer annealing process and abundant Cu source make Cu 3 Sn thicker, and also the size of Cu 6 Sn 5 becomes large.
  • Total transfer of the ductile solder to harder IMC lowers the shear strength of the structure.
  • the IMC formation will cause bump crack or unwanted stress, the thicker IMC also results in poor adhesion.
  • FIG. 1 to FIG. 4 are cross-sectional diagram depicting an exemplary embodiment of a Cu pillar bump process
  • FIG. 5 is a cross-sectional diagram depicting an exemplary embodiment of a Cu pillar bump.
  • FIG. 6 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly.
  • FIG. 7 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly.
  • cross-sectional diagrams of FIG. 1 to FIG. 4 illustrate an exemplary embodiment of a Cu pillar bump process.
  • a pillar or bump is provided comprising copper. This pillar or bump may be applied directly on an electrical pad on a semiconductor chip for a flip chip assembly or other similar application.
  • an example of a substrate 10 used for bump fabrication may comprise a semiconductor substrate as employed in a semiconductor integrated circuit fabrication, and integrated circuits may be formed therein and/or thereupon.
  • the semiconductor substrate is defined to mean any construction comprising semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, a silicon-on-insulator (SOI) substrate, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used.
  • the substrate 10 may further comprise a plurality of isolation features (not shown), such as shallow trench isolation (STI) features or local oxidation of silicon (LOCOS) features. The isolation features may define and isolate the various microelectronic elements (not shown).
  • STI shallow trench isolation
  • LOC local oxidation of silicon
  • Examples of the various microelectronic elements that may be formed in the substrate 10 include transistors (e.g., metal oxide semiconductor field effect transistors (MOSFET), complementary metal oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJT), high voltage transistors, high frequency transistors, p-channel and/or n-channel field effect transistors (PFETs/NFETs), etc.); resistors; diodes; capacitors; inductors; fuses; and other suitable elements.
  • transistors e.g., metal oxide semiconductor field effect transistors (MOSFET), complementary metal oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJT), high voltage transistors, high frequency transistors, p-channel and/or n-channel field effect transistors (PFETs/NFETs), etc.
  • resistors e.g., resistors; diodes; capacitors; inductors; fuses; and other suitable elements.
  • Various processes are performed to form the various microelectronic elements including deposition,
  • the microelectronic elements are interconnected to form the integrated circuit device, such as a logic device, memory device (e.g., SRAM), RF device, input/output (I/O) device, system-on-chip (SoC) device, combinations thereof, and other suitable types of devices.
  • a logic device e.g., SRAM
  • RF device e.g., RF
  • I/O input/output
  • SoC system-on-chip
  • the substrate 10 further includes inter-layer dielectric layers and a metallization structure overlying the integrated circuits.
  • the inter-layer dielectric layers in the metallization structure include low-k dielectric materials, un-doped silicate glass (USG), silicon nitride, silicon oxynitride, or other commonly used materials.
  • the dielectric constants (k value) of the low-k dielectric materials may be less than about 3.9, or less than about 2.8.
  • Metal lines in the metallization structure may be formed of copper or copper alloys. One skilled in the art will realize the formation details of the metallization layers.
  • a contact region 12 is a top metallization layer formed in a top-level inter-layer dielectric layer, which is a portion of conductive routs and has an exposed surface treated by a planarization process, such as chemical mechanical polishing (CMP), if necessary.
  • Suitable materials for the conductive region 12 may include, but are not limited to, for example copper (Cu), aluminum (Al), AlCu, copper alloy, or other mobile conductive materials.
  • the contact region 12 is a metal pad region 12 , which may be used in the bonding process to connect the integrated circuits in the respective chip to external features.
  • FIG. 1 also illustrates a passivation layer 14 formed on the substrate 10 and patterned to form an opening 15 exposing a portion of the conductive region 12 for allowing subsequent post passivation interconnect processes.
  • the passivation layer 14 is formed of a non-organic material selected from un-doped silicate glass (USG), silicon nitride, silicon oxynitride, silicon oxide, and combinations thereof.
  • the passivation layer 14 is formed of a polymer layer, such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), and the like, although other relatively soft, often organic, dielectric materials can also be used.
  • a post passivation interconnect (PPI) process is then performed on the passivation layer 14 .
  • layers 16 including an adhesion layer and a seed layer are formed on the passivation layer 14 to line the sidewalls and bottom of the opening 15 .
  • the adhesion layer also referred to as a glue layer, is blanket formed, covering the passivation layer 14 and the sidewalls and the bottom of opening 15 .
  • the adhesion layer may include commonly used barrier materials such as titanium, titanium nitride, tantalum, tantalum nitride, and combinations thereof, and can be formed using physical vapor deposition, sputtering, and the like.
  • the adhesion layer helps to improve the adhesion of the subsequently formed copper lines onto passivation layer 14 .
  • the seed layer is blanket formed on the adhesion layer.
  • the materials of the seed layer include copper or copper alloys, and metals such as silver, gold, aluminum, and combinations thereof may also be included.
  • the seed layer may also include aluminum or aluminum alloys.
  • the seed layer is formed of sputtering. In other embodiments, other commonly used methods such as physical vapor deposition or electroless plating may be used. For clarity, the seed layer and the adhesion layer are shown as layers 16 in the drawings.
  • a post passivation interconnect (PPI) line 18 is formed on the layers 16 to fill the opening 15 .
  • PPI post passivation interconnect
  • a conductive material fills the opening 15 of the passivation layer 14 and the opening of the mask followed by removing the mask and the exposed layers 16 .
  • the conductive material formed on the layers 16 and filling the opening 15 serves as the PPI line 18 .
  • the PPI line 18 may include, but is not limited to, for example copper, aluminum, copper alloy, or other mobile conductive materials.
  • the PPI line 18 may further include a nickel-containing layer (not shown) on the top a copper-containing layer.
  • the PPI formation methods include plating, electroless plating, sputtering, chemical vapor deposition methods, and the like.
  • the PPI line 18 connects the contact region 12 to bump features.
  • the PPI line 18 may also function as power lines, re-distribution lines (RDL), inductors, capacitors or any passive components.
  • the PPI line 18 may have a thickness less than about 30 ⁇ m, for example between about 2 ⁇ m and about 25 ⁇ m.
  • the removal step may include a wet etching process or a dry etching process.
  • the removal step includes an isotropic wet etching using an ammonia-based acid, which may be a flash etching with a short duration.
  • a dielectric layer 20 is formed on the exposed passivation layer 14 and the PPI line 18 .
  • the dielectric layer 20 may be formed of dielectric materials such as silicon nitride, silicon carbide, silicon oxynitride or other applicable materials.
  • the formation methods include plasma enhance chemical vapor deposition (PECVD) or other commonly used CVD methods.
  • PECVD plasma enhance chemical vapor deposition
  • a polymer layer 22 is formed on the dielectric layer 16 through the steps of coating, curing, descum and the like.
  • the polymer layer 22 is formed of a polymer, such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), and the like, although other relatively soft, often organic, dielectric materials can also be used.
  • the polymer layer 22 is a polyimide layer.
  • the polymer layer 22 is a polybenzoxazole (PBO) layer.
  • the polymer layer 22 is soft, and hence has the function of reducing inherent stresses on respective substrate.
  • the polymer layer 22 is easily formed to a thickness of tens of microns.
  • UBM under-bump-metallurgy
  • the UBM layer 24 is formed on the polymer layer 22 and the exposed portion of the PPI line 18 , and lines the sidewalls and bottom of the opening 23 .
  • the diffusion barrier layer also referred to as a glue layer, is formed to cover the sidewalls and the bottom of the opening 23 .
  • the diffusion barrier layer may be formed of tantalum nitride, although it may also be formed of other materials such as titanium nitride, tantalum, titanium, or the like.
  • the formation methods include physical vapor deposition (PVD) or sputtering.
  • the seed layer may be a copper seed layer formed on the diffusion barrier layer.
  • the seed layer may be formed of copper alloys that include silver, chromium, nickel, tin, gold, and combinations thereof.
  • the UBM layer 24 includes a diffusion barrier layer formed of Ti and a seed layer formed of Cu.
  • a mask layer 26 is provided on the UBM layer 24 and patterned with an opening 27 exposing a portion of the UBM layer 24 for Cu pillar bump formation.
  • the opening 27 is over the opening 23 .
  • the diameter of the opening 27 is greater or equal to the diameter of the opening 23 .
  • the mask layer 26 may be a dry film or a photoresist film.
  • the opening 27 is then partially or fully filled with a conductive material with solder wettability.
  • a copper (Cu) layer 28 is formed in the opening 27 to contact the underlying UBM layer 24 .
  • the term “copper (Cu) layer” is intended to include substantially a layer including pure elemental copper, copper containing unavoidable impurities, and copper alloys containing minor amounts of elements such as tantalum, indium, tin, zinc, manganese, chromium, titanium, germanium, strontium, platinum, magnesium, aluminum or zirconium.
  • the formation methods may include sputtering, printing, electro plating, electroless plating, and commonly used chemical vapor deposition (CVD) methods.
  • electro-chemical plating (ECP) is carried out to form the Cu layer 28 .
  • the thickness of the Cu layer 28 is greater than 30 ⁇ m.
  • the thickness of the Cu layer 28 is greater than 40 ⁇ m.
  • the Cu layer 28 is of about 40-50 ⁇ m thickness, or about 40-70 ⁇ m thickness, although the thickness may be greater or smaller.
  • the mask layer 26 is removed, exposing a portion of the UBM layer 24 outside the Cu layer 28 .
  • the mask layer 26 may be removed using an alkaline solution. If the mask layer 26 is formed of photoresist, it may be removed using acetone, n-methyl pyrrolidone (NMP), dimethyl sulfoxide (DMSO), aminoethoxy ethanol, and the like. Then the exposed portion of the UBM layer 24 is etched to expose the underlying polymer layer 22 outside the Cu layer 28 , thus the UBM layer 24 underlying the Cu layer 28 remains.
  • the step of removing the UBM layer 24 is a dry etching or a wet etching.
  • an isotropic wet etching (often referred to as flash etching due to its short duration) using an ammonia-based acid is employed.
  • the Cu layer 28 having a top surface 28 a and sidewall surfaces 28 b protrudes from the polymer layer 22 , also referred to as a Cu pillar bump 28 hereinafter.
  • the thickness of the Cu pillar bump 28 is greater than 30 ⁇ m.
  • the thickness of the Cu pillar bump 28 is greater than 40 ⁇ m.
  • the Cu pillar bump 28 is of about 40-50 ⁇ m thickness, or about 40-70 ⁇ m thickness, although the thickness may be greater or smaller.
  • a barrier layer 30 is formed on the Cu pillar bump 28 to act as a diffusion barrier layer for preventing copper in the Cu pillar bump 28 to diffuse into bonding material, such as solder, that is used to bond the substrate 10 to external features.
  • the barrier layer 30 may be also referred to as a protection layer, an antioxidation layer or an oxide resistant layer employed for preventing the surfaces 28 a and 28 b of the Cu pillar bump 28 from oxidation during subsequent processes.
  • the barrier layer 30 may be formed through depleting surfaces of the Cu pillar bump 28 by selective thermal CVD method.
  • the barrier layer 32 is formed on the Cu pillar 28 , covering the top surface 28 a , the sidewall surfaces 28 b , or combinations thereof.
  • the barrier layer 30 is a copper-containing material layer including a group III element, a group IV element, a group V element listed in the periodic table or any combination thereof.
  • the copper-containing material layer may include, but is not limited to, boron (B), germanium (Ge), silicon (Si), carbon (C), nitrogen (N), phosphorous (P) or combinations thereof.
  • the copper-containing material layer is a CuGeN layer, a CuGe layer, a CuSi layer, a CuSiN layer, a CuSiGeN layer, a CuN layer, a CuP layer, a CuC layer, a CuB layer, or combinations thereof using a selective CVD with gases containing B, Ge, Si, C, N, P or combinations thereof (e.g., B 2 H 6 , CH 4 , SiH 4 , GeH 4 , NH 3 , PH 3 ).
  • a deoxidize treatment step NH 3 treatment
  • the barrier layer 30 becomes a diffusion barrier layer to passivate the Cu from the solder in subsequent joint process so that the IMC formation is controlled to become thinner and more uniform. Besides, the thickness of the barrier layer 30 is thin due to its formation is like a diffusion process. In one embodiment, the thickness of the barrier layer 30 is less than or equal to 10 nm.
  • the combination of the Cu pillar bump 28 and the barrier layer 30 is referred to as a connection structure 32 for to bonding the substrate 10 to external features.
  • the connection structure 32 may further include a solder layer.
  • a solder layer Referring to FIG. 5 , an exemplary embodiment of forming a solder layer on the Cu pillar bump is depicted. After the formation of the barrier layer 30 , a solder layer 34 may be provided on the barrier layer 30 , in a position adjacent to the top surface 28 a of the Cu pillar bump 28 adjacent to the sidewall surfaces of the Cu pillar bump 28 as depicted by a dotted line, or combination thereof.
  • the solder layer 34 may be made of Sn, SnAg, Sn—Pb, SnAgCu (with Cu weight percentage less than 0.3%), SnAgZn, SnZn, SnBi—In, Sn—In, Sn—Au, SnPb, SnCu, SnZnIn, or SnAgSb, etc.
  • the combination of the Cu pillar bump 28 , the barrier layer 30 and the solder layer 34 is referred to as another connection structure 32 ′′ for to bonding the substrate 10 to external features.
  • FIG. 6 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly.
  • the structure shown in FIG. 4 or FIG. 5 is flipped upside down and attached to another substrate 100 at the bottom.
  • the substrate 100 may be a package substrate, board (e.g., a printed circuit board (PCB)), or other suitable substrate.
  • the connection structure 32 or 32 ′′ contacts the substrate 100 at various conductive attachment points, for example, a joint solder layer 104 on contact pads 102 and/or conductive traces, forming a joint structure 106 between the substrates 10 and 100 .
  • An exemplary coupling process includes a flux application, chip placement, reflowing of melting solder joints, and cleaning of flux residue.
  • the substrate 10 , the joint structure 106 , and the other substrate 100 may be referred to as a packaging assembly, or in the present embodiment, a flip-chip packaging assembly.
  • the IMC layer 108 may include Cu, Sn and the material including a group III element, a group IV element, a group V element listed in the periodic table or any combination thereof.
  • the IMC layer may include a Cu—Sn—X IMC, wherein the X element may include, but is not limited to, boron (B), germanium (Ge), silicon (Si), carbon (C), nitrogen (N), phosphorous (P) or combinations thereof.
  • the IMC thickness can be controlled to less than 2 ⁇ m with the diffusion barrier layer 30 .
  • the barrier layer 30 depresses Cu diffusion from the Cu pillar BUMP 28 to the solder to control the IMC layer 108 to a thickness less than 2 ⁇ m, resulting in high strength and better adhesion.
  • the thin IMC formation can induce less stress to decrease probability of bump cracking and contribute to better reliability of Cu pillar bump.
  • FIG. 7 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly.
  • the joint solder layer 104 may cover at least a portion of the connection structure 32 or 32 ′′, for example the top portion and/or sidewall portions.
  • the IMC layer 108 is also observed between the sidewall portion of the connection structure 32 or 32 ′′ and the joint solder layer 04 .

Abstract

A copper pillar bump has a surface covered with by a barrier layer formed of a copper-containing material layer including a group III element, a group IV element, a group V element or combinations thereof. The barrier layer depresses the copper diffusion and reaction with solder to reduce the thickness of intermetallic compound between the pillar pump and solder.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority of U.S. Provisional Patent Application Ser. No. 61/258,393, filed on Nov. 5, 2009, which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • This disclosure relates to the fabrication of integrated circuit devices, and more particularly, to the fabrication of bump structures in integrated circuit devices.
  • BACKGROUND
  • Modern integrated circuits are made up of literally millions of active devices such as transistors and capacitors. These devices are initially isolated from each other, but are later interconnected together to form functional circuits. Typical interconnect structures include lateral interconnections, such as metal lines (wirings), and vertical interconnections, such as vias and contacts. Interconnections are increasingly determining the limits of performance and the density of modern integrated circuits. On top of the interconnect structures, bond pads are formed and exposed on the surface of the respective chip. Electrical connections are made through bond pads to connect the chip to a package substrate or another die. Bond pads can be used for wire bonding or flip-chip bonding.
  • Flip-chip packaging utilizes bumps to establish electrical contact between a chip's I/O pads and the substrate or lead frame of the package. Structurally, a bump actually contains the bump itself and a so-called under bump metallurgy (UBM) located between the bump and an I/O pad. An UBM generally contains an adhesion layer, a barrier layer and a wetting layer, arranged in this order on the I/O pad. The bumps themselves, based on the material used, are classified as solder bumps, gold bumps, copper pillar bumps and bumps with mixed metals. Recently, copper pillar bump technology is proposed. Instead of using solder bump, the electronic component is connected to a substrate by means of copper pillar bump, which achieves finer pitch with minimum probability of bump bridging, reduces the capacitance load for the circuits and allows the electronic component to perform at higher frequencies.
  • The Cu pillar bump flip-chip assembly has the following advantages: (1) better thermal/electric performance, (2) higher current carrying capacity, (3) better resistance to electromigration, thus longer bump life, (4) minimizing molding voids—more consistence gaps between Cu pillar bumps. Also, a lower cost substrate is possible by using Cu-pillar controlled solder spreading, eliminating lead-free teardrop design. However, there are concerns regarding the Intermetallic Compound (IMC) generated between the Cu pillar bump and the solder during annealing. When used with Sn solder material, sufficient Cu diffusion from Cu pillar bump into the solder forms thick IMC such as Cu6Sn5 and Cu3Sn through the reaction between the diffused Cu and Sn in the solder. Thick IMC layers reduce mechanical strength of the Cu pillar bump because the IMC layers are brittle. The IMC becomes scallops and spalls off the interface. With thicker Sn solder, longer annealing process and abundant Cu source make Cu3Sn thicker, and also the size of Cu6Sn5 becomes large. Total transfer of the ductile solder to harder IMC lowers the shear strength of the structure. The IMC formation will cause bump crack or unwanted stress, the thicker IMC also results in poor adhesion.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects, features and advantages of this disclosure will become apparent by referring to the following detailed description of exemplary embodiments with reference to the accompanying drawings, wherein:
  • FIG. 1 to FIG. 4 are cross-sectional diagram depicting an exemplary embodiment of a Cu pillar bump process;
  • FIG. 5 is a cross-sectional diagram depicting an exemplary embodiment of a Cu pillar bump; and
  • FIG. 6 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly; and
  • FIG. 7 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are set forth to provide a thorough understanding of the disclosure. However, one having an ordinary skill in the art will recognize that the disclosure can be practiced without these specific details. In some instances, well-known structures and processes have not been described in detail to avoid unnecessarily obscuring the disclosure.
  • Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. It should be appreciated that the following figures are not drawn to scale; rather, these figures are merely intended for illustration.
  • Herein, cross-sectional diagrams of FIG. 1 to FIG. 4 illustrate an exemplary embodiment of a Cu pillar bump process. At the outset, it is assumed that a pillar or bump is provided comprising copper. This pillar or bump may be applied directly on an electrical pad on a semiconductor chip for a flip chip assembly or other similar application.
  • In FIG. 1, an example of a substrate 10 used for bump fabrication may comprise a semiconductor substrate as employed in a semiconductor integrated circuit fabrication, and integrated circuits may be formed therein and/or thereupon. The semiconductor substrate is defined to mean any construction comprising semiconductor materials, including, but is not limited to, bulk silicon, a semiconductor wafer, a silicon-on-insulator (SOI) substrate, or a silicon germanium substrate. Other semiconductor materials including group III, group IV, and group V elements may also be used. The substrate 10 may further comprise a plurality of isolation features (not shown), such as shallow trench isolation (STI) features or local oxidation of silicon (LOCOS) features. The isolation features may define and isolate the various microelectronic elements (not shown). Examples of the various microelectronic elements that may be formed in the substrate 10 include transistors (e.g., metal oxide semiconductor field effect transistors (MOSFET), complementary metal oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJT), high voltage transistors, high frequency transistors, p-channel and/or n-channel field effect transistors (PFETs/NFETs), etc.); resistors; diodes; capacitors; inductors; fuses; and other suitable elements. Various processes are performed to form the various microelectronic elements including deposition, etching, implantation, photolithography, annealing, and other suitable processes. The microelectronic elements are interconnected to form the integrated circuit device, such as a logic device, memory device (e.g., SRAM), RF device, input/output (I/O) device, system-on-chip (SoC) device, combinations thereof, and other suitable types of devices.
  • The substrate 10 further includes inter-layer dielectric layers and a metallization structure overlying the integrated circuits. The inter-layer dielectric layers in the metallization structure include low-k dielectric materials, un-doped silicate glass (USG), silicon nitride, silicon oxynitride, or other commonly used materials. The dielectric constants (k value) of the low-k dielectric materials may be less than about 3.9, or less than about 2.8. Metal lines in the metallization structure may be formed of copper or copper alloys. One skilled in the art will realize the formation details of the metallization layers. A contact region 12 is a top metallization layer formed in a top-level inter-layer dielectric layer, which is a portion of conductive routs and has an exposed surface treated by a planarization process, such as chemical mechanical polishing (CMP), if necessary. Suitable materials for the conductive region 12 may include, but are not limited to, for example copper (Cu), aluminum (Al), AlCu, copper alloy, or other mobile conductive materials. In one embodiment, the contact region 12 is a metal pad region 12, which may be used in the bonding process to connect the integrated circuits in the respective chip to external features.
  • FIG. 1 also illustrates a passivation layer 14 formed on the substrate 10 and patterned to form an opening 15 exposing a portion of the conductive region 12 for allowing subsequent post passivation interconnect processes. In one embodiment, the passivation layer 14 is formed of a non-organic material selected from un-doped silicate glass (USG), silicon nitride, silicon oxynitride, silicon oxide, and combinations thereof. In another embodiment, the passivation layer 14 is formed of a polymer layer, such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), and the like, although other relatively soft, often organic, dielectric materials can also be used.
  • A post passivation interconnect (PPI) process is then performed on the passivation layer 14. Referring to FIG. 1, layers 16 including an adhesion layer and a seed layer are formed on the passivation layer 14 to line the sidewalls and bottom of the opening 15. The adhesion layer, also referred to as a glue layer, is blanket formed, covering the passivation layer 14 and the sidewalls and the bottom of opening 15. The adhesion layer may include commonly used barrier materials such as titanium, titanium nitride, tantalum, tantalum nitride, and combinations thereof, and can be formed using physical vapor deposition, sputtering, and the like. The adhesion layer helps to improve the adhesion of the subsequently formed copper lines onto passivation layer 14. The seed layer is blanket formed on the adhesion layer. The materials of the seed layer include copper or copper alloys, and metals such as silver, gold, aluminum, and combinations thereof may also be included. The seed layer may also include aluminum or aluminum alloys. In an embodiment, the seed layer is formed of sputtering. In other embodiments, other commonly used methods such as physical vapor deposition or electroless plating may be used. For clarity, the seed layer and the adhesion layer are shown as layers 16 in the drawings.
  • Also, a post passivation interconnect (PPI) line 18 is formed on the layers 16 to fill the opening 15. Using a mask and a photolithography process, a conductive material fills the opening 15 of the passivation layer 14 and the opening of the mask followed by removing the mask and the exposed layers 16. The conductive material formed on the layers 16 and filling the opening 15 serves as the PPI line 18. The PPI line 18 may include, but is not limited to, for example copper, aluminum, copper alloy, or other mobile conductive materials. The PPI line 18 may further include a nickel-containing layer (not shown) on the top a copper-containing layer. The PPI formation methods include plating, electroless plating, sputtering, chemical vapor deposition methods, and the like. The PPI line 18 connects the contact region 12 to bump features. The PPI line 18 may also function as power lines, re-distribution lines (RDL), inductors, capacitors or any passive components. The PPI line 18 may have a thickness less than about 30 μm, for example between about 2 μm and about 25 μm. Then the exposed portions of the layers 16 including the adhesion layer and the seed layer are removed. The removal step may include a wet etching process or a dry etching process. In one embodiment, the removal step includes an isotropic wet etching using an ammonia-based acid, which may be a flash etching with a short duration.
  • Next, a dielectric layer 20, also referred to as an isolation layer or a passivation layer, is formed on the exposed passivation layer 14 and the PPI line 18. The dielectric layer 20 may be formed of dielectric materials such as silicon nitride, silicon carbide, silicon oxynitride or other applicable materials. The formation methods include plasma enhance chemical vapor deposition (PECVD) or other commonly used CVD methods. A polymer layer 22 is formed on the dielectric layer 16 through the steps of coating, curing, descum and the like. Lithography technology and etching processes such as a dry etch and/or a wet etch process are then performed to pattern the polymer layer 22, thus an opening 23 is formed to pass through the polymer layer 22 and expose a portion of the PPI line 18 for allowing subsequent bump process. The polymer layer 22, as the name suggests, is formed of a polymer, such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO), and the like, although other relatively soft, often organic, dielectric materials can also be used. In one embodiment, the polymer layer 22 is a polyimide layer. In another embodiment, the polymer layer 22 is a polybenzoxazole (PBO) layer. The polymer layer 22 is soft, and hence has the function of reducing inherent stresses on respective substrate. In addition, the polymer layer 22 is easily formed to a thickness of tens of microns.
  • Referring to FIG. 2, the formation of an under-bump-metallurgy (UBM) layer 24 that includes a diffusion barrier layer and a seed layer is performed on the resulted structure. The UBM layer 24 is formed on the polymer layer 22 and the exposed portion of the PPI line 18, and lines the sidewalls and bottom of the opening 23. The diffusion barrier layer, also referred to as a glue layer, is formed to cover the sidewalls and the bottom of the opening 23. The diffusion barrier layer may be formed of tantalum nitride, although it may also be formed of other materials such as titanium nitride, tantalum, titanium, or the like. The formation methods include physical vapor deposition (PVD) or sputtering. The seed layer may be a copper seed layer formed on the diffusion barrier layer. The seed layer may be formed of copper alloys that include silver, chromium, nickel, tin, gold, and combinations thereof. In one embodiment, the UBM layer 24 includes a diffusion barrier layer formed of Ti and a seed layer formed of Cu.
  • Next, a mask layer 26 is provided on the UBM layer 24 and patterned with an opening 27 exposing a portion of the UBM layer 24 for Cu pillar bump formation. In one embodiment, the opening 27 is over the opening 23. In another embodiment, the diameter of the opening 27 is greater or equal to the diameter of the opening 23. The mask layer 26 may be a dry film or a photoresist film. The opening 27 is then partially or fully filled with a conductive material with solder wettability. In an embodiment, a copper (Cu) layer 28 is formed in the opening 27 to contact the underlying UBM layer 24. As used throughout this disclosure, the term “copper (Cu) layer” is intended to include substantially a layer including pure elemental copper, copper containing unavoidable impurities, and copper alloys containing minor amounts of elements such as tantalum, indium, tin, zinc, manganese, chromium, titanium, germanium, strontium, platinum, magnesium, aluminum or zirconium. The formation methods may include sputtering, printing, electro plating, electroless plating, and commonly used chemical vapor deposition (CVD) methods. For example, electro-chemical plating (ECP) is carried out to form the Cu layer 28. In an exemplary embodiment, the thickness of the Cu layer 28 is greater than 30 μm. In another exemplary embodiment, the thickness of the Cu layer 28 is greater than 40 μm. For example, the Cu layer 28 is of about 40-50 μm thickness, or about 40-70 μm thickness, although the thickness may be greater or smaller.
  • Next, as shown in FIG. 3, the mask layer 26 is removed, exposing a portion of the UBM layer 24 outside the Cu layer 28. In the case the mask layer 26 is a dry film, it may be removed using an alkaline solution. If the mask layer 26 is formed of photoresist, it may be removed using acetone, n-methyl pyrrolidone (NMP), dimethyl sulfoxide (DMSO), aminoethoxy ethanol, and the like. Then the exposed portion of the UBM layer 24 is etched to expose the underlying polymer layer 22 outside the Cu layer 28, thus the UBM layer 24 underlying the Cu layer 28 remains. In an exemplary embodiment, the step of removing the UBM layer 24 is a dry etching or a wet etching. For example, an isotropic wet etching (often referred to as flash etching due to its short duration) using an ammonia-based acid is employed. Thus the Cu layer 28 having a top surface 28 a and sidewall surfaces 28 b protrudes from the polymer layer 22, also referred to as a Cu pillar bump 28 hereinafter. In an exemplary embodiment, the thickness of the Cu pillar bump 28 is greater than 30 μm. In another exemplary embodiment, the thickness of the Cu pillar bump 28 is greater than 40 μm. For example, the Cu pillar bump 28 is of about 40-50 μm thickness, or about 40-70 μm thickness, although the thickness may be greater or smaller.
  • Next, as depicted in FIG. 4, a barrier layer 30 is formed on the Cu pillar bump 28 to act as a diffusion barrier layer for preventing copper in the Cu pillar bump 28 to diffuse into bonding material, such as solder, that is used to bond the substrate 10 to external features. The barrier layer 30 may be also referred to as a protection layer, an antioxidation layer or an oxide resistant layer employed for preventing the surfaces 28 a and 28 b of the Cu pillar bump 28 from oxidation during subsequent processes. The barrier layer 30 may be formed through depleting surfaces of the Cu pillar bump 28 by selective thermal CVD method. In one embodiment, the barrier layer 32 is formed on the Cu pillar 28, covering the top surface 28 a, the sidewall surfaces 28 b, or combinations thereof. The barrier layer 30 is a copper-containing material layer including a group III element, a group IV element, a group V element listed in the periodic table or any combination thereof. In one embodiment, the copper-containing material layer may include, but is not limited to, boron (B), germanium (Ge), silicon (Si), carbon (C), nitrogen (N), phosphorous (P) or combinations thereof. In some embodiments, the copper-containing material layer is a CuGeN layer, a CuGe layer, a CuSi layer, a CuSiN layer, a CuSiGeN layer, a CuN layer, a CuP layer, a CuC layer, a CuB layer, or combinations thereof using a selective CVD with gases containing B, Ge, Si, C, N, P or combinations thereof (e.g., B2H6, CH4, SiH4, GeH4, NH3, PH3). For an example of forming a CuGeN layer, a deoxidize treatment step (NH3 treatment) is performed followed by a GeH4 CVD process. The barrier layer 30 becomes a diffusion barrier layer to passivate the Cu from the solder in subsequent joint process so that the IMC formation is controlled to become thinner and more uniform. Besides, the thickness of the barrier layer 30 is thin due to its formation is like a diffusion process. In one embodiment, the thickness of the barrier layer 30 is less than or equal to 10 nm. The combination of the Cu pillar bump 28 and the barrier layer 30 is referred to as a connection structure 32 for to bonding the substrate 10 to external features.
  • The connection structure 32 may further include a solder layer. Referring to FIG. 5, an exemplary embodiment of forming a solder layer on the Cu pillar bump is depicted. After the formation of the barrier layer 30, a solder layer 34 may be provided on the barrier layer 30, in a position adjacent to the top surface 28 a of the Cu pillar bump 28 adjacent to the sidewall surfaces of the Cu pillar bump 28 as depicted by a dotted line, or combination thereof. The solder layer 34 may be made of Sn, SnAg, Sn—Pb, SnAgCu (with Cu weight percentage less than 0.3%), SnAgZn, SnZn, SnBi—In, Sn—In, Sn—Au, SnPb, SnCu, SnZnIn, or SnAgSb, etc. The combination of the Cu pillar bump 28, the barrier layer 30 and the solder layer 34 is referred to as another connection structure 32″ for to bonding the substrate 10 to external features.
  • The substrate 10 is then sawed and packaged onto a package substrate, or another die, with solder balls or Cu bumps mounted on a pad on the package substrate or the other die. FIG. 6 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly.
  • The structure shown in FIG. 4 or FIG. 5 is flipped upside down and attached to another substrate 100 at the bottom. The substrate 100 may be a package substrate, board (e.g., a printed circuit board (PCB)), or other suitable substrate. The connection structure 32 or 32″ contacts the substrate 100 at various conductive attachment points, for example, a joint solder layer 104 on contact pads 102 and/or conductive traces, forming a joint structure 106 between the substrates 10 and 100. An exemplary coupling process includes a flux application, chip placement, reflowing of melting solder joints, and cleaning of flux residue. The substrate 10, the joint structure 106, and the other substrate 100 may be referred to as a packaging assembly, or in the present embodiment, a flip-chip packaging assembly. During thermal cycling, the tin in the solder (joint solder layer 104 and/or solder layer 34) tends to migrate through cracks or other defects and react with the barrier layer 30 and/or the Cu pillar bump 28 to form an intermetallic compound (IMC) layer 108 which may be observed between the solder joint 104 and the connection structure 32 or 32″. The IMC layer 108 may include Cu, Sn and the material including a group III element, a group IV element, a group V element listed in the periodic table or any combination thereof. For example, The IMC layer may include a Cu—Sn—X IMC, wherein the X element may include, but is not limited to, boron (B), germanium (Ge), silicon (Si), carbon (C), nitrogen (N), phosphorous (P) or combinations thereof. The IMC thickness can be controlled to less than 2 μm with the diffusion barrier layer 30. The barrier layer 30 depresses Cu diffusion from the Cu pillar BUMP 28 to the solder to control the IMC layer 108 to a thickness less than 2 μm, resulting in high strength and better adhesion. The thin IMC formation can induce less stress to decrease probability of bump cracking and contribute to better reliability of Cu pillar bump.
  • FIG. 7 is a cross-sectional diagram depicting an exemplary embodiment of a flip-chip assembly. Depending on the solder volume and substrate attaching processes, the joint solder layer 104 may cover at least a portion of the connection structure 32 or 32″, for example the top portion and/or sidewall portions. When the joint solder layer 104 covers the sidewall portions of the connection structure 32 or 32″ as depicted, the IMC layer 108 is also observed between the sidewall portion of the connection structure 32 or 32″ and the joint solder layer 04.
  • In the preceding detailed description, the disclosure is described with reference to specific exemplary embodiments thereof. It will, however, be evident that various modifications, structures, processes, and changes may be made thereto without departing from the broader spirit and scope of the disclosure. The specification and drawings are, accordingly, to be regarded as illustrative and not restrictive. It is understood that the disclosure is capable of using various other combinations and environments and is capable of changes or modifications within the scope of inventive concepts as expressed herein.

Claims (20)

1. An integrated circuit device, comprising:
a semiconductor substrate;
a bond pad region on the semiconductor substrate;
a copper pillar bump overlying and electrically connected to the bond pad region; and
a barrier layer on a surface of the copper pillar bump, wherein the barrier layer is a copper-containing material layer comprising at least one of a group III element, a group IV element and a group V element.
2. The integrated circuit device of claim 1, wherein the barrier layer is a CuGeN layer.
3. The integrated circuit device of claim 1, wherein the barrier layer is a copper-containing material layer comprising at least one of germanium (Ge), silicon (Si) and carbon (C).
4. The integrated circuit device of claim 1, wherein the barrier layer is a copper-containing material layer comprising at least one of nitrogen (N) or phosphorus (P).
5. The integrated circuit device of claim 1, wherein the barrier layer is a copper-containing material layer comprising boron (B).
6. The integrated circuit device of claim 1, further comprising a solder layer on the barrier layer.
7. The integrated circuit device of claim 1, further comprising:
a passivation layer overlying the semiconductor substrate and exposing a portion of the bond pad region;
an interconnect line formed on the passivation layer and electrically connected to the bond pad region; and
a polymer layer overlying the passivation layer and exposing a portion of the interconnect line;
wherein the copper pillar bump is formed overlying the polymer layer and electrically connected to the exposed portion of the interconnect line.
8. The integrated circuit device of claim 7, wherein the interconnect line comprises copper.
9. The integrated circuit device of claim 7, wherein the passivation layer comprises polybenzoxazole (PBO).
10. The integrated circuit device of claim 7, wherein the polymer layer comprises polybenzoxazole (PBO).
11. A flip-chip assembly comprising:
a first substrate;
a second substrate;
a joint structure disposed between the first substrate and the second substrate;
wherein the joint structure comprises a connection structure between the first substrate and the second substrate and a joint solder layer between the connection structure and the second substrate; and
an intermetallic compound (IMC) layer between the connection structure and the joint solder layer, wherein the IMC layer has a thickness less than 2 μm.
12. The flip-chip assembly of claim 11, wherein the connection structure comprises a copper pillar bump.
13. The flip-chip assembly of claim 12, wherein the connection structure comprises a barrier layer on a surface of the copper pillar bump.
14. The flip-chip assembly of claim 13, wherein the barrier layer is a copper-containing material layer comprising at least one of a group III element, a group IV element and a group V element.
15. The flip-chip assembly of claim 13, wherein the barrier layer is a CuGeN layer.
16. The flip-chip assembly of claim 13, wherein the copper-containing material layer comprises at least one of germanium (Ge), silicon (Si) or carbon (C).
17. The flip-chip assembly of claim 13, wherein the copper-containing material layer comprises at least one of nitrogen (N) or phosphorus (P).
18. The flip-chip assembly of claim 13, wherein the copper-containing material layer comprises boron (B).
19. The flip-chip assembly of claim 11, wherein the first substrate comprises:
a passivation layer overlying the first substrate;
an interconnect line formed on the passivation layer; and
a polymer layer overlying the passivation layer and exposing a portion of the interconnect line;
wherein the connection structure is overlying and electrically connected to the exposed portion of the interconnect line.
20. The flip-chip assembly of claim 19, wherein the interconnect line comprises copper, and the polymer layer comprises polybenzoxazole (PBO).
US12/940,196 2009-11-05 2010-11-05 Pillar bump with barrier layer Abandoned US20110101523A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/940,196 US20110101523A1 (en) 2009-11-05 2010-11-05 Pillar bump with barrier layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25839309P 2009-11-05 2009-11-05
US12/940,196 US20110101523A1 (en) 2009-11-05 2010-11-05 Pillar bump with barrier layer

Publications (1)

Publication Number Publication Date
US20110101523A1 true US20110101523A1 (en) 2011-05-05

Family

ID=43924502

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/846,353 Active 2031-06-26 US8659155B2 (en) 2009-11-05 2010-07-29 Mechanisms for forming copper pillar bumps
US12/940,196 Abandoned US20110101523A1 (en) 2009-11-05 2010-11-05 Pillar bump with barrier layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/846,353 Active 2031-06-26 US8659155B2 (en) 2009-11-05 2010-07-29 Mechanisms for forming copper pillar bumps

Country Status (4)

Country Link
US (2) US8659155B2 (en)
KR (1) KR101208758B1 (en)
CN (2) CN102088004B (en)
TW (1) TWI420633B (en)

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110027944A1 (en) * 2009-07-30 2011-02-03 Taiwan Semiconductor Maufacturing Company, Ltd. Method of forming electrical connections
US20110049705A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US20110233761A1 (en) * 2009-07-30 2011-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20120025369A1 (en) * 2010-08-02 2012-02-02 Chung-Yao Kao Semiconductor package
US20120211257A1 (en) * 2011-02-18 2012-08-23 Chih-Hung Wu Pyramid bump structure
US20130001777A1 (en) * 2011-06-30 2013-01-03 Stmicroelectronics (Grenoble 2) Sas Copper wire receiving pad
US20130043585A1 (en) * 2011-08-17 2013-02-21 Sony Corporation Semiconductor apparatus, method of manufacturing semiconductor apparatus, and electronic apparatus
US20130075894A1 (en) * 2011-09-23 2013-03-28 Texas Instruments Incorporated Integrated circuit and method of making
US8435881B2 (en) * 2011-06-23 2013-05-07 STAT ChipPAC, Ltd. Semiconductor device and method of forming protective coating over interconnect structure to inhibit surface oxidation
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20130168851A1 (en) * 2011-12-30 2013-07-04 Industrial Technology Research Institute Bump structure and electronic packaging solder joint structure and fabricating method thereof
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8610270B2 (en) 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US20140124928A1 (en) * 2012-11-08 2014-05-08 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method for forming the same
US20140124914A1 (en) * 2012-11-08 2014-05-08 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method
US20140124927A1 (en) * 2012-11-08 2014-05-08 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor ic packaging methods and structures
US20140217580A1 (en) * 2013-02-05 2014-08-07 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US8802556B2 (en) * 2012-11-14 2014-08-12 Qualcomm Incorporated Barrier layer on bump and non-wettable coating on trace
US20150021767A1 (en) * 2013-07-16 2015-01-22 Doo Hyun Park Semiconductor device with plated conductive pillar coupling
US20150035148A1 (en) * 2013-07-30 2015-02-05 Heeseok Lee Semiconductor packages and methods of fabricating the same
US20150061120A1 (en) * 2013-08-29 2015-03-05 SK Hynix Inc. Stack packages and methods of manufacturing the same
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US9076847B2 (en) 2013-01-18 2015-07-07 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
TWI495067B (en) * 2011-10-25 2015-08-01 Globalfoundries Us Inc Semiconductor devices comprising bump structures and methods of forming bump structures that include a protection layer
US20150371962A1 (en) * 2014-06-20 2015-12-24 Fujitsu Limited Terminal structure, semiconductor device, and terminal forming method
US9431293B2 (en) 2013-01-18 2016-08-30 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
CN105990291A (en) * 2015-03-16 2016-10-05 台湾积体电路制造股份有限公司 Structure for die probing
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US9768134B2 (en) 2015-01-29 2017-09-19 Micron Technology, Inc. Methods of forming conductive materials on semiconductor devices, and methods of forming electrical interconnects
US9793235B2 (en) * 2016-01-11 2017-10-17 SK Hynix Inc. Semiconductor package having a bump bonding structure
US20180269380A1 (en) * 2017-03-16 2018-09-20 Vanguard International Semiconductor Corporation Electrical contact structure and methods for forming the same
US20180342476A1 (en) * 2017-05-23 2018-11-29 Micron Technology, Inc. Semiconductor device assembly with surface-mount die support structures
US20180342475A1 (en) * 2017-05-23 2018-11-29 Micron Technology, Inc. Semiconductor device assembly with die support structures
US10332792B1 (en) * 2017-12-14 2019-06-25 Micron Technology, Inc. Methods of fabricating conductive traces and resulting structures
US20190214358A1 (en) * 2018-01-11 2019-07-11 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor package including semiconductor device, and method of manufacturing semiconductor device
US10566519B2 (en) 2017-08-18 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a flat bottom electrode via (BEVA) top surface for memory
EP3703129A1 (en) * 2019-02-28 2020-09-02 Detection Technology Oy Radiation sensor element and method
US10790252B2 (en) 2012-10-25 2020-09-29 Taiwan Semiconductor Manufacturing Company Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11355467B2 (en) 2020-01-15 2022-06-07 Samsung Electronics Co., Ltd. Semiconductor devices including thick pad
US11616007B2 (en) * 2020-10-08 2023-03-28 Advanced Semiconductor Engineering, Inc. Electronic package
US11688707B2 (en) 2020-07-20 2023-06-27 Samsung Electronics Co., Ltd. Semiconductor package
US11728297B2 (en) 2017-07-24 2023-08-15 Samsung Electronics Co., Ltd. Semiconductor devices, semiconductor packages, and methods of manufacturing the semiconductor devices
WO2024063869A1 (en) * 2022-09-23 2024-03-28 Qualcomm Incorporated Inductive device structure and process method

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5200837B2 (en) * 2008-10-01 2013-06-05 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP5357784B2 (en) * 2010-01-05 2013-12-04 パナソニック株式会社 Semiconductor device and manufacturing method thereof
US8502377B2 (en) 2010-08-06 2013-08-06 Mediatek Inc. Package substrate for bump on trace interconnection
US9343436B2 (en) 2010-09-09 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked package and method of manufacturing the same
TWI541964B (en) * 2010-11-23 2016-07-11 矽品精密工業股份有限公司 Fabrication method of semiconductor substrate
US8797057B2 (en) * 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US8895430B2 (en) * 2011-03-31 2014-11-25 Great Wall Semiconductor Corporation Method of making a semiconductor device comprising a land grid array flip chip bump system with short bumps
KR20130000218A (en) * 2011-06-22 2013-01-02 삼성디스플레이 주식회사 Electrode including magnetic material and organic light emitting device using the electrode
US8716858B2 (en) * 2011-06-24 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure with barrier layer on post-passivation interconnect
CN102867758B (en) * 2011-07-08 2015-12-02 颀邦科技股份有限公司 Bumping manufacturing process and structure thereof
US8786081B2 (en) * 2011-07-27 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for circuit routing by way of under-bump metallization
US9142520B2 (en) * 2011-08-30 2015-09-22 Ati Technologies Ulc Methods of fabricating semiconductor chip solder structures
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US9099396B2 (en) * 2011-11-08 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure and method of forming the same
US8779588B2 (en) 2011-11-29 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for multi-chip packaging
US8653658B2 (en) 2011-11-30 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Planarized bumps for underfill control
US9368437B2 (en) 2011-12-31 2016-06-14 Intel Corporation High density package interconnects
WO2013101241A1 (en) * 2011-12-31 2013-07-04 Intel Corporation Organic thin film passivation of metal interconnections
US9466532B2 (en) 2012-01-31 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Micro-electro mechanical system (MEMS) structures with through substrate vias and methods of forming the same
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
US9646942B2 (en) 2012-02-23 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for controlling bump height variation
US9553040B2 (en) * 2012-03-27 2017-01-24 Mediatek Inc. Semiconductor package
DE102012205240B4 (en) * 2012-03-30 2016-08-04 Semikron Elektronik Gmbh & Co. Kg Method for producing a substrate for at least one power semiconductor component, method for producing a power semiconductor module and power semiconductor module
US9306137B2 (en) * 2012-04-26 2016-04-05 Toray Industries, Inc. Method of producing crystalline substrate having concave-convex structure
KR101932727B1 (en) 2012-05-07 2018-12-27 삼성전자주식회사 Bump structure, semiconductor package having the bump structure, and method of manufacturing the bump structure
US8810020B2 (en) 2012-06-22 2014-08-19 Freescale Semiconductor, Inc. Semiconductor device with redistributed contacts
KR20150031301A (en) * 2012-07-28 2015-03-23 라이르드 테크놀로지스, 아이엔씨 Metallized film-over-foam contacts
US8872326B2 (en) 2012-08-29 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional (3D) fan-out packaging mechanisms
US8970035B2 (en) 2012-08-31 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structures for semiconductor package
US9111817B2 (en) * 2012-09-18 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structure and method of forming same
KR101965256B1 (en) * 2012-10-17 2019-04-04 삼성디스플레이 주식회사 Organic light emitting display device and the manufacturing method thereof
US9379077B2 (en) 2012-11-08 2016-06-28 Nantong Fujitsu Microelectronics Co., Ltd. Metal contact for semiconductor device
WO2014071815A1 (en) * 2012-11-08 2014-05-15 南通富士通微电子股份有限公司 Semiconductor device and manufacturing method thereof
CN102915986B (en) 2012-11-08 2015-04-01 南通富士通微电子股份有限公司 Chip packaging structure
KR101974191B1 (en) 2012-11-29 2019-04-30 에스케이하이닉스 주식회사 Semiconductor device and method for forming the same
US8846548B2 (en) * 2013-01-09 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure and methods for forming the same
KR101488580B1 (en) * 2013-01-11 2015-02-02 앰코 테크놀로지 코리아 주식회사 Method for fabricating semiconductor package and semiconductor package thereof
US9070644B2 (en) 2013-03-15 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
US9646894B2 (en) 2013-03-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging mechanisms for dies with different sizes of connectors
US9583424B2 (en) * 2013-05-23 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method for reducing polymer layer delamination
US9171782B2 (en) * 2013-08-06 2015-10-27 Qualcomm Incorporated Stacked redistribution layers on die
US9620580B2 (en) * 2013-10-25 2017-04-11 Mediatek Inc. Semiconductor structure
US10163828B2 (en) * 2013-11-18 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US9704781B2 (en) 2013-11-19 2017-07-11 Micron Technology, Inc. Under-bump metal structures for interconnecting semiconductor dies or packages and associated systems and methods
US9478510B2 (en) * 2013-12-19 2016-10-25 Texas Instruments Incorporated Self-aligned under bump metal
US20150187714A1 (en) * 2013-12-26 2015-07-02 Globalfoundries Singapore Pte. Ltd. Integrated circuits including copper pillar structures and methods for fabricating the same
US9735129B2 (en) 2014-03-21 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US9318452B2 (en) 2014-03-21 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US9269668B2 (en) * 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
TWI488244B (en) 2014-07-25 2015-06-11 Chipbond Technology Corp Substrate with pillar structure and manufacturing method thereof
US9425090B2 (en) * 2014-09-19 2016-08-23 Infineon Technologies Austria Ag Method of electrodepositing gold on a copper seed layer to form a gold metallization structure
KR20160066972A (en) * 2014-12-03 2016-06-13 삼성전자주식회사 Semiconductor light emitting device and semiconductor light emitting apparatus having the same
US10115688B2 (en) 2015-05-29 2018-10-30 Infineon Technologies Ag Solder metallization stack and methods of formation thereof
DE102015110437B4 (en) 2015-06-29 2020-10-08 Infineon Technologies Ag Semiconductor device having a metal structure which is electrically connected to a conductive structure, and method of manufacturing
US9704804B1 (en) 2015-12-18 2017-07-11 Texas Instruments Incorporated Oxidation resistant barrier metal process for semiconductor devices
WO2017120609A1 (en) * 2016-01-08 2017-07-13 Lilotree, L.L.C. Printed circuit surface finish, method of use, and assemblies made therefrom
US11000915B2 (en) * 2016-03-31 2021-05-11 Texas Instruments Incorporated Stabilized transient liquid phase metal bonding material for hermetic wafer level packaging of MEMS devices
US10049996B2 (en) * 2016-04-01 2018-08-14 Intel Corporation Surface finishes for high density interconnect architectures
KR102528067B1 (en) * 2016-06-09 2023-05-03 주식회사 디비하이텍 Power device and method of manufacturing the same
KR102578794B1 (en) * 2016-06-14 2023-09-18 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9837341B1 (en) * 2016-09-15 2017-12-05 Intel Corporation Tin-zinc microbump structures
WO2018063405A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Microelectronic devices and methods for enhancing interconnect reliability performance using an in-situ nickel barrier layer
CN106328511B (en) * 2016-10-11 2020-07-31 南京矽力微电子技术有限公司 Method for manufacturing electrode of semiconductor device
CN108538735B (en) * 2017-03-02 2020-05-29 中芯国际集成电路制造(上海)有限公司 Metal bump device and manufacturing method thereof
IT201700087318A1 (en) 2017-07-28 2019-01-28 St Microelectronics Srl INTEGRATED ELECTRONIC DEVICE WITH REDISTRIBUTION AND HIGH RESISTANCE TO MECHANICAL STRESS AND ITS PREPARATION METHOD
US10354965B2 (en) * 2017-09-28 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding pad process with protective layer
US10522501B2 (en) 2017-11-17 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11127704B2 (en) * 2017-11-28 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with bump structure and method of making semiconductor device
TWI667694B (en) * 2017-12-21 2019-08-01 財團法人工業技術研究院 Metalizing structure and manufacturing method thereof
US10750619B2 (en) 2017-12-21 2020-08-18 Industrial Technology Research Institute Metallization structure and manufacturing method thereof
US10903151B2 (en) * 2018-05-23 2021-01-26 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US11024593B2 (en) * 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal bumps and method forming same
US11081460B2 (en) 2018-12-28 2021-08-03 Micron Technology, Inc. Methods and systems for manufacturing pillar structures on semiconductor devices
EP3723117A1 (en) * 2019-04-10 2020-10-14 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier and method of manufacturing the same
KR102624169B1 (en) 2019-06-24 2024-01-12 삼성전자주식회사 Semiconductor device and semiconductor package including the same
US11276659B2 (en) * 2020-02-28 2022-03-15 Micron Technology, Inc. Methods for forming elements for microelectronic components, related conductive elements, and microelectronic components, assemblies and electronic systems incorporating such conductive elements
US11682640B2 (en) 2020-11-24 2023-06-20 International Business Machines Corporation Protective surface layer on under bump metallurgy for solder joining
CN113517263A (en) * 2021-07-12 2021-10-19 上海先方半导体有限公司 Stacking structure and stacking method
TWI823329B (en) * 2022-04-07 2023-11-21 頎邦科技股份有限公司 Chip-on-glass bonding method and chip used therein

Citations (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4720740A (en) * 1985-11-26 1988-01-19 Clements James R Electronic device including uniaxial conductive adhesive and method of making same
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5448114A (en) * 1992-07-15 1995-09-05 Kabushiki Kaisha Toshiba Semiconductor flipchip packaging having a perimeter wall
US5466635A (en) * 1994-06-02 1995-11-14 Lsi Logic Corporation Process for making an interconnect bump for flip-chip integrated circuit including integral standoff and hourglass shaped solder coating
US6191493B1 (en) * 1993-02-18 2001-02-20 Mitsubishi Denki Kabushiki Kaisha Resin seal semiconductor package and manufacturing method of the same
US6218281B1 (en) * 1997-12-26 2001-04-17 Fujitsu Limited Semiconductor device with flip chip bonding pads and manufacture thereof
US6229220B1 (en) * 1995-06-27 2001-05-08 International Business Machines Corporation Bump structure, bump forming method and package connecting body
US6288321B1 (en) * 1996-02-07 2001-09-11 California Institute Of Technology Electronic device featuring thermoelectric power generation
US20020014705A1 (en) * 2000-08-01 2002-02-07 Toshiya Ishio Semiconductor device and manufacturing method of same
US6426556B1 (en) * 2001-01-16 2002-07-30 Megic Corporation Reliable metal bumps on top of I/O pads with test probe marks
US6492198B2 (en) * 1999-09-29 2002-12-10 Samsung Electronics, Co., Ltd. Method for fabricating a semiconductor device
US20030052414A1 (en) * 2001-09-14 2003-03-20 Cowens Marvin W. Adhesion by plasma conditioning of semiconductor chip surfaces
US6576381B1 (en) * 1999-02-26 2003-06-10 Sumitomo Bakelite Co., Ltd. Semiconductor device
US6578754B1 (en) * 2000-04-27 2003-06-17 Advanpack Solutions Pte. Ltd. Pillar connections for semiconductor chips and method of manufacture
US6590295B1 (en) * 2002-06-11 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic device with a spacer redistribution layer via and method of making the same
US6592019B2 (en) * 2000-04-27 2003-07-15 Advanpack Solutions Pte. Ltd Pillar connections for semiconductor chips and method of manufacture
US20030173684A1 (en) * 2002-03-12 2003-09-18 Rajeev Joshi Wafer-level coated copper stud bumps
US20040004288A1 (en) * 2000-08-24 2004-01-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and manufacturing method of the same
US20040178481A1 (en) * 2003-03-10 2004-09-16 Rajeev Joshi Dual metal stud bumping for flip chip applications
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6853076B2 (en) * 2001-09-21 2005-02-08 Intel Corporation Copper-containing C4 ball-limiting metallurgy stack for enhanced reliability of packaged structures and method of making same
US6903020B2 (en) * 2003-07-08 2005-06-07 Oki Electric Industry Co., Ltd. Method of forming buried wiring in semiconductor device
US6917119B2 (en) * 2001-09-17 2005-07-12 Megic Corporation Low fabrication cost, high performance, high reliability chip scale package
US20050179131A1 (en) * 2004-02-18 2005-08-18 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US6958539B2 (en) * 2000-08-29 2005-10-25 Au Optronics Corporation Metal bump with an insulating sidewall and method of fabricating thereof
US20060017160A1 (en) * 2004-07-23 2006-01-26 Advanced Semiconductor Engineering Inc. Structure and formation method of conductive bumps
US7008867B2 (en) * 2003-02-21 2006-03-07 Aptos Corporation Method for forming copper bump antioxidation surface
US7064436B2 (en) * 2003-12-10 2006-06-20 Fujitsu Limited Semiconductor device and method of fabricating the same
US20060204650A1 (en) * 2005-03-09 2006-09-14 Wen-Hung Hu Electrical connector structure of circuit board and method for fabricating the same
US7223695B2 (en) * 2004-09-30 2007-05-29 Intel Corporation Methods to deposit metal alloy barrier layers
US7391112B2 (en) * 2005-06-01 2008-06-24 Intel Corporation Capping copper bumps
US20080296764A1 (en) * 2007-05-29 2008-12-04 Kuo-Chin Chang Enhanced copper posts for wafer level chip scale packaging
US20090011543A1 (en) * 2007-07-03 2009-01-08 Tjandra Winata Karta Enhanced Reliability of Wafer-Level Chip-Scale Packaging (WLCSP) Die Separation Using Dry Etching
US20090026608A1 (en) * 2007-07-24 2009-01-29 Mon-Chin Tsai Crosstalk-Free WLCSP Structure for High Frequency Application
US20090079094A1 (en) * 2007-09-21 2009-03-26 Stats Chippac, Ltd. Solder Bump with Inner Core Pillar in Semiconductor Package
US20090096109A1 (en) * 2007-10-11 2009-04-16 Akihisa Iwasaki Semiconductor device and method for fabricating the same
US7524755B2 (en) * 2006-02-22 2009-04-28 Chartered Semiconductor Manufacturing, Ltd. Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US20090130840A1 (en) * 2007-11-16 2009-05-21 Chung Yu Wang Protected Solder Ball Joints in Wafer Level Chip-Scale Packaging
US20090134521A1 (en) * 2007-11-27 2009-05-28 Interuniversitair Microelektronica Centrum Vzw Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
US7566650B2 (en) * 2005-09-23 2009-07-28 Stats Chippac Ltd. Integrated circuit solder bumping system
US7592246B2 (en) * 1999-06-14 2009-09-22 Micron Technology, Inc. Method and semiconductor device having copper interconnect for bonding
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20100041234A1 (en) * 2008-08-18 2010-02-18 Air Products And Chemicals, Inc. Process For Restoring Dielectric Properties
US7973407B2 (en) * 2003-07-02 2011-07-05 Intel Corporation Three-dimensional stacked substrate arrangements

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL6701136A (en) 1967-01-25 1968-07-26
FI61588C (en) 1980-08-01 1982-08-10 Lohja Ab Oy FOERFARANDE FOER UTFOERANDE AV ELEKTRISKT LEDANDE GENOMFOERINGAR I TUNNFILMER
US5134460A (en) 1986-08-11 1992-07-28 International Business Machines Corporation Aluminum bump, reworkable bump, and titanium nitride structure for tab bonding
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
JPH01128546A (en) * 1987-11-13 1989-05-22 Hitachi Ltd Semiconductor integrated circuit device
JPH02106935A (en) * 1988-10-17 1990-04-19 Nec Corp Semiconductor device with bump
JPH02125621A (en) * 1988-11-04 1990-05-14 Nec Corp Bump electrode forming method for semiconductor device
US5075253A (en) 1989-04-12 1991-12-24 Advanced Micro Devices, Inc. Method of coplanar integration of semiconductor IC devices
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
JPH05211239A (en) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> Interconnection structure of integrated circuit and method for formation of it
DE4314907C1 (en) 1993-05-05 1994-08-25 Siemens Ag Method for producing semiconductor components making electrically conducting contact with one another vertically
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
JP3296400B2 (en) 1995-02-01 2002-06-24 東芝マイクロエレクトロニクス株式会社 Semiconductor device, manufacturing method thereof, and Cu lead
US6464895B2 (en) 1995-03-24 2002-10-15 Rhodia Chimie Reagent and process which are useful for grafting a substituted difluoromethyl group onto a compound containing at least one electrophilic function
US6232563B1 (en) 1995-11-25 2001-05-15 Lg Electronics Inc. Bump electrode and method for fabricating the same
US6002177A (en) 1995-12-27 1999-12-14 International Business Machines Corporation High density integrated circuit packaging with chip stacking and via interconnections
JP3537447B2 (en) 1996-10-29 2004-06-14 トル‐シ・テクノロジーズ・インコーポレイテッド Integrated circuit and manufacturing method thereof
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6213376B1 (en) 1998-06-17 2001-04-10 International Business Machines Corp. Stacked chip process carrier
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
JP2000094181A (en) 1998-09-24 2000-04-04 Sony Corp Solder alloy composition
US6271059B1 (en) 1999-01-04 2001-08-07 International Business Machines Corporation Chip interconnection structure using stub terminals
US6229216B1 (en) 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
JP4131595B2 (en) 1999-02-05 2008-08-13 三洋電機株式会社 Manufacturing method of semiconductor device
JP3532788B2 (en) 1999-04-13 2004-05-31 唯知 須賀 Semiconductor device and manufacturing method thereof
US6243272B1 (en) 1999-06-18 2001-06-05 Intel Corporation Method and apparatus for interconnecting multiple devices on a circuit board
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6387793B1 (en) 2000-03-09 2002-05-14 Hrl Laboratories, Llc Method for manufacturing precision electroplated solder bumps
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
JP3700563B2 (en) 2000-09-04 2005-09-28 セイコーエプソン株式会社 Bump forming method and semiconductor device manufacturing method
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
KR100374300B1 (en) 2000-10-06 2003-03-03 동부전자 주식회사 Copper layer for semiconductor fabrication method
US6576493B1 (en) 2000-10-13 2003-06-10 Bridge Semiconductor Corporation Method of connecting a conductive trace and an insulative base to a semiconductor chip using multiple etch steps
KR100394808B1 (en) 2001-07-19 2003-08-14 삼성전자주식회사 Wafer level stack chip package and method for manufacturing the same
KR100435813B1 (en) 2001-12-06 2004-06-12 삼성전자주식회사 Multi chip package using metal bar and manufacturing method thereof
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US20030116845A1 (en) 2001-12-21 2003-06-26 Bojkov Christo P. Waferlevel method for direct bumping on copper pads in integrated circuits
DE10200399B4 (en) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale A method for producing a three-dimensionally integrated semiconductor device and a three-dimensionally integrated semiconductor device
WO2003063242A1 (en) 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6975016B2 (en) 2002-02-06 2005-12-13 Intel Corporation Wafer bonding using a flexible bladder press and thinned wafers for three-dimensional (3D) wafer-to-wafer vertical stack integration, and application thereof
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6805974B2 (en) 2002-02-15 2004-10-19 International Business Machines Corporation Lead-free tin-silver-copper alloy solder composition
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
JP4034107B2 (en) 2002-04-17 2008-01-16 株式会社ルネサステクノロジ Semiconductor device
EP1512173A1 (en) 2002-05-16 2005-03-09 National University Of Singapore Wafer level electroless copper metallization and bumping process, and plating solutions for semiconductor wafer and microchip
US6596619B1 (en) 2002-05-17 2003-07-22 Taiwan Semiconductor Manufacturing Company Method for fabricating an under bump metallization structure
SG107600A1 (en) 2002-06-27 2004-12-29 Agency Science Tech & Res Multilayer substrate metallization for ic interconnection
US20040007779A1 (en) 2002-07-15 2004-01-15 Diane Arbuthnot Wafer-level method for fine-pitch, high aspect ratio chip interconnect
US6600222B1 (en) 2002-07-17 2003-07-29 Intel Corporation Stacked microelectronic packages
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6790748B2 (en) 2002-12-19 2004-09-14 Intel Corporation Thinning techniques for wafer-to-wafer vertical stacks
US6908565B2 (en) 2002-12-24 2005-06-21 Intel Corporation Etch thinning techniques for wafer-to-wafer vertical stacks
US7012333B2 (en) 2002-12-26 2006-03-14 Ebara Corporation Lead free bump and method of forming the same
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US6946384B2 (en) 2003-06-06 2005-09-20 Intel Corporation Stacked device underfill and a method of fabrication
US7320928B2 (en) 2003-06-20 2008-01-22 Intel Corporation Method of forming a stacked device filler
US7078796B2 (en) 2003-07-01 2006-07-18 Freescale Semiconductor, Inc. Corrosion-resistant copper bond pad and integrated device
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
JP2007531247A (en) 2003-07-16 2007-11-01 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Metal bumps having sidewall insulators and methods of manufacturing chips having such metal bumps
KR100537892B1 (en) 2003-08-26 2005-12-21 삼성전자주식회사 Chip stack package and manufacturing method thereof
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
JP3794403B2 (en) 2003-10-09 2006-07-05 セイコーエプソン株式会社 Semiconductor device
US7462942B2 (en) 2003-10-09 2008-12-09 Advanpack Solutions Pte Ltd Die pillar structures and a method of their formation
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
KR100621992B1 (en) 2003-11-19 2006-09-13 삼성전자주식회사 structure and method of wafer level stack for devices of different kind and system-in-package using the same
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (en) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 Semiconductor device, chip alignment method for multi-chip semiconductor device, and method for manufacturing chip for multi-chip semiconductor device
CN100438011C (en) 2004-03-24 2008-11-26 雅马哈株式会社 Semiconductor device, magnetic sensor, and magnetic sensor unit
KR100570514B1 (en) 2004-06-18 2006-04-13 삼성전자주식회사 Manufacturing method for wafer level chip stack package
KR100618837B1 (en) 2004-06-22 2006-09-01 삼성전자주식회사 Method for forming thin wafer stack for wafer level package
US7307005B2 (en) 2004-06-30 2007-12-11 Intel Corporation Wafer bonding with highly compliant plate having filler material enclosed hollow core
US7087538B2 (en) 2004-08-16 2006-08-08 Intel Corporation Method to fill the gap between coupled wafers
US20060043603A1 (en) 2004-08-31 2006-03-02 Lsi Logic Corporation Low temperature PB-free processing for semiconductor devices
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
TWI242867B (en) 2004-11-03 2005-11-01 Advanced Semiconductor Eng The fabrication method of the wafer and the structure thereof
US7323406B2 (en) 2005-01-27 2008-01-29 Chartered Semiconductor Manufacturing Ltd. Elevated bond-pad structure for high-density flip-clip packaging and a method of fabricating the structures
US7348210B2 (en) 2005-04-27 2008-03-25 International Business Machines Corporation Post bump passivation for soft error protection
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US7557597B2 (en) 2005-06-03 2009-07-07 International Business Machines Corporation Stacked chip security
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7402515B2 (en) 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
KR100642645B1 (en) 2005-07-01 2006-11-10 삼성전자주식회사 Memory device having a highly integrated cell structure and fabrication method thereof
US20070023904A1 (en) 2005-08-01 2007-02-01 Salmon Peter C Electro-optic interconnection apparatus and method
US7432592B2 (en) 2005-10-13 2008-10-07 Intel Corporation Integrated micro-channels for 3D through silicon architectures
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
JP4755486B2 (en) 2005-11-17 2011-08-24 Okiセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
WO2007058603A1 (en) 2005-11-18 2007-05-24 Replisaurus Technologies Ab Method of forming a multilayer structure
US7410884B2 (en) 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7402442B2 (en) 2005-12-21 2008-07-22 International Business Machines Corporation Physically highly secure multi-chip assembly
US7279795B2 (en) 2005-12-29 2007-10-09 Intel Corporation Stacked die semiconductor package
US8367543B2 (en) 2006-03-21 2013-02-05 International Business Machines Corporation Structure and method to improve current-carrying capabilities of C4 joints
US20070287279A1 (en) 2006-06-08 2007-12-13 Daubenspeck Timothy H Methods of forming solder connections and structure thereof
US7812448B2 (en) * 2006-08-07 2010-10-12 Freescale Semiconductor, Inc. Electronic device including a conductive stud over a bonding pad region
KR100762354B1 (en) 2006-09-11 2007-10-12 주식회사 네패스 Flip chip semiconductor package and fabrication method thereof
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
KR100853202B1 (en) 2006-12-06 2008-08-20 한국전자통신연구원 Bolometer and method of manufacturing the same
US20090197114A1 (en) 2007-01-30 2009-08-06 Da-Yuan Shih Modification of pb-free solder alloy compositions to improve interlayer dielectric delamination in silicon devices and electromigration resistance in solder joints
US7485564B2 (en) 2007-02-12 2009-02-03 International Business Machines Corporation Undercut-free BLM process for Pb-free and Pb-reduced C4
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
US7759792B2 (en) 2007-08-15 2010-07-20 Infineon Technologies Ag Integrated circuit including parylene material layer
KR101213175B1 (en) 2007-08-20 2012-12-18 삼성전자주식회사 Semiconductor package having memory devices stacked on logic chip
US7713861B2 (en) 2007-10-13 2010-05-11 Wan-Ling Yu Method of forming metallic bump and seal for semiconductor device
CN101483977B (en) * 2008-01-09 2010-09-22 欣兴电子股份有限公司 Circuit board and manufacturing method thereof
US8039964B2 (en) 2008-02-27 2011-10-18 International Business Machines Corporation Fluorine depleted adhesion layer for metal interconnect structure
WO2010011177A1 (en) 2008-07-24 2010-01-28 Agency For Science, Technology And Research A substrate arrangement and a method of manufacturing a substrate arrangement
JP5314964B2 (en) 2008-08-13 2013-10-16 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7956442B2 (en) 2008-10-09 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside connection to TSVs having redistribution lines
US7928534B2 (en) 2008-10-09 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad connection to redistribution lines having tapered profiles
TW201019440A (en) 2008-11-03 2010-05-16 Int Semiconductor Tech Ltd Bumped chip and semiconductor flip-chip device applied from the same
US9607936B2 (en) 2009-10-29 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Copper bump joint structures with improved crack resistance
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US9082762B2 (en) 2009-12-28 2015-07-14 International Business Machines Corporation Electromigration-resistant under-bump metallization of nickel-iron alloys for Sn-rich solder bumps in Pb-free flip-clip
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
US20110227216A1 (en) 2010-03-16 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Under-Bump Metallization Structure for Semiconductor Devices
TW201145493A (en) 2010-06-01 2011-12-16 Chipmos Technologies Inc Silicon wafer structure and multi-chip stack structure

Patent Citations (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4720740A (en) * 1985-11-26 1988-01-19 Clements James R Electronic device including uniaxial conductive adhesive and method of making same
US5448114A (en) * 1992-07-15 1995-09-05 Kabushiki Kaisha Toshiba Semiconductor flipchip packaging having a perimeter wall
US6191493B1 (en) * 1993-02-18 2001-02-20 Mitsubishi Denki Kabushiki Kaisha Resin seal semiconductor package and manufacturing method of the same
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US5466635A (en) * 1994-06-02 1995-11-14 Lsi Logic Corporation Process for making an interconnect bump for flip-chip integrated circuit including integral standoff and hourglass shaped solder coating
US6229220B1 (en) * 1995-06-27 2001-05-08 International Business Machines Corporation Bump structure, bump forming method and package connecting body
US6288321B1 (en) * 1996-02-07 2001-09-11 California Institute Of Technology Electronic device featuring thermoelectric power generation
US6218281B1 (en) * 1997-12-26 2001-04-17 Fujitsu Limited Semiconductor device with flip chip bonding pads and manufacture thereof
US6576381B1 (en) * 1999-02-26 2003-06-10 Sumitomo Bakelite Co., Ltd. Semiconductor device
US7592246B2 (en) * 1999-06-14 2009-09-22 Micron Technology, Inc. Method and semiconductor device having copper interconnect for bonding
US6492198B2 (en) * 1999-09-29 2002-12-10 Samsung Electronics, Co., Ltd. Method for fabricating a semiconductor device
US6578754B1 (en) * 2000-04-27 2003-06-17 Advanpack Solutions Pte. Ltd. Pillar connections for semiconductor chips and method of manufacture
US6592019B2 (en) * 2000-04-27 2003-07-15 Advanpack Solutions Pte. Ltd Pillar connections for semiconductor chips and method of manufacture
US20020014705A1 (en) * 2000-08-01 2002-02-07 Toshiya Ishio Semiconductor device and manufacturing method of same
US20040004288A1 (en) * 2000-08-24 2004-01-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and manufacturing method of the same
US6958539B2 (en) * 2000-08-29 2005-10-25 Au Optronics Corporation Metal bump with an insulating sidewall and method of fabricating thereof
US6426556B1 (en) * 2001-01-16 2002-07-30 Megic Corporation Reliable metal bumps on top of I/O pads with test probe marks
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
US6869831B2 (en) * 2001-09-14 2005-03-22 Texas Instruments Incorporated Adhesion by plasma conditioning of semiconductor chip surfaces
US20030052414A1 (en) * 2001-09-14 2003-03-20 Cowens Marvin W. Adhesion by plasma conditioning of semiconductor chip surfaces
US6917119B2 (en) * 2001-09-17 2005-07-12 Megic Corporation Low fabrication cost, high performance, high reliability chip scale package
US6853076B2 (en) * 2001-09-21 2005-02-08 Intel Corporation Copper-containing C4 ball-limiting metallurgy stack for enhanced reliability of packaged structures and method of making same
US6731003B2 (en) * 2002-03-12 2004-05-04 Fairchild Semiconductor Corporation Wafer-level coated copper stud bumps
US20030173684A1 (en) * 2002-03-12 2003-09-18 Rajeev Joshi Wafer-level coated copper stud bumps
US6590295B1 (en) * 2002-06-11 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectronic device with a spacer redistribution layer via and method of making the same
US7008867B2 (en) * 2003-02-21 2006-03-07 Aptos Corporation Method for forming copper bump antioxidation surface
US7271497B2 (en) * 2003-03-10 2007-09-18 Fairchild Semiconductor Corporation Dual metal stud bumping for flip chip applications
US20040178481A1 (en) * 2003-03-10 2004-09-16 Rajeev Joshi Dual metal stud bumping for flip chip applications
US7973407B2 (en) * 2003-07-02 2011-07-05 Intel Corporation Three-dimensional stacked substrate arrangements
US6903020B2 (en) * 2003-07-08 2005-06-07 Oki Electric Industry Co., Ltd. Method of forming buried wiring in semiconductor device
US7064436B2 (en) * 2003-12-10 2006-06-20 Fujitsu Limited Semiconductor device and method of fabricating the same
US20050179131A1 (en) * 2004-02-18 2005-08-18 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
US20060017160A1 (en) * 2004-07-23 2006-01-26 Advanced Semiconductor Engineering Inc. Structure and formation method of conductive bumps
US7223695B2 (en) * 2004-09-30 2007-05-29 Intel Corporation Methods to deposit metal alloy barrier layers
US20060204650A1 (en) * 2005-03-09 2006-09-14 Wen-Hung Hu Electrical connector structure of circuit board and method for fabricating the same
US7391112B2 (en) * 2005-06-01 2008-06-24 Intel Corporation Capping copper bumps
US7566650B2 (en) * 2005-09-23 2009-07-28 Stats Chippac Ltd. Integrated circuit solder bumping system
US7524755B2 (en) * 2006-02-22 2009-04-28 Chartered Semiconductor Manufacturing, Ltd. Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US20080296764A1 (en) * 2007-05-29 2008-12-04 Kuo-Chin Chang Enhanced copper posts for wafer level chip scale packaging
US20090011543A1 (en) * 2007-07-03 2009-01-08 Tjandra Winata Karta Enhanced Reliability of Wafer-Level Chip-Scale Packaging (WLCSP) Die Separation Using Dry Etching
US20090026608A1 (en) * 2007-07-24 2009-01-29 Mon-Chin Tsai Crosstalk-Free WLCSP Structure for High Frequency Application
US20090079094A1 (en) * 2007-09-21 2009-03-26 Stats Chippac, Ltd. Solder Bump with Inner Core Pillar in Semiconductor Package
US20090096109A1 (en) * 2007-10-11 2009-04-16 Akihisa Iwasaki Semiconductor device and method for fabricating the same
US20090130840A1 (en) * 2007-11-16 2009-05-21 Chung Yu Wang Protected Solder Ball Joints in Wafer Level Chip-Scale Packaging
US20090134521A1 (en) * 2007-11-27 2009-05-28 Interuniversitair Microelektronica Centrum Vzw Integrated circuit and manufacturing method of copper germanide and copper silicide as copper capping layer
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
US20100041234A1 (en) * 2008-08-18 2010-02-18 Air Products And Chemicals, Inc. Process For Restoring Dielectric Properties

Cited By (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110233761A1 (en) * 2009-07-30 2011-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20110027944A1 (en) * 2009-07-30 2011-02-03 Taiwan Semiconductor Maufacturing Company, Ltd. Method of forming electrical connections
US8841766B2 (en) * 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US8377816B2 (en) 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8501616B2 (en) 2009-09-01 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US20110049705A1 (en) * 2009-09-01 2011-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US9214428B2 (en) 2009-09-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8623755B2 (en) 2009-09-01 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US8952534B2 (en) 2010-02-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8610270B2 (en) 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US9136167B2 (en) 2010-03-24 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a pillar structure having a non-metal sidewall protection structure
US11257714B2 (en) 2010-03-24 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a pillar structure having a non-metal sidewall protection structure and integrated circuit including the same
US9287171B2 (en) 2010-04-29 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a conductive pillar bump with non-metal sidewall protection structure
US8823167B2 (en) 2010-04-29 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Copper pillar bump with non-metal sidewall protection structure and method of making the same
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US10163837B2 (en) 2010-05-18 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US9018758B2 (en) 2010-06-02 2015-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall spacer and metal top cap
US9685372B2 (en) 2010-06-02 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming Cu pillar bump with non-metal sidewall spacer and metal top cap
US20120025369A1 (en) * 2010-08-02 2012-02-02 Chung-Yao Kao Semiconductor package
US8581401B2 (en) 2010-08-19 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
US8692390B2 (en) * 2011-02-18 2014-04-08 Chipbond Technology Corporation Pyramid bump structure
US20120211257A1 (en) * 2011-02-18 2012-08-23 Chih-Hung Wu Pyramid bump structure
US8435881B2 (en) * 2011-06-23 2013-05-07 STAT ChipPAC, Ltd. Semiconductor device and method of forming protective coating over interconnect structure to inhibit surface oxidation
US8912650B2 (en) 2011-06-23 2014-12-16 Stats Chippac, Ltd. Semiconductor device and method of forming protective coating over interconnect structure to inhibit surface oxidation
US20130001777A1 (en) * 2011-06-30 2013-01-03 Stmicroelectronics (Grenoble 2) Sas Copper wire receiving pad
US9337160B2 (en) * 2011-06-30 2016-05-10 Stmicroelectronics (Grenoble 2) Sas Copper wire receiving pad
US9105625B2 (en) * 2011-08-17 2015-08-11 Sony Corporation Semiconductor apparatus, method of manufacturing semiconductor apparatus, and electronic apparatus
US20130043585A1 (en) * 2011-08-17 2013-02-21 Sony Corporation Semiconductor apparatus, method of manufacturing semiconductor apparatus, and electronic apparatus
US20130075894A1 (en) * 2011-09-23 2013-03-28 Texas Instruments Incorporated Integrated circuit and method of making
TWI495067B (en) * 2011-10-25 2015-08-01 Globalfoundries Us Inc Semiconductor devices comprising bump structures and methods of forming bump structures that include a protection layer
US9024441B2 (en) * 2011-12-30 2015-05-05 Industrial Technology Research Institute Bump structure and electronic packaging solder joint structure and fabricating method thereof
US20130168851A1 (en) * 2011-12-30 2013-07-04 Industrial Technology Research Institute Bump structure and electronic packaging solder joint structure and fabricating method thereof
US10790252B2 (en) 2012-10-25 2020-09-29 Taiwan Semiconductor Manufacturing Company Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
US9620468B2 (en) * 2012-11-08 2017-04-11 Tongfu Microelectronics Co., Ltd. Semiconductor packaging structure and method for forming the same
US9589815B2 (en) * 2012-11-08 2017-03-07 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor IC packaging methods and structures
US20140124927A1 (en) * 2012-11-08 2014-05-08 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor ic packaging methods and structures
US20140124914A1 (en) * 2012-11-08 2014-05-08 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method
US9431325B2 (en) * 2012-11-08 2016-08-30 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure
US20140124928A1 (en) * 2012-11-08 2014-05-08 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method for forming the same
US9293338B2 (en) * 2012-11-08 2016-03-22 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method
US20160155684A1 (en) * 2012-11-08 2016-06-02 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method
US8802556B2 (en) * 2012-11-14 2014-08-12 Qualcomm Incorporated Barrier layer on bump and non-wettable coating on trace
US9385038B2 (en) 2013-01-18 2016-07-05 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US9431293B2 (en) 2013-01-18 2016-08-30 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US9455186B2 (en) 2013-01-18 2016-09-27 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US9536779B2 (en) 2013-01-18 2017-01-03 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US9076847B2 (en) 2013-01-18 2015-07-07 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US9406560B2 (en) 2013-01-18 2016-08-02 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
US20140217580A1 (en) * 2013-02-05 2014-08-07 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9159688B2 (en) * 2013-02-05 2015-10-13 Samsung Electronics Co., Ltd. Semiconductor device including a solder and method of fabricating the same
US20150021767A1 (en) * 2013-07-16 2015-01-22 Doo Hyun Park Semiconductor device with plated conductive pillar coupling
US20150035148A1 (en) * 2013-07-30 2015-02-05 Heeseok Lee Semiconductor packages and methods of fabricating the same
US9257413B2 (en) * 2013-08-29 2016-02-09 SK Hynix Inc. Stack packages including diffusion barriers over sidewalls of through via electrodes and methods of manufacturing the same
US20150061120A1 (en) * 2013-08-29 2015-03-05 SK Hynix Inc. Stack packages and methods of manufacturing the same
US20150371962A1 (en) * 2014-06-20 2015-12-24 Fujitsu Limited Terminal structure, semiconductor device, and terminal forming method
US10777523B2 (en) 2015-01-29 2020-09-15 Micron Technology, Inc. Semiconductor devices and semiconductor devices including a redistribution layer
US9768134B2 (en) 2015-01-29 2017-09-19 Micron Technology, Inc. Methods of forming conductive materials on semiconductor devices, and methods of forming electrical interconnects
US10276529B2 (en) 2015-01-29 2019-04-30 Micron Technology, Inc. Semiconductor devices including conductive pillars
CN105990291A (en) * 2015-03-16 2016-10-05 台湾积体电路制造股份有限公司 Structure for die probing
US9793235B2 (en) * 2016-01-11 2017-10-17 SK Hynix Inc. Semiconductor package having a bump bonding structure
US20180269380A1 (en) * 2017-03-16 2018-09-20 Vanguard International Semiconductor Corporation Electrical contact structure and methods for forming the same
US11362264B2 (en) 2017-03-16 2022-06-14 Vanguard International Semiconductor Corporation Electrical contact structure and methods for forming the same
US10651365B2 (en) * 2017-03-16 2020-05-12 Vanguard International Semiconductor Corporation Electrical contact structure and methods for forming the same
US10950568B2 (en) * 2017-05-23 2021-03-16 Micron Technology, Inc. Semiconductor device assembly with surface-mount die support structures
US20180342475A1 (en) * 2017-05-23 2018-11-29 Micron Technology, Inc. Semiconductor device assembly with die support structures
US10923447B2 (en) * 2017-05-23 2021-02-16 Micron Technology, Inc. Semiconductor device assembly with die support structures
US20180342476A1 (en) * 2017-05-23 2018-11-29 Micron Technology, Inc. Semiconductor device assembly with surface-mount die support structures
US11728297B2 (en) 2017-07-24 2023-08-15 Samsung Electronics Co., Ltd. Semiconductor devices, semiconductor packages, and methods of manufacturing the semiconductor devices
US10763426B2 (en) 2017-08-18 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a flat bottom electrode via (BEVA) top surface for memory
US11201281B2 (en) 2017-08-18 2021-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a flat bottom electrode via (BEVA) top surface for memory
US11751485B2 (en) 2017-08-18 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Flat bottom electrode via (BEVA) top surface for memory
US11844286B2 (en) 2017-08-18 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Flat bottom electrode via (BEVA) top surface for memory
US10566519B2 (en) 2017-08-18 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a flat bottom electrode via (BEVA) top surface for memory
CN110021580A (en) * 2017-12-14 2019-07-16 美光科技公司 Make the method and resulting structures of conductive trace
US10332792B1 (en) * 2017-12-14 2019-06-25 Micron Technology, Inc. Methods of fabricating conductive traces and resulting structures
US10811313B2 (en) 2017-12-14 2020-10-20 Micron Technology, Inc. Methods of fabricating conductive traces and resulting structures
US20190214358A1 (en) * 2018-01-11 2019-07-11 Samsung Electronics Co., Ltd. Semiconductor device, semiconductor package including semiconductor device, and method of manufacturing semiconductor device
EP3703129B1 (en) * 2019-02-28 2021-10-20 Detection Technology Oy Radiation sensor element and corresponding method
WO2020174127A1 (en) * 2019-02-28 2020-09-03 Detection Technology Oyj Radiation sensor element and method
EP3703129A1 (en) * 2019-02-28 2020-09-02 Detection Technology Oy Radiation sensor element and method
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
TWI769504B (en) * 2019-08-30 2022-07-01 台灣積體電路製造股份有限公司 Device having bonding structure and package and method of forming bonding structure
US11756907B2 (en) 2019-08-30 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding structure and method of forming same
US11355467B2 (en) 2020-01-15 2022-06-07 Samsung Electronics Co., Ltd. Semiconductor devices including thick pad
US11652076B2 (en) 2020-01-15 2023-05-16 Samsung Electronics Co., Ltd. Semiconductor devices including thick pad
US11688707B2 (en) 2020-07-20 2023-06-27 Samsung Electronics Co., Ltd. Semiconductor package
US11616007B2 (en) * 2020-10-08 2023-03-28 Advanced Semiconductor Engineering, Inc. Electronic package
WO2024063869A1 (en) * 2022-09-23 2024-03-28 Qualcomm Incorporated Inductive device structure and process method

Also Published As

Publication number Publication date
US8659155B2 (en) 2014-02-25
TWI420633B (en) 2013-12-21
TW201133748A (en) 2011-10-01
CN102347298B (en) 2016-08-03
KR101208758B1 (en) 2012-12-05
CN102088004A (en) 2011-06-08
KR20120011768A (en) 2012-02-08
US20110101527A1 (en) 2011-05-05
CN102347298A (en) 2012-02-08
CN102088004B (en) 2016-06-01

Similar Documents

Publication Publication Date Title
US10163837B2 (en) Cu pillar bump with L-shaped non-metal sidewall protection structure
US9136167B2 (en) Method of making a pillar structure having a non-metal sidewall protection structure
US20110101523A1 (en) Pillar bump with barrier layer
US9287171B2 (en) Method of making a conductive pillar bump with non-metal sidewall protection structure
US9627339B2 (en) Method of forming an integrated circuit device including a pillar capped by barrier layer
US9685372B2 (en) Method of forming Cu pillar bump with non-metal sidewall spacer and metal top cap
US9275965B2 (en) Copper pillar bump with cobalt-containing sidewall protection layer
US9960134B2 (en) Semiconductor device and bump formation process
US9214428B2 (en) Self-aligned protection layer for copper post structure
US8569887B2 (en) Post passivation interconnect with oxidation prevention layer
US8283781B2 (en) Semiconductor device having pad structure with stress buffer layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HWANG, CHIEN LING;WU, YI-WEN;LIU, CHUNG-SHI;REEL/FRAME:025398/0213

Effective date: 20101102

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION