US20110104850A1 - Solar cell contact formation process using a patterned etchant material - Google Patents

Solar cell contact formation process using a patterned etchant material Download PDF

Info

Publication number
US20110104850A1
US20110104850A1 US12/985,282 US98528211A US2011104850A1 US 20110104850 A1 US20110104850 A1 US 20110104850A1 US 98528211 A US98528211 A US 98528211A US 2011104850 A1 US2011104850 A1 US 2011104850A1
Authority
US
United States
Prior art keywords
substrate
composition
dielectric layer
solar cell
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/985,282
Inventor
Timothy W. Weidman
Rohit Mishra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/985,282 priority Critical patent/US20110104850A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MISHRA, ROHIT, WEIDMAN, TIMOTHY W.
Publication of US20110104850A1 publication Critical patent/US20110104850A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/022425Electrodes for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Definitions

  • Embodiments of the invention generally relate to the fabrication of photovoltaic cells.
  • Solar cells are photovoltaic devices that convert sunlight directly into electrical power.
  • the most common solar cell material is silicon, which is in the form of single or multicrystalline substrates, sometimes referred to as wafers. Because the amortized cost of forming silicon-based solar cells to generate electricity is higher than the cost of generating electricity using traditional methods, there has been an effort to reduce the cost to form solar cells.
  • the present invention generally provides a method of forming a solar cell device, comprising forming a dielectric layer on a surface of a substrate, disposing an etchant material on a plurality of regions of the dielectric layer, heating the substrate to a desired temperature to causes the etchant material to remove at least a portion of the dielectric layer in the plurality of regions to expose a plurality of regions of the surface of the substrate, and depositing a conducting layer on the exposed regions of the surface.
  • Embodiments of the method may further provide a process sequence where a conducting layer is deposited on the exposed regions of the surface substantially after heating the substrate to a desired temperature, so that no other major solar cell formation processes are performed between these process steps. For example, no wet processing steps are performed on the substrate between the heating of the substrate and the depositing a conducting layer processes.
  • the present invention also provides a method of forming a method of forming a solar cell device, comprising forming a dielectric layer on at least one surface of a substrate, wherein the dielectric layer contains one or more doping elements, disposing an etchant material on a plurality of regions of the dielectric layer, wherein the etchant material comprises ammonium fluoride, heating the substrate to a desired temperature to causes the etchant material to remove at least a portion of the dielectric layer, and depositing a conducting layer on the silicon containing region.
  • FIGS. 1A-1G illustrate schematic cross-sectional views of a solar cell during different stages in a sequence according to one embodiment of the invention.
  • FIG. 2 illustrates a flow chart of methods to metalize a solar cell according to embodiments of the invention.
  • FIG. 3A illustrates a cross-sectional side view of the solar cell having conductive patterns formed on a surface of the substrate according to embodiments of the invention.
  • FIG. 3B illustrates an isometric view of the solar cell upon completion with a front side metallization interconnect pattern, according to embodiments of the invention.
  • FIGS. 4A-4D illustrate schematic cross-sectional views of a solar cell during different stages in a sequence according to one embodiment of the invention.
  • FIG. 5 illustrates a flow chart of methods to metalize a solar cell according to embodiments of the invention.
  • Embodiments of the invention contemplate the formation of a high efficiency solar cell using novel methods to form the active region(s) and the metal contact structure of a solar cell device.
  • the methods include the use of various etching and patterning processes that are used to define point contacts through a blanket dielectric layer covering a surface of a solar cell substrate.
  • the method generally includes depositing an etchant material that enables formation of a desired pattern in a dielectric layer through which electrical contacts to the solar cell device can be formed.
  • Various techniques may be used to form the active regions of the solar cell and the metal contact structure.
  • Solar cell substrates e.g., substrate 110 in FIG.
  • flexible substrates that may have an active region that contains organic material, single crystal silicon, multi-crystalline silicon, polycrystalline silicon, germanium (Ge), gallium arsenide (GaAs), cadmium telluride (CdTe), cadmium sulfide (CdS), copper indium gallium selenide (CIGS), copper indium selenide (CulnSe 2 ), gallium indium phosphide (GaInP 2 ), as well as heterojunction cells, such as GaInP/GaAs/Ge or ZnSe/GaAs/Ge substrates, that are used to convert sunlight to electrical power.
  • heterojunction cells such as GaInP/GaAs/Ge or ZnSe/GaAs/Ge substrates, that are used to convert sunlight to electrical power.
  • substrate 110 comprises a p-n junction type structure similar to the type illustrate within substrate 310 , which is discussed below in conjunction with FIGS. 4A-4D , and has a dielectric layer (e.g., reference numerals 111 or 311 ) formed thereon.
  • a dielectric layer e.g., reference numerals 111 or 311
  • FIGS. 1A-1G illustrate schematic cross-sectional views of a solar cell substrate 110 during different stages in a processing sequence used to form a contact structure on a surface 120 of the solar cell 100 .
  • FIG. 2 illustrates a process sequence 200 used to form the active region(s) and/or contact structure on a solar cell. The sequence found in FIG. 2 corresponds to the stages depicted in FIGS. 1A-1G , which are discussed herein.
  • a dielectric layer 111 is formed on at least one surface of the substrate 110 .
  • the dielectric layer 111 is a silicon oxide layer, such as a silicon dioxide layer, formed on the surface 120 of a silicon containing substrate.
  • the silicon oxide containing dielectric layer 111 may be formed using a conventional thermal oxidation process, such a furnace annealing process, a rapid thermal oxidation process, an atmospheric pressure or low pressure CVD process, a plasma enhanced CVD process, a PVD process, or applied using a sprayed-on, spin-on, roll-on, screen printed, or other similar type of deposition process.
  • the dielectric layer 111 is a silicon dioxide layer that is between about 50 ⁇ and about 3000 ⁇ thick. In another embodiment the dielectric layer is a silicon dioxide layer that is less than about 2000 ⁇ thick. In one embodiment, the surface 120 is the backside of a formed solar cell device. It should be noted that the discussion of the formation of a silicon oxide type dielectric layer is not intended to be limiting as to the scope of the invention described herein since the dielectric layer 111 could also be formed using other conventional deposition processes (e.g., PECVD deposition) and/or be made of other dielectric materials.
  • PECVD deposition PECVD deposition
  • the dielectric layer 111 is a silicon nitride layer having a thickness between about 100 ⁇ and about 1000 ⁇ , which is formed on the surface 120 of a silicon containing substrate.
  • the silicon oxide or silicon nitride formation process is performed in a Vantage RadiancePlusTM RTP, Vantage RadOxTM RTP, or Applied Producer DARC®, or other similar chamber available from Applied Materials Inc. of Santa Clara, Calif.
  • the dielectric layer 111 comprises a multilayer film stack, such as a silicon oxide/silicon nitride layer stack (e.g., a silicon oxide layer (e.g., layer(s) ⁇ 20 ⁇ to ⁇ 3000 ⁇ thick) and a silicon nitride layer (e.g., layer(s) ⁇ 100 ⁇ to ⁇ 1000 ⁇ thick)), an amorphous silicon/silicon oxide layer stack (e.g., amorphous silicon layer (e.g., ⁇ 30 to 100 ⁇ thick) and silicon oxide layer (e.g., ⁇ 100 to 3000 ⁇ thick)), or an amorphous silicon/silicon nitride stack (e.g., amorphous silicon layer (e.g., ⁇ 30 to 100 ⁇ thick) and silicon nitride layer (e.g., ⁇ 100 to 1000 ⁇ thick)).
  • a silicon oxide/silicon nitride layer stack e.g., a silicon oxide layer (
  • a 50 ⁇ amorphous silicon layer is deposited on a silicon substrate using a CVD process, and then a 750 ⁇ silicon nitride layer is deposited using a CVD or PVD process.
  • a 50 ⁇ silicon oxide layer is formed using a rapid thermal oxidation process on a silicon substrate, and then a 750 ⁇ silicon nitride is deposited on the silicon oxide layer using a CVD or PVD process.
  • an etchant material 121 is selectively deposited on the dielectric layer 111 by use of a conventional ink jet printing, rubber stamping, screen printing, or other similar process to form and define a desired pattern where electrical contacts to the underlying substrate surface (e.g., silicon) are to be formed ( FIG. 1B ).
  • the etchant material is disposed on the dielectric layer 111 by a screen printing process in which the etchant material is printed through a polyester mask that has an array of features ranging in size from about 100 to about 1000 ⁇ m in size that are placed on less than 2 mm centers.
  • the features are circular holes, slots, rectangular shaped holes, hexagonal shaped holes, or other desirable shape that are to be formed in the dielectric layer 111 .
  • the etchant material is printed through a polyester mask that has an array of features that are greater than about 100 ⁇ m in size and are placed on less than about 1-2 mm centers. In another example, the features that are less than about 1000 ⁇ m in size and are placed on less than about 1-2 mm centers.
  • the screen printing process is performed in a SoftLineTM available from Baccini S.p.A, which is a division of Applied Materials Inc. of Santa Clara, Calif.
  • etchant material 121 is an ammonium fluoride (NH 4 F) containing material that is formulated to etch the dielectric layer 111 .
  • the etchant material contains: 200 g/l of ammonium fluoride (NH 4 F), 50 g/l of 2000 MW polyethylene glycol (PEG) and 50 g/l of ethyl alcohol with the remainder of the 1 liter volume being DI water.
  • FIG. 1C illustrates a close up view of an amount of the etchant material 121 that has been deposited on the dielectric layer 111 .
  • one liter of the etchant material contains: 90 milliliters of a 6:1 BOE etching solution, 5 g of 500 MW polyethylene glycol (PEG) and 5 g of ethyl alcohol with the remainder of the volume being DI water. Additional components in the etching solution are generally selected so as to promote effective “wetting” of the dielectric layer 111 while minimizing the amount of spreading that can affect the formed pattern in the dielectric layer 111 . While polyethylene oxide (i.e., polyethylene glycol) based materials and other related materials work well as a surfactant in the etchant material, they also decompose at temperatures over 250° C. to form volatile byproducts thereby avoiding the need for a post-rinse step to clean the substrate surface after heating the substrate in the next step.
  • PEG polyethylene glycol
  • the etchant material 121 comprises ammonium fluoride (NH 4 F), a solvent that forms a homogeneous mixture with ammonium fluoride, a pH adjusting agent (e.g., BOE, HF), and a surfactant/wetting agent.
  • a pH adjusting agent e.g., BOE, HF
  • a surfactant/wetting agent e.g., a surfactant/wetting agent.
  • the solvent is dimethylamine, diethylamine, triethylamine or ethanolamine that are disposed in an aqueous solution. In general, any other amine that will forms a homogeneous mixture with ammonium fluoride can also be used.
  • the surfactant/wetting agent may be polyethylene glycol (PEG), polypropylene glycol, polyethylene glycol-polypropylene glycol-block-copolymer, or glycerin.
  • PEG polyethylene glycol
  • any other surfactant that mixes homogeneously with ammonium fluoride and the solvent mixture, and also “wets” the dielectric surface can be used.
  • the etchant material 121 comprises 20 g of ammonium fluoride that is mixed together with 5 ml of dimethylamine, and 25 g of glycerin, which is then heated to 100° C.
  • the substrate is heated to a temperature of between about 200-300° C. which causes the chemicals in the etchant material to etch the dielectric layer 111 formed on the substrate to form the exposed regions 125 on the substrate 110 .
  • the exposed regions 125 provide openings in the dielectric layer 111 through which electrical connections can be made to the substrate 110 .
  • the exposed regions 125 on the surface of the substrate are between about 5 ⁇ m and about 200 ⁇ m in diameter. It is believed that by exposing a silicon oxide containing dielectric layer 111 (e.g., 1000 ⁇ thick) to an etchant material 121 that contains ammonium fluoride (NH 4 F) at a temperature in a range between 100-300° C.
  • NH 4 F ammonium fluoride
  • the dielectric layer 111 is etched to produce volatile etch products which evaporate from the surface (e.g., reference numeral “A” in FIGS. 1D ) of the substrate 110 during processing.
  • the substrate is placed in a thermal processing chamber in which a gradual temperature ramp between 50° C. and 300° C. is applied to the substrate over a 6 minute cycle to etch the dielectric layer 111 . Therefore, after processing for a desired period of time (e.g., ⁇ 2 minutes) at a desired temperature the volatile etch products will be removed and a clean surface 110 A is left within the exposed regions 125 so that a reliable backside electrical contact can be formed in these areas. It is believed that the reaction will follow the following equation (1).
  • FIG. 1E illustrates the patterned dielectric layer 111 formed after performing the steps found in boxes 202 - 206 shown in FIGS. 1A-1D and 2 .
  • One desirable aspect of the process sequence and etchant formulations discussed herein is the ability to form the exposed regions 125 in the dielectric layer 111 without the need to perform any post cleaning processes due to the removal of the etching products and residual etchant material by evaporation, thus leaving a clean surface that can be directly metalized following the processes at box 210 . Therefore, in one embodiment, the process sequence includes forming the exposed regions 125 in the dielectric layer 111 and then proceeding with the step(s) of depositing a conducting layer 114 (box 210 ).
  • box 206 and box 210 it is desirable to avoid extended waiting periods, or performing other long intermediate processing steps, between box 206 and box 210 to prevent a substantial oxide layer (e.g., SiO 2 ) from forming on the exposed substrate (e.g., crystalline silicon substrate) surfaces before the conducting layer 114 is deposited thereon.
  • a substantial oxide layer e.g., SiO 2
  • an optional cleaning process is performed on the substrate 110 after the process performed in box 206 has been completed to remove any undesirable residue and/or form a passivated surface.
  • the clean process may be performed by wetting the substrate with a cleaning solution that is used to remove left over material from after box 206 and clean the surface of the substrate before the subsequent deposition sequence performed on the various regions of the substrate. Wetting may be accomplished by spraying, flooding, immersing of other suitable technique.
  • the post etch-clean solution may be an SC1 cleaning solution, an SC2 cleaning solution, a dilute HF-last type cleaning solution, an ozonated water solution, a dilute ammonium hydroxide (NH 4 OH) solution, a hydrogen peroxide (H 2 O 2 ) solution, DI water or other suitable and cost effective cleaning process may be used to clean a silicon containing substrate.
  • a conducting layer 114 is deposited on the surface 120 of the substrate 110 that electrically connects the exposed regions (e.g., clean surfaces 110 A) on the surface of the substrate 110 .
  • the formed conducting layer 114 is between about 500 and about 500,000 angstroms ( ⁇ ) thick and contains a metal, such as copper (Cu), silver (Ag), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), and/or aluminum (Al).
  • the conducting layer 114 contains two layers that are formed by first depositing an aluminum (Al) layer 112 by a physical vapor deposition (PVD) process, or evaporation process, and then depositing a nickel vanadium (NiV) capping layer 113 by use of a PVD deposition process.
  • PVD physical vapor deposition
  • NiV nickel vanadium
  • the conducting layer 114 is applied over an interdigitated all back contact solar cell structure, it may be desirable to pattern the deposited conducting layer 114 to form two isolated regions by use of a material removal process, such as laser ablation, patterning and dry etching, or other similar techniques.
  • a nickel containing layer is formed on the exposed regions 125 of the substrate 110 by use of an electroless deposition process.
  • An exemplary nickel deposition chemistry, method of depositing, and process of forming a conductive layer is further described in the Provisional Patent Application Ser. No. 61/003,754 [Docket No. APPM 12974L], filed Nov. 19, 2007, which is incorporated by reference herein.
  • the other portions of the conducting layer 114 can be formed over the nickel layer.
  • an optional thermal processing step is performed after the depositing the conducting layer 114 (i.e., box 210 ) to assure that a good electrical contact is formed at the interface between the conducting layer 114 and the surface 120 .
  • the substrate is heated to a temperature between about 300° C. and about 800° C. for between about 1 minute and about 30 minutes to form a good ohmic contact (e.g., form a silicide).
  • the opposing surface 101 of the substrate 110 is the front side of a solar cell substrate that is adapted to receive sunlight after the solar cell has been formed.
  • opposing surface 101 is textured, then selectively doped using either a spray-on, or vapor phase, high temperature diffusion process, and then passivated using an ARC layer 119 (e.g., silicon nitride).
  • ARC layer 119 e.g., silicon nitride.
  • a heterojunction 116 type solar cell structure having one or more active layers 118 is formed on the textured opposing surface 101 .
  • the preparation of the opposing surface 101 may also be performed prior to performing the process at box 202 , or other steps in the process sequence 200 , without deviating from the basic scope of the invention described herein.
  • An example of an exemplary texturizing process is further described in the Provisional Patent Application Ser. No. 61/039,384 [Docket No. APPM 13323L], filed Mar. 25, 2008, which is incorporated by reference.
  • one or more conductive front contact lines may be formed thereon using conventional processes to form the front contact structure of the solar cell 100 .
  • the processes performed at boxes 202 , 204 and/or 206 are altered to provide a process that is used to form a patterned dielectric layer and doped regions at and below the clean surfaces 110 A of the substrate 110 ( FIGS. 1D-1E ) after the process sequence 200 is performed.
  • these techniques are used to form heavily doped regions within the areas of the substrate that are exposed after performing a subsequent high temperature thermal process.
  • an etching material is disposed over portions of an undoped dielectric layer to etch and form an exposed region that can be easily doped using the processes described herein.
  • the dopant containing material can thus be added to the etchant formulation to increase the dopant concentration in the residue and ultimately the differential degree of doping under the contacts.
  • a selectively doped point contact or selective emitter contacts type solar cells can be formed by use of the processes described herein to form higher efficiency solar cells.
  • a dielectric layer 111 is formed that already contains desired amounts of an n-type or p-type dopant atom that remains as a dopant rich residue on the surface after volatilization of the dielectric layer 111 components (e.g., silicon, oxygen).
  • the dopant material is driven into the substrate surface during the subsequent high temperature processing step (i.e., box 206 ).
  • the high concentration of the remaining dopant atoms in the dopant residue can thus be advantageously used to form a heavily doped region by driving the residual doping atoms into the underlying substrate proximate to the formed exposed regions during the subsequent high temperature diffusion step.
  • the process in box 206 is performed at temperatures greater than about 800° C. in a furnace, or RTP chamber.
  • a two step heating process is used in which the substrate is first heated to a temperature of about 300° C. for about 1 to 5 minutes, and then heated to a temperature between about 800 and about 1200° C. for a desired period of time to allow the dopant residue to be formed and then the dopant to be driven into the substrate surface.
  • a two step heating process is used in which the substrate is first heated to a temperature of less than about 300° C. for about 1 to 5 minutes, and then heated to a temperature greater than about 800° C. for a desired period of time. Therefore, a highly doped region can be formed at the exposed regions, due to the high concentration of doping atoms in the dopant residue that can relatively easily diffuse into the substrate surface.
  • the etchant material 121 contains an amount of a desired dopant material that can be driven into the clean surfaces 110 A of the substrate 110 during the process performed at box 206 .
  • the process performed at box 206 is performed at temperatures greater than about 800° C. in a furnace, or RTP chamber, in the presence of a reducing gas (e.g., forming gas, air or oxygen) to drive-in the dopant material.
  • a reducing gas e.g., forming gas, air or oxygen
  • the etchant material 121 contains an n-type dopant material, such as a phosphorous (P), antimony (Sb), or arsenic (As) containing compounds that are disposed on the surface of the substrate during the process performed at box 204 .
  • the dopant atoms added to the etchant material 121 can be used to form a local n+ region to provide superior electrical contact to an n-type substrate, or n-type diffused region in the substrate 110 .
  • the doping component in the etching material 121 can comprise one of the materials selected from a group consisting of phosphoric acid (H 3 PO 4 ), phosphorous acid (H 3 PO 3 ), hypophosphorous acid (H 3 PO 2 ), ammonium phosphite (NH 4 H 2 PO 3 ), and ammonium hypophosphite (NH 4 H 2 PO 2 ).
  • the etchant material 121 may contain a p-type dopant material such as a compound containing boron (B), aluminum (Al), indium (In), or gallium (Ga), so as to generate a local p+ region to provide superior electrical contact to a p-type substrate, or p-type diffused region in the substrate 110 .
  • the dopant precursor may include boric acid (H 3 BO 3 ), ammonium tetrafluoroborate (NH 4 BF 4 ), and/or combination thereof.
  • an etching material is applied to a dopant containing dielectric layer, such as the dielectric layer 111 that contains an amount of one or more dopant atoms, before a high temperature thermal processing step is performed, such as a version of step 206 .
  • the dielectric layer is a phosphosilicate glass (PSG) or borosilicate glass (BSG) containing material.
  • both the dopant in the (unetched) doped layers for example, BSG or PSG layers, and the more concentrated dopant residue present at the surface of the etched openings are driven in the solar cell substrate.
  • the dopant in the etching material contains a dopant of another type (e.g., As, Al, Ga, In) than the dopant contained in the doped dielectric layer (e.g., B, P). Therefore, in one embodiment, a more highly and/or more deeply doped region can be formed at the exposed regions, and a shallower doping profile beneath the unetched doped dielectric layers. It is believed that the deeper doping profile can be achieved at the exposed regions due to the greater concentration of doping atoms at the substrate surface and the relative ease with which the residual dopant atoms can diffuse into the substrate surface versus through the doped layer.
  • FIGS. 3A and 3B schematically depict one embodiment of a silicon solar cell 300 fabricated on a solar cell substrate 310 .
  • the substrate 310 includes a p-type base region 301 , an n-type emitter region 302 , and a p-n junction region 303 disposed therebetween.
  • An n-type region, or n-type semiconductor is formed by doping the semiconductor with certain types of elements (e.g., phosphorus (P), arsenic (As), or antimony (Sb)) in order to increase the number of negative charge carriers, i.e., electrons.
  • P phosphorus
  • As arsenic
  • Sb antimony
  • the n-type emitter region 302 is formed by use of an amorphous, microcrystalline or polycrystalline silicon CVD deposition process that contains a dopant containing gas.
  • a p-type region, or p-type semiconductor is formed by the addition of trivalent atoms to the crystal lattice, resulting in a missing electron from one of the four covalent bonds normal for the silicon lattice.
  • the dopant atom can accept an electron from a neighboring atom's covalent bond to complete the fourth bond.
  • the p-type base region 301 is a solar cell substrate, such as a crystalline silicon substrate (e.g., single crystal, multicrystalline, polycrystalline) or other similar substrate material as discussed above in conjunction with the substrate 110 in FIG. 1A .
  • a solar cell substrate such as a crystalline silicon substrate (e.g., single crystal, multicrystalline, polycrystalline) or other similar substrate material as discussed above in conjunction with the substrate 110 in FIG. 1A .
  • the solar cell device configurations illustrated in FIGS. 3A-3B , and other below, are not intended to be limiting as to the scope of the invention since other substrate and solar device region configurations can be metallized using the methods and apparatuses described herein without deviating from the basic scope of the invention.
  • the solar cell 300 is generally configured as widely-spaced thin metal lines 309 A, or fingers, that supply current to larger bus bars 309 B transversely oriented to the fingers.
  • the back contact 306 is generally not constrained to be formed in multiple thin metal lines, since it does not prevent incident light from striking the solar cell 300 .
  • the solar cell 300 may be covered with a thin layer of dielectric material, such as silicon nitride (Si 3 N 4 ) or silicon nitride hydride (Si x N y :H), to act as an anti-reflection coating layer 311 , or ARC layer 311 , that minimizes light reflection from the top surface of the solar cell 300 .
  • the ARC layer 311 may be formed using a physical vapor deposition (PVD) process, a chemical vapor deposition process, or other similar technique.
  • PVD physical vapor deposition
  • An anneal step (>600° C.) may be used to further passivate the deposited ARC layer 311 .
  • the contact structure 308 makes contact with the substrate and is adapted to form an ohmic connection with doped region (e.g., n-type emitter region 302 ).
  • An ohmic contact is a region on a semiconductor device that has been prepared so that the current-voltage (I-V) curve of the device is linear and symmetric, i.e., there is no high resistance interface between the doped silicon region of the semiconductor device and the metal contact. Low-resistance, stable contacts ensure performance of the solar cell and reliability of the circuits formed in the solar cell fabrication process.
  • the back contact 306 completes the electrical circuit required for the solar cell 300 to produce a current by forming a conductive layer that is in ohmic contact with p-type base region 301 of the substrate.
  • FIGS. 4A-4D illustrate schematic cross-sectional views of a solar cell during different stages in a processing sequence used to form a conductive layer on a surface of the solar cell, such as the contact structure 308 shown in FIG. 3A .
  • FIG. 5 illustrates a process sequence 500 , or series of method steps, that are used to form the contact structure 308 on a solar cell. The method steps found in FIG. 5 correspond to the stages depicted in FIGS. 4A-4D , which are discussed herein.
  • step 502 a solar cell is formed having an arc layer 311 formed on a surface of the substrate 310 (See FIGS. 3A and 4A ) via conventional means. It should be noted that the back contact 306 ( FIG. 3A ), as in any of the steps discussed above, need not be formed prior to metalizing a portion of the surface 320 of the substrate 310 .
  • a metal containing ink 390 material is selectively deposited on the ARC layer 311 by use of a conventional ink jet printing, screen printing, rubber stamping or other similar process to form and define the regions where the contact structure 308 (i.e., fingers 309 A and bus bars 309 B) are to be formed.
  • metal containing ink 390 is a nickel containing ink that is formulated to etch the ARC layer 311 and metalize the underlying surface 302 A of the substrate 310 .
  • the nickel containing ink contains: 10 grams of nickel acetate (Ni(OOCCH 3 ) 2 .4H 2 O), 10 grams of 42% hypophosphorous acid (H 3 PO 2 ), 10 grams of polyphosphoric acid (H 6 P 4 O 13 ), 3 grams of ammonium fluoride (NH 4 F) and 2 g of 500 MW Polyethylene glycol (PEG).
  • the nickel containing ink primarily contains: 10 grams of nickel acetate (Ni(OOCCH 3 ) 2 .4H 2 O), 10 grams of 42% hypophosphorous acid (H 3 PO 2 ), and 3 grams of ammonium fluoride (NH 4 F).
  • the substrate is heated to a temperature of between about 250-300° C. which causes the chemicals in the metal containing ink 390 to etch the ARC layer 311 and metalize the underlying surface 302 A of the substrate.
  • the process of heating a nickel containing metal containing ink 390 causes a silicon nitride (SiN) containing ARC layer 311 to be etched and a nickel silicide (Ni x Si y ) to form on the surface of upper surface of the substrate 310 , such as the n-type emitter region 302 .
  • FIG. 4B illustrates a contact layer 304 formed on the n-type emitter region 302 .
  • an electroless nickel deposition process is used to form the contact layer 304 that comprises a primarily nickel layer that is between about 10 and about 2000 angstroms ( ⁇ ) thick.
  • an optional cleaning process is performed on the substrate 310 after the process performed in box 506 has been completed to remove any undesirable residue and/or form a passivated surface.
  • the clean process may be performed by wetting the substrate with a cleaning solution to remove any left over material after performing the processes in box 506 .
  • Wetting may be accomplished by spraying, flooding, immersing of other suitable technique.
  • the cleaning solution may be a SC1 cleaning solution, an SC2 cleaning solution, a dilute HF-last type cleaning solution, an ozonated water solution, a dilute ammonium hydroxide (NH 4 OH) solution, a hydrogen peroxide (H 2 O 2 ) solution, DI water or other suitable and cost effective cleaning process may be used to clean a silicon containing substrate.
  • a SC1 cleaning solution an SC2 cleaning solution
  • a dilute HF-last type cleaning solution an ozonated water solution
  • a dilute ammonium hydroxide (NH 4 OH) solution a hydrogen peroxide (H 2 O 2 ) solution
  • DI water or other suitable and cost effective cleaning process
  • the substrate surface need not be cleaned when the metal containing ink 390 formulation does not contain a residue forming material, such as polyphosphoric acid, polyethylene glycol, or other similar materials.
  • a conducting layer 305 is optionally deposited on the contact layer 304 to form the major electrically conducting part of the contact structure 308 .
  • the formed conducting layer 305 is between about 2000 and about 50,000 angstroms ( ⁇ ) thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al).
  • the conducting layer 305 is formed by depositing silver (Ag) on the contact layer 304 using an electroless silver deposition process that inherently selectively forms a metal layer on the contact layer 304 .
  • a bus wire 330 is attached to at least a portion of the contact structure 308 to allow portions of the solar cell device to be connected to other solar cells or external devices.
  • the bus wire 330 is connected to the contact structure 308 using a soldering material 331 that may contain a solder material (e.g., Sn/Pb, Sn/Ag).
  • the bus wire 330 is between about 2 microns thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al).
  • the bus wire is coated with a solder material, such as a Sn/Pb or Sn/Ag solder material.
  • steps 504 and 506 may be changed to provide an alternate technique that is used to form the contact structure 308 .
  • the alternate version of step 504 rather than selectively depositing the metal containing ink 390 on the surface of the ARC layer 311 the ink is spread or deposited across the surface 320 of the substrate 310 , or over desired regions of the substrate, by use of a simple spin-on, spray-on, dipping, or other similar technique.
  • a beam of energy such optical radiation (e.g., laser beam) or an electron beam, is delivered to the surface of the substrate to selectively heat regions of the substrate to causes the chemicals in the ink in these regions to etch the ARC layer 311 and metalize the underlying surface 302 A of the substrate.
  • the delivery of a beam of energy causes the a nickel containing metal containing ink 390 in the heated regions to etch a silicon nitride (SiN) containing ARC layer 311 and form a nickel silicide (Ni x Si y ) on the surface of upper surface of the substrate 310 , such as the n-type emitter region 302 .
  • the unheated regions of the ink may then be rinsed from the surface of the substrate if desired.
  • an etchant and/or dopant containing material e.g., a phosphorous containing material
  • a doping material is added to the metal containing ink solution 390 , discussed above, so that an improved metal to silicon interface can be formed.
  • one or more doping materials is spread or deposited across the face of the substrate, or over desired regions of the substrate, by use of a simple spin-on, spray-on, dip or other similar technique.
  • an n-type doping material may comprise polyacrylic acid (CH 2 CHCOOH) x , and hypophosphorous acid (H 3 PO 2 ) is spread over a surface of a substrate.
  • the doping material also contains a dye or pigment material that is used to highlight the different types of dopant materials (e.g., n-type or p-type dopants) that are disposed on the substrate surface.
  • a beam of energy such as optical radiation (e.g., laser beam) or an electron beam, is delivered to the surface of the substrate to selectively heat regions of the substrate to remove the ARC layer 311 from the surface of the substrate, but also cause the chemicals in the doping material to react and dope the materials within the underlying surface 302 A of the substrate 310 .
  • optical radiation e.g., laser beam
  • electron beam an electron beam
  • a conductive contact layer 304 is formed on the exposed regions of the substrate.
  • an electroless nickel deposition process is used to form the contact layer 304 that comprises a primarily pure nickel layer that is between about 10 and about 3500 angstroms ( ⁇ ) thick over the doped regions.
  • the deposited film may contain a high amount of phosphorus (e.g., about 5% P).
  • contents of a bath for the electroless nickel deposition process may include nickel sulfate (NiSO 4 ), ammonium fluoride (NH 4 F), hydrogen fluoride (HF), and hypophosphite (H 2 PO 2 ⁇ ).
  • the bath may be at 60° C.
  • the electroless nickel deposition process may be completed at a temperature between about 75-85° C. and use a solution containing about 25 grams of nickel acetate (Ni(OOCCH 3 ) 2 .4H 2 O), 50 grams of 42% hypophosphorous acid (H 3 PO 2 ), and enough ethylenediamine to achieve a pH of 6.0, which is added to a 6:1 BOE solution.
  • the deposition rate that can be achieved is generally between 250-300 angstrom/minute.
  • a conducting layer 305 is optionally deposited on the contact layer 304 to form the major electrically conducting part of the contact structure 308 .
  • the formed conducting layer 305 is between about 2000 and about 50,000 angstroms ( ⁇ ) thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al).
  • a copper (Cu) containing conducting layer 305 is deposited on the contact layer 304 by use of an electrochemical plating process (e.g., copper deposition, silver deposition).
  • a bus wire 330 may be attached to at least a portion of the contact structure 308 to allow portions of the solar cell device to be connected to other solar cells or external devices.
  • the bus wire 330 is connected to the contact structure 308 using a soldering material 331 that may contain a solder material (e.g., Sn/Pb, Sn/Ag).
  • the bus wire 330 is about 200 microns thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al).
  • each of the buss wires 330 are formed from a wire that is about 30 gauge (AWG: ⁇ 0.254 mm) or smaller in size.
  • the bus wire is coated with a solder material, such as a Sn/Pb or Sn/Ag solder material.

Abstract

Embodiments of the invention contemplate the formation of a high efficiency solar cell using novel methods to form the active region(s) and the metal contact structure of a solar cell device. In one embodiment, the methods include the use of various etching and patterning processes that are used to define point contacts through a blanket dielectric layer covering a surface of a solar cell substrate. The method generally includes depositing an etchant material that enables formation of a desired pattern in a dielectric layer through which electrical contacts to the solar cell device can be formed.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation application of the U.S. patent application Ser. No. 12/274,023 [Attorney Docket # APPM 12974.02], which claims the benefit of U.S. Provisional Patent Application Ser. No. 61/003,754 [Attorney Docket # APPM 12974L], filed Nov. 19, 2007, U.S. Provisional Patent Application Ser. No. 61/048,001 [Attorney Docket # APPM 13439L], filed Apr. 25, 2008, and U.S. Provisional Patent Application Ser. No. 61/092,379 [Attorney Docket # APPM 13437L], filed Aug. 27, 2008, which are all herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the invention generally relate to the fabrication of photovoltaic cells.
  • 2. Description of the Related Art
  • Solar cells are photovoltaic devices that convert sunlight directly into electrical power. The most common solar cell material is silicon, which is in the form of single or multicrystalline substrates, sometimes referred to as wafers. Because the amortized cost of forming silicon-based solar cells to generate electricity is higher than the cost of generating electricity using traditional methods, there has been an effort to reduce the cost to form solar cells.
  • Various approaches enable fabricating active regions of the solar cell and the current carrying metal lines, or conductors, of the solar cells. However, there are several issues with these prior manufacturing methods. For example, the formation processes are complicated multistep processes that add to costs required to complete the solar cells.
  • Therefore, there exists a need for improved methods and apparatus to form the active and current carrying regions formed on a surface of a substrate to form a solar cell.
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a method of forming a solar cell device, comprising forming a dielectric layer on a surface of a substrate, disposing an etchant material on a plurality of regions of the dielectric layer, heating the substrate to a desired temperature to causes the etchant material to remove at least a portion of the dielectric layer in the plurality of regions to expose a plurality of regions of the surface of the substrate, and depositing a conducting layer on the exposed regions of the surface. Embodiments of the method may further provide a process sequence where a conducting layer is deposited on the exposed regions of the surface substantially after heating the substrate to a desired temperature, so that no other major solar cell formation processes are performed between these process steps. For example, no wet processing steps are performed on the substrate between the heating of the substrate and the depositing a conducting layer processes.
  • The present invention also provides a method of forming a method of forming a solar cell device, comprising forming a dielectric layer on at least one surface of a substrate, wherein the dielectric layer contains one or more doping elements, disposing an etchant material on a plurality of regions of the dielectric layer, wherein the etchant material comprises ammonium fluoride, heating the substrate to a desired temperature to causes the etchant material to remove at least a portion of the dielectric layer, and depositing a conducting layer on the silicon containing region.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings.
  • FIGS. 1A-1G illustrate schematic cross-sectional views of a solar cell during different stages in a sequence according to one embodiment of the invention.
  • FIG. 2 illustrates a flow chart of methods to metalize a solar cell according to embodiments of the invention.
  • FIG. 3A illustrates a cross-sectional side view of the solar cell having conductive patterns formed on a surface of the substrate according to embodiments of the invention.
  • FIG. 3B illustrates an isometric view of the solar cell upon completion with a front side metallization interconnect pattern, according to embodiments of the invention.
  • FIGS. 4A-4D illustrate schematic cross-sectional views of a solar cell during different stages in a sequence according to one embodiment of the invention.
  • FIG. 5 illustrates a flow chart of methods to metalize a solar cell according to embodiments of the invention.
  • For clarity, identical reference numerals have been used, where applicable, to designate identical elements that are common between figures. It is contemplated that features of one embodiment may be incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • Embodiments of the invention contemplate the formation of a high efficiency solar cell using novel methods to form the active region(s) and the metal contact structure of a solar cell device. In one embodiment, the methods include the use of various etching and patterning processes that are used to define point contacts through a blanket dielectric layer covering a surface of a solar cell substrate. The method generally includes depositing an etchant material that enables formation of a desired pattern in a dielectric layer through which electrical contacts to the solar cell device can be formed. Various techniques may be used to form the active regions of the solar cell and the metal contact structure. Solar cell substrates (e.g., substrate 110 in FIG. 1A) that may benefit from the invention include flexible substrates that may have an active region that contains organic material, single crystal silicon, multi-crystalline silicon, polycrystalline silicon, germanium (Ge), gallium arsenide (GaAs), cadmium telluride (CdTe), cadmium sulfide (CdS), copper indium gallium selenide (CIGS), copper indium selenide (CulnSe2), gallium indium phosphide (GaInP2), as well as heterojunction cells, such as GaInP/GaAs/Ge or ZnSe/GaAs/Ge substrates, that are used to convert sunlight to electrical power. In one embodiment, substrate 110 comprises a p-n junction type structure similar to the type illustrate within substrate 310, which is discussed below in conjunction with FIGS. 4A-4D, and has a dielectric layer (e.g., reference numerals 111 or 311) formed thereon.
  • Solar Cell Formation Process
  • FIGS. 1A-1G illustrate schematic cross-sectional views of a solar cell substrate 110 during different stages in a processing sequence used to form a contact structure on a surface 120 of the solar cell 100. FIG. 2 illustrates a process sequence 200 used to form the active region(s) and/or contact structure on a solar cell. The sequence found in FIG. 2 corresponds to the stages depicted in FIGS. 1A-1G, which are discussed herein.
  • At box 202, as shown in FIG. 1A, a dielectric layer 111 is formed on at least one surface of the substrate 110. In one embodiment, the dielectric layer 111 is a silicon oxide layer, such as a silicon dioxide layer, formed on the surface 120 of a silicon containing substrate. The silicon oxide containing dielectric layer 111 may be formed using a conventional thermal oxidation process, such a furnace annealing process, a rapid thermal oxidation process, an atmospheric pressure or low pressure CVD process, a plasma enhanced CVD process, a PVD process, or applied using a sprayed-on, spin-on, roll-on, screen printed, or other similar type of deposition process. In one embodiment, the dielectric layer 111 is a silicon dioxide layer that is between about 50 Å and about 3000 Å thick. In another embodiment the dielectric layer is a silicon dioxide layer that is less than about 2000 Å thick. In one embodiment, the surface 120 is the backside of a formed solar cell device. It should be noted that the discussion of the formation of a silicon oxide type dielectric layer is not intended to be limiting as to the scope of the invention described herein since the dielectric layer 111 could also be formed using other conventional deposition processes (e.g., PECVD deposition) and/or be made of other dielectric materials. In one embodiment, the dielectric layer 111 is a silicon nitride layer having a thickness between about 100 Å and about 1000 Å, which is formed on the surface 120 of a silicon containing substrate. In one embodiment, the silicon oxide or silicon nitride formation process is performed in a Vantage RadiancePlus™ RTP, Vantage RadOx™ RTP, or Applied Producer DARC®, or other similar chamber available from Applied Materials Inc. of Santa Clara, Calif.
  • In another embodiment, the dielectric layer 111 comprises a multilayer film stack, such as a silicon oxide/silicon nitride layer stack (e.g., a silicon oxide layer (e.g., layer(s) ˜20 Å to ˜3000 Å thick) and a silicon nitride layer (e.g., layer(s) ˜100 Å to ˜1000 Å thick)), an amorphous silicon/silicon oxide layer stack (e.g., amorphous silicon layer (e.g., ˜30 to 100 Å thick) and silicon oxide layer (e.g., ˜100 to 3000 Å thick)), or an amorphous silicon/silicon nitride stack (e.g., amorphous silicon layer (e.g., ˜30 to 100 Å thick) and silicon nitride layer (e.g., ˜100 to 1000 Å thick)). In one example, a 50 Å amorphous silicon layer is deposited on a silicon substrate using a CVD process, and then a 750 Å silicon nitride layer is deposited using a CVD or PVD process. In another example, a 50 Å silicon oxide layer is formed using a rapid thermal oxidation process on a silicon substrate, and then a 750 Å silicon nitride is deposited on the silicon oxide layer using a CVD or PVD process. An example of a deposition chamber and/or process that may be adapted to form an amorphous silicon layer, silicon nitride, or silicon oxide discussed herein are further discussed in the commonly assigned and copending U.S. patent application Ser. Nos. 12/178,289 [Atty. Docket #: APPM 11079.P3], filed Jul. 23, 2008, and the commonly assigned U.S. patent application Ser. No. 12/202,213 [Atty Dkt #: APPM 12705], filed Aug. 29, 2008, which are both herein incorporated by reference in their entirety.
  • At box 204, an etchant material 121 is selectively deposited on the dielectric layer 111 by use of a conventional ink jet printing, rubber stamping, screen printing, or other similar process to form and define a desired pattern where electrical contacts to the underlying substrate surface (e.g., silicon) are to be formed (FIG. 1B). In one embodiment, the etchant material is disposed on the dielectric layer 111 by a screen printing process in which the etchant material is printed through a polyester mask that has an array of features ranging in size from about 100 to about 1000 μm in size that are placed on less than 2 mm centers. In one example, the features are circular holes, slots, rectangular shaped holes, hexagonal shaped holes, or other desirable shape that are to be formed in the dielectric layer 111. In one example, the etchant material is printed through a polyester mask that has an array of features that are greater than about 100 μm in size and are placed on less than about 1-2 mm centers. In another example, the features that are less than about 1000 μm in size and are placed on less than about 1-2 mm centers. In one example, the screen printing process is performed in a SoftLine™ available from Baccini S.p.A, which is a division of Applied Materials Inc. of Santa Clara, Calif.
  • In one embodiment, etchant material 121 is an ammonium fluoride (NH4F) containing material that is formulated to etch the dielectric layer 111. In one example, the etchant material contains: 200 g/l of ammonium fluoride (NH4F), 50 g/l of 2000 MW polyethylene glycol (PEG) and 50 g/l of ethyl alcohol with the remainder of the 1 liter volume being DI water. FIG. 1C illustrates a close up view of an amount of the etchant material 121 that has been deposited on the dielectric layer 111. In another example, one liter of the etchant material contains: 90 milliliters of a 6:1 BOE etching solution, 5 g of 500 MW polyethylene glycol (PEG) and 5 g of ethyl alcohol with the remainder of the volume being DI water. Additional components in the etching solution are generally selected so as to promote effective “wetting” of the dielectric layer 111 while minimizing the amount of spreading that can affect the formed pattern in the dielectric layer 111. While polyethylene oxide (i.e., polyethylene glycol) based materials and other related materials work well as a surfactant in the etchant material, they also decompose at temperatures over 250° C. to form volatile byproducts thereby avoiding the need for a post-rinse step to clean the substrate surface after heating the substrate in the next step.
  • In one embodiment, the etchant material 121 comprises ammonium fluoride (NH4F), a solvent that forms a homogeneous mixture with ammonium fluoride, a pH adjusting agent (e.g., BOE, HF), and a surfactant/wetting agent. In one example, the solvent is dimethylamine, diethylamine, triethylamine or ethanolamine that are disposed in an aqueous solution. In general, any other amine that will forms a homogeneous mixture with ammonium fluoride can also be used. In one example, the surfactant/wetting agent may be polyethylene glycol (PEG), polypropylene glycol, polyethylene glycol-polypropylene glycol-block-copolymer, or glycerin. In general, any other surfactant that mixes homogeneously with ammonium fluoride and the solvent mixture, and also “wets” the dielectric surface can be used. In some cases, it is desirable to form an etch material 121 that remains an amorphous gel, and is generally not prone crystallizing. In one example, the etchant material 121 comprises 20 g of ammonium fluoride that is mixed together with 5 ml of dimethylamine, and 25 g of glycerin, which is then heated to 100° C. until the pH of the mixture reaches about 7 and a homogeneous mixture is formed. In another example, 5 g of ammonium fluoride and 5 ml of ethanolamine were mixed together. Then 2 ml of hydrofluoric acid (HF) is added to the ammonium fluoride and ethanolamine mixture to bring down the pH to about 7, and then 2 g of polyethylene glycol-polypropylene glycol-block-copolymer was added. It is believed that one benefit of using an alkaline chemistry is that no volatile HF vapors will be generated until the subsequent heating process(es) begins to drive out the ammonia (NH3), thus reducing the need for expensive and complex ventilation and handling schemes prior to performing the heating process(es).
  • At box 206, the substrate is heated to a temperature of between about 200-300° C. which causes the chemicals in the etchant material to etch the dielectric layer 111 formed on the substrate to form the exposed regions 125 on the substrate 110. The exposed regions 125 provide openings in the dielectric layer 111 through which electrical connections can be made to the substrate 110. In one embodiment the exposed regions 125 on the surface of the substrate are between about 5 μm and about 200 μm in diameter. It is believed that by exposing a silicon oxide containing dielectric layer 111 (e.g., 1000 Å thick) to an etchant material 121 that contains ammonium fluoride (NH4F) at a temperature in a range between 100-300° C. for about 2 minutes that the dielectric layer 111 is etched to produce volatile etch products which evaporate from the surface (e.g., reference numeral “A” in FIGS. 1D) of the substrate 110 during processing. In one example, the substrate is placed in a thermal processing chamber in which a gradual temperature ramp between 50° C. and 300° C. is applied to the substrate over a 6 minute cycle to etch the dielectric layer 111. Therefore, after processing for a desired period of time (e.g., ˜2 minutes) at a desired temperature the volatile etch products will be removed and a clean surface 110A is left within the exposed regions 125 so that a reliable backside electrical contact can be formed in these areas. It is believed that the reaction will follow the following equation (1).

  • SiO2+4NH4F→SiF4+2H2O+4NH3  (1)
  • FIG. 1E illustrates the patterned dielectric layer 111 formed after performing the steps found in boxes 202-206 shown in FIGS. 1A-1D and 2. One desirable aspect of the process sequence and etchant formulations discussed herein is the ability to form the exposed regions 125 in the dielectric layer 111 without the need to perform any post cleaning processes due to the removal of the etching products and residual etchant material by evaporation, thus leaving a clean surface that can be directly metalized following the processes at box 210. Therefore, in one embodiment, the process sequence includes forming the exposed regions 125 in the dielectric layer 111 and then proceeding with the step(s) of depositing a conducting layer 114 (box 210). In some cases it is desirable to avoid extended waiting periods, or performing other long intermediate processing steps, between box 206 and box 210 to prevent a substantial oxide layer (e.g., SiO2) from forming on the exposed substrate (e.g., crystalline silicon substrate) surfaces before the conducting layer 114 is deposited thereon. In some cases it is desirable to avoid performing wet processing steps between boxes 206 and 210 to avoid the added time required to rinse and dry the substrate, the increase in cost-of-ownership associated with performing wet processing steps, and the added chance of oxidizing or contaminating the exposed regions 125.
  • However, in one embodiment, an optional cleaning process, shown at box 208, is performed on the substrate 110 after the process performed in box 206 has been completed to remove any undesirable residue and/or form a passivated surface. In one embodiment, the clean process may be performed by wetting the substrate with a cleaning solution that is used to remove left over material from after box 206 and clean the surface of the substrate before the subsequent deposition sequence performed on the various regions of the substrate. Wetting may be accomplished by spraying, flooding, immersing of other suitable technique. The post etch-clean solution may be an SC1 cleaning solution, an SC2 cleaning solution, a dilute HF-last type cleaning solution, an ozonated water solution, a dilute ammonium hydroxide (NH4OH) solution, a hydrogen peroxide (H2O2) solution, DI water or other suitable and cost effective cleaning process may be used to clean a silicon containing substrate.
  • At box 210, as illustrated in FIG. 1F, a conducting layer 114 is deposited on the surface 120 of the substrate 110 that electrically connects the exposed regions (e.g., clean surfaces 110A) on the surface of the substrate 110. In one embodiment, the formed conducting layer 114 is between about 500 and about 500,000 angstroms (Å) thick and contains a metal, such as copper (Cu), silver (Ag), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), and/or aluminum (Al). In one embodiment, the conducting layer 114 contains two layers that are formed by first depositing an aluminum (Al) layer 112 by a physical vapor deposition (PVD) process, or evaporation process, and then depositing a nickel vanadium (NiV) capping layer 113 by use of a PVD deposition process. In some cases, when the conducting layer 114 is applied over an interdigitated all back contact solar cell structure, it may be desirable to pattern the deposited conducting layer 114 to form two isolated regions by use of a material removal process, such as laser ablation, patterning and dry etching, or other similar techniques.
  • In one embodiment, a nickel containing layer is formed on the exposed regions 125 of the substrate 110 by use of an electroless deposition process. An exemplary nickel deposition chemistry, method of depositing, and process of forming a conductive layer is further described in the Provisional Patent Application Ser. No. 61/003,754 [Docket No. APPM 12974L], filed Nov. 19, 2007, which is incorporated by reference herein. Following the nickel deposition step the other portions of the conducting layer 114 can be formed over the nickel layer.
  • In one embodiment, an optional thermal processing step is performed after the depositing the conducting layer 114 (i.e., box 210) to assure that a good electrical contact is formed at the interface between the conducting layer 114 and the surface 120. In one embodiment, the substrate is heated to a temperature between about 300° C. and about 800° C. for between about 1 minute and about 30 minutes to form a good ohmic contact (e.g., form a silicide).
  • Following box 210 various processing steps may be performed to prepare and/or texture the opposing surface 101 of the substrate (FIG. 1G). In one embodiment, the opposing surface 101 of the substrate 110 is the front side of a solar cell substrate that is adapted to receive sunlight after the solar cell has been formed. In one case, opposing surface 101 is textured, then selectively doped using either a spray-on, or vapor phase, high temperature diffusion process, and then passivated using an ARC layer 119 (e.g., silicon nitride). In one embodiment, a heterojunction 116 type solar cell structure having one or more active layers 118 (e.g., i-n type layer on p-type substrate) is formed on the textured opposing surface 101. One will note that the preparation of the opposing surface 101 may also be performed prior to performing the process at box 202, or other steps in the process sequence 200, without deviating from the basic scope of the invention described herein. An example of an exemplary texturizing process is further described in the Provisional Patent Application Ser. No. 61/039,384 [Docket No. APPM 13323L], filed Mar. 25, 2008, which is incorporated by reference. Also, in one embodiment, after preparing the opposing surface 101 one or more conductive front contact lines (not shown) may be formed thereon using conventional processes to form the front contact structure of the solar cell 100.
  • Doping Processes
  • In one embodiment, the processes performed at boxes 202, 204 and/or 206 are altered to provide a process that is used to form a patterned dielectric layer and doped regions at and below the clean surfaces 110A of the substrate 110 (FIGS. 1D-1E) after the process sequence 200 is performed. In general, these techniques are used to form heavily doped regions within the areas of the substrate that are exposed after performing a subsequent high temperature thermal process. In one embodiment, an etching material is disposed over portions of an undoped dielectric layer to etch and form an exposed region that can be easily doped using the processes described herein. The dopant containing material can thus be added to the etchant formulation to increase the dopant concentration in the residue and ultimately the differential degree of doping under the contacts. In this configuration a selectively doped point contact or selective emitter contacts type solar cells can be formed by use of the processes described herein to form higher efficiency solar cells.
  • In an alternate version of box 202, a dielectric layer 111 is formed that already contains desired amounts of an n-type or p-type dopant atom that remains as a dopant rich residue on the surface after volatilization of the dielectric layer 111 components (e.g., silicon, oxygen). After the dopant residue is formed, the dopant material is driven into the substrate surface during the subsequent high temperature processing step (i.e., box 206). The high concentration of the remaining dopant atoms in the dopant residue can thus be advantageously used to form a heavily doped region by driving the residual doping atoms into the underlying substrate proximate to the formed exposed regions during the subsequent high temperature diffusion step. In some cases careful handling of the substrate may be necessary to prevent some of the lightly adhered dopant residue from being moved to other regions of the substrate surface creating unwanted doped regions after the diffusion step. In one embodiment, the process in box 206 is performed at temperatures greater than about 800° C. in a furnace, or RTP chamber. In another embodiment of the process performed in box 206, a two step heating process is used in which the substrate is first heated to a temperature of about 300° C. for about 1 to 5 minutes, and then heated to a temperature between about 800 and about 1200° C. for a desired period of time to allow the dopant residue to be formed and then the dopant to be driven into the substrate surface. In yet another embodiment of the process performed in box 206, a two step heating process is used in which the substrate is first heated to a temperature of less than about 300° C. for about 1 to 5 minutes, and then heated to a temperature greater than about 800° C. for a desired period of time. Therefore, a highly doped region can be formed at the exposed regions, due to the high concentration of doping atoms in the dopant residue that can relatively easily diffuse into the substrate surface.
  • In the alternate version of box 204, the etchant material 121 contains an amount of a desired dopant material that can be driven into the clean surfaces 110A of the substrate 110 during the process performed at box 206. As discussed above, in one embodiment, the process performed at box 206 is performed at temperatures greater than about 800° C. in a furnace, or RTP chamber, in the presence of a reducing gas (e.g., forming gas, air or oxygen) to drive-in the dopant material.
  • In one embodiment of the process sequence 200, the etchant material 121 contains an n-type dopant material, such as a phosphorous (P), antimony (Sb), or arsenic (As) containing compounds that are disposed on the surface of the substrate during the process performed at box 204. The dopant atoms added to the etchant material 121 can be used to form a local n+ region to provide superior electrical contact to an n-type substrate, or n-type diffused region in the substrate 110. In one case, the doping component in the etching material 121 can comprise one of the materials selected from a group consisting of phosphoric acid (H3PO4), phosphorous acid (H3PO3), hypophosphorous acid (H3PO2), ammonium phosphite (NH4H2PO3), and ammonium hypophosphite (NH4H2PO2).
  • Alternately, the etchant material 121 may contain a p-type dopant material such as a compound containing boron (B), aluminum (Al), indium (In), or gallium (Ga), so as to generate a local p+ region to provide superior electrical contact to a p-type substrate, or p-type diffused region in the substrate 110. In one embodiment, the dopant precursor may include boric acid (H3BO3), ammonium tetrafluoroborate (NH4BF4), and/or combination thereof.
  • Alternate Doping Process
  • In another embodiment of the processes performed at boxes 202, 204 and/or 206, an etching material is applied to a dopant containing dielectric layer, such as the dielectric layer 111 that contains an amount of one or more dopant atoms, before a high temperature thermal processing step is performed, such as a version of step 206. In one example, the dielectric layer is a phosphosilicate glass (PSG) or borosilicate glass (BSG) containing material. Following volatilization of most of the silicon dioxide components by conversion to SiF4 in step 206, due to the reaction of the dielectric material with the etching material, a concentrated residue of the dopant material is formed or remains on the exposed surface. During step 206, or in a subsequent high temperature diffusion step, both the dopant in the (unetched) doped layers, for example, BSG or PSG layers, and the more concentrated dopant residue present at the surface of the etched openings are driven in the solar cell substrate. In one embodiment, the dopant in the etching material contains a dopant of another type (e.g., As, Al, Ga, In) than the dopant contained in the doped dielectric layer (e.g., B, P). Therefore, in one embodiment, a more highly and/or more deeply doped region can be formed at the exposed regions, and a shallower doping profile beneath the unetched doped dielectric layers. It is believed that the deeper doping profile can be achieved at the exposed regions due to the greater concentration of doping atoms at the substrate surface and the relative ease with which the residual dopant atoms can diffuse into the substrate surface versus through the doped layer.
  • Ink Deposition Process
  • FIGS. 3A and 3B schematically depict one embodiment of a silicon solar cell 300 fabricated on a solar cell substrate 310. The substrate 310 includes a p-type base region 301, an n-type emitter region 302, and a p-n junction region 303 disposed therebetween. An n-type region, or n-type semiconductor, is formed by doping the semiconductor with certain types of elements (e.g., phosphorus (P), arsenic (As), or antimony (Sb)) in order to increase the number of negative charge carriers, i.e., electrons. In one configuration, the n-type emitter region 302 is formed by use of an amorphous, microcrystalline or polycrystalline silicon CVD deposition process that contains a dopant containing gas. Similarly, a p-type region, or p-type semiconductor, is formed by the addition of trivalent atoms to the crystal lattice, resulting in a missing electron from one of the four covalent bonds normal for the silicon lattice. Thus, the dopant atom can accept an electron from a neighboring atom's covalent bond to complete the fourth bond. The dopant atom accepts an electron, causing the loss of half of one bond from the neighboring atom and resulting in the formation of a “hole.” In one configuration, the p-type base region 301 is a solar cell substrate, such as a crystalline silicon substrate (e.g., single crystal, multicrystalline, polycrystalline) or other similar substrate material as discussed above in conjunction with the substrate 110 in FIG. 1A. The solar cell device configurations illustrated in FIGS. 3A-3B, and other below, are not intended to be limiting as to the scope of the invention since other substrate and solar device region configurations can be metallized using the methods and apparatuses described herein without deviating from the basic scope of the invention.
  • When sunlight falls on the solar cell 300, energy from the incident photons generates electron-hole pairs on both sides of the p-n junction region 303. Electrons diffuse across the p-n junction to a lower energy level and holes diffuse in the opposite direction, creating a negative charge on the emitter and a corresponding positive charge builds up in the base. When an electrical circuit is made between the emitter and the base and the p-n junction is exposed to certain wavelengths of light, a current will flow. The electrical current generated by the semiconductor when illuminated flows through contacts disposed on the surface 320, i.e. the light-receiving side, and the backside 321 of the solar cell 300. The top contact structure 308, as shown in FIG. 3B, is generally configured as widely-spaced thin metal lines 309A, or fingers, that supply current to larger bus bars 309B transversely oriented to the fingers. The back contact 306 is generally not constrained to be formed in multiple thin metal lines, since it does not prevent incident light from striking the solar cell 300. The solar cell 300 may be covered with a thin layer of dielectric material, such as silicon nitride (Si3N4) or silicon nitride hydride (SixNy:H), to act as an anti-reflection coating layer 311, or ARC layer 311, that minimizes light reflection from the top surface of the solar cell 300. The ARC layer 311 may be formed using a physical vapor deposition (PVD) process, a chemical vapor deposition process, or other similar technique. An anneal step (>600° C.) may be used to further passivate the deposited ARC layer 311.
  • The contact structure 308 makes contact with the substrate and is adapted to form an ohmic connection with doped region (e.g., n-type emitter region 302). An ohmic contact is a region on a semiconductor device that has been prepared so that the current-voltage (I-V) curve of the device is linear and symmetric, i.e., there is no high resistance interface between the doped silicon region of the semiconductor device and the metal contact. Low-resistance, stable contacts ensure performance of the solar cell and reliability of the circuits formed in the solar cell fabrication process. The back contact 306 completes the electrical circuit required for the solar cell 300 to produce a current by forming a conductive layer that is in ohmic contact with p-type base region 301 of the substrate.
  • FIGS. 4A-4D illustrate schematic cross-sectional views of a solar cell during different stages in a processing sequence used to form a conductive layer on a surface of the solar cell, such as the contact structure 308 shown in FIG. 3A. FIG. 5 illustrates a process sequence 500, or series of method steps, that are used to form the contact structure 308 on a solar cell. The method steps found in FIG. 5 correspond to the stages depicted in FIGS. 4A-4D, which are discussed herein.
  • In step 502, as discussed above a solar cell is formed having an arc layer 311 formed on a surface of the substrate 310 (See FIGS. 3A and 4A) via conventional means. It should be noted that the back contact 306 (FIG. 3A), as in any of the steps discussed above, need not be formed prior to metalizing a portion of the surface 320 of the substrate 310.
  • In the next step, or step 504, a metal containing ink 390 material is selectively deposited on the ARC layer 311 by use of a conventional ink jet printing, screen printing, rubber stamping or other similar process to form and define the regions where the contact structure 308 (i.e., fingers 309A and bus bars 309B) are to be formed. In one embodiment, metal containing ink 390 is a nickel containing ink that is formulated to etch the ARC layer 311 and metalize the underlying surface 302A of the substrate 310. In one embodiment, the nickel containing ink contains: 10 grams of nickel acetate (Ni(OOCCH3)2.4H2O), 10 grams of 42% hypophosphorous acid (H3PO2), 10 grams of polyphosphoric acid (H6P4O13), 3 grams of ammonium fluoride (NH4F) and 2 g of 500 MW Polyethylene glycol (PEG). In another embodiment, the nickel containing ink primarily contains: 10 grams of nickel acetate (Ni(OOCCH3)2.4H2O), 10 grams of 42% hypophosphorous acid (H3PO2), and 3 grams of ammonium fluoride (NH4F). In one embodiment, it may be desirable to add a desirable amount of methanol or ethanol to the nickel containing solution.
  • In the contact layer formation step, or step 506, the substrate is heated to a temperature of between about 250-300° C. which causes the chemicals in the metal containing ink 390 to etch the ARC layer 311 and metalize the underlying surface 302A of the substrate. In one embodiment, the process of heating a nickel containing metal containing ink 390 causes a silicon nitride (SiN) containing ARC layer 311 to be etched and a nickel silicide (NixSiy) to form on the surface of upper surface of the substrate 310, such as the n-type emitter region 302. FIG. 4B illustrates a contact layer 304 formed on the n-type emitter region 302. In one embodiment, an electroless nickel deposition process is used to form the contact layer 304 that comprises a primarily nickel layer that is between about 10 and about 2000 angstroms (Å) thick.
  • In one embodiment, an optional cleaning process is performed on the substrate 310 after the process performed in box 506 has been completed to remove any undesirable residue and/or form a passivated surface. In one embodiment, the clean process may be performed by wetting the substrate with a cleaning solution to remove any left over material after performing the processes in box 506. In one example, it may be desirable to remove the residue from the metal containing ink 390, such as residue from the etched ARC layer 311, polyphosphoric acid residue and/or PEG residue. Wetting may be accomplished by spraying, flooding, immersing of other suitable technique. The cleaning solution may be a SC1 cleaning solution, an SC2 cleaning solution, a dilute HF-last type cleaning solution, an ozonated water solution, a dilute ammonium hydroxide (NH4OH) solution, a hydrogen peroxide (H2O2) solution, DI water or other suitable and cost effective cleaning process may be used to clean a silicon containing substrate.
  • In one embodiment, it is desirable to not rinse or clean the substrate 310 after the processes in box 506 have been performed and before the processes in boxes 507 and/or 508 are performed to avoid the added time required to rinse and dry the substrate, prevent an increase in the cost-of-ownership that is associated with performing wet processing steps, and the added chance of oxidizing or contaminating the substrate surface. In one example, the substrate surface need not be cleaned when the metal containing ink 390 formulation does not contain a residue forming material, such as polyphosphoric acid, polyethylene glycol, or other similar materials.
  • In step 507, as illustrated in FIG. 4C, a conducting layer 305 is optionally deposited on the contact layer 304 to form the major electrically conducting part of the contact structure 308. In one embodiment, the formed conducting layer 305 is between about 2000 and about 50,000 angstroms (Å) thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al). In one embodiment, the conducting layer 305 is formed by depositing silver (Ag) on the contact layer 304 using an electroless silver deposition process that inherently selectively forms a metal layer on the contact layer 304.
  • In step 508, as illustrated in FIG. 4D, optionally a bus wire 330 is attached to at least a portion of the contact structure 308 to allow portions of the solar cell device to be connected to other solar cells or external devices. In general, the bus wire 330 is connected to the contact structure 308 using a soldering material 331 that may contain a solder material (e.g., Sn/Pb, Sn/Ag). In one embodiment, the bus wire 330 is between about 2 microns thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al). In one embodiment, the bus wire is coated with a solder material, such as a Sn/Pb or Sn/Ag solder material.
  • In one embodiment, steps 504 and 506 may be changed to provide an alternate technique that is used to form the contact structure 308. In the alternate version of step 504, rather than selectively depositing the metal containing ink 390 on the surface of the ARC layer 311 the ink is spread or deposited across the surface 320 of the substrate 310, or over desired regions of the substrate, by use of a simple spin-on, spray-on, dipping, or other similar technique. In the alternate version of step 506, a beam of energy, such optical radiation (e.g., laser beam) or an electron beam, is delivered to the surface of the substrate to selectively heat regions of the substrate to causes the chemicals in the ink in these regions to etch the ARC layer 311 and metalize the underlying surface 302A of the substrate. In one embodiment, the delivery of a beam of energy causes the a nickel containing metal containing ink 390 in the heated regions to etch a silicon nitride (SiN) containing ARC layer 311 and form a nickel silicide (NixSiy) on the surface of upper surface of the substrate 310, such as the n-type emitter region 302. The unheated regions of the ink may then be rinsed from the surface of the substrate if desired.
  • Doped Contact Metallization Process
  • In one embodiment of the process sequence 500, an etchant and/or dopant containing material (e.g., a phosphorous containing material) is disposed on the surface of the substrate to etch and/or dope a region of the underlying surface 302A during the subsequent step 506. In one embodiment, a doping material is added to the metal containing ink solution 390, discussed above, so that an improved metal to silicon interface can be formed.
  • Referring to FIG. 5, in step 505 one or more doping materials (e.g., n-type or p-type dopants) is spread or deposited across the face of the substrate, or over desired regions of the substrate, by use of a simple spin-on, spray-on, dip or other similar technique. In one example, an n-type doping material may comprise polyacrylic acid (CH2CHCOOH)x, and hypophosphorous acid (H3PO2) is spread over a surface of a substrate. In one embodiment, the doping material also contains a dye or pigment material that is used to highlight the different types of dopant materials (e.g., n-type or p-type dopants) that are disposed on the substrate surface.
  • Next, in an alternate version of step 506, a beam of energy, such optical radiation (e.g., laser beam) or an electron beam, is delivered to the surface of the substrate to selectively heat regions of the substrate to remove the ARC layer 311 from the surface of the substrate, but also cause the chemicals in the doping material to react and dope the materials within the underlying surface 302A of the substrate 310.
  • In the next step a conductive contact layer 304 is formed on the exposed regions of the substrate. In one embodiment, an electroless nickel deposition process is used to form the contact layer 304 that comprises a primarily pure nickel layer that is between about 10 and about 3500 angstroms (Å) thick over the doped regions. In some cases, the deposited film may contain a high amount of phosphorus (e.g., about 5% P). Further, contents of a bath for the electroless nickel deposition process may include nickel sulfate (NiSO4), ammonium fluoride (NH4F), hydrogen fluoride (HF), and hypophosphite (H2PO2 ). For example, the bath may be at 60° C. and include about 15 grams per liter (g/L) of NiSO4, 25 g/L of NH4F, and 25 g/L of ammonium hypophosphite (NH4H2PO2) and be exposed to the substrate surface for about 2 minutes. An example of an exemplary preparation and electroless nickel deposition process is further described in the commonly assigned U.S. patent application Ser. No. 11/553,878 [Docket # APPM 10659.P1], filed Oct. 27, 2006, and the commonly assigned U.S. patent application Ser. No. 11/385,041 [Docket # APPM 10659], filed Mar. 20, 2006, which are both herein incorporated by reference. In one embodiment, the electroless nickel deposition process may be completed at a temperature between about 75-85° C. and use a solution containing about 25 grams of nickel acetate (Ni(OOCCH3)2.4H2O), 50 grams of 42% hypophosphorous acid (H3PO2), and enough ethylenediamine to achieve a pH of 6.0, which is added to a 6:1 BOE solution. The deposition rate that can be achieved is generally between 250-300 angstrom/minute. The commonly assigned U.S. Patent Application Publication Numbers US2007/0099806 and US2007/0108404, which are herein incorporated by reference, describe exemplary BOE solutions and etching processes that may be used with one or more of the embodiment described herein.
  • In the next step a conducting layer 305 is optionally deposited on the contact layer 304 to form the major electrically conducting part of the contact structure 308. In one embodiment, the formed conducting layer 305 is between about 2000 and about 50,000 angstroms (Å) thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al). In one embodiment, a copper (Cu) containing conducting layer 305 is deposited on the contact layer 304 by use of an electrochemical plating process (e.g., copper deposition, silver deposition). An example of an exemplary electroplating process is further described in the commonly assigned U.S. patent application Ser. No. 11/552,497 [Docket # APPM 11227], filed Oct. 24, 2006, and the commonly assigned U.S. patent application Ser. No. 11/566,205 [Docket # APPM 11230], filed Dec. 1, 2006, which are both herein incorporated by reference. In general, it is desirable to make electrical contact during the electrochemical plating process to regions of the bus bars 309B (FIG. 3B) near the edge of the substrate 310, since they are generally sized to carry current and thus allow uniform deposition of conducting layer 305 over the widely-spaced thin metal lines 309A and the larger bus bars 309B. In another embodiment, the conducting layer 305 is formed by depositing silver (Ag) on the contact layer 304 using an electroless silver deposition process that inherently selectively forms a metal layer on the contact layer 304.
  • In the next step a bus wire 330 may be attached to at least a portion of the contact structure 308 to allow portions of the solar cell device to be connected to other solar cells or external devices. In general, the bus wire 330 is connected to the contact structure 308 using a soldering material 331 that may contain a solder material (e.g., Sn/Pb, Sn/Ag). In one embodiment, the bus wire 330 is about 200 microns thick and contains a metal, such as copper (Cu), silver (Ag), gold (Au), tin (Sn), cobalt (Co), rhenium (Rh), nickel (Ni), zinc (Zn), lead (Pb), palladium (Pd), and/or aluminum (Al). In one embodiment, each of the buss wires 330 are formed from a wire that is about 30 gauge (AWG: ˜0.254 mm) or smaller in size. In one embodiment, the bus wire is coated with a solder material, such as a Sn/Pb or Sn/Ag solder material.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (17)

1. A composition for etching a dielectric layer disposed on a substrate, comprising:
ammonium fluoride; and
an amine disposed in an aqueous solution.
2. The composition of claim 1, further comprising hydrofluoric acid.
3. The composition of claim 1, further comprising:
a surfactant selected from a group consisting of polyethylene glycol, polypropylene glycol, polyethylene glycol-polypropylene glycol-block-copolymer, and glycerin.
4. The composition of claim 1, wherein the amine comprises dimethylamine, diethylamine, triethylamine or ethanolamine.
5. The composition of claim 1, further comprising a doping material that comprises an element selected from the group consisting of phosphorous, boron, arsenic, antimony, aluminum, indium and gallium.
6. The composition of claim 5, wherein the doping material comprises phosphoric acid (H3PO4), phosphorous acid (H3PO3), hypophosphorous acid (H3PO2), ammonium phosphite (NH4H2PO3), ammonium hypophosphite (NH4H2PO2), boric acid (H3BO3), or ammonium tetrafluoroborate (NH4BF4).
7. The composition of claim 1, wherein the amine forms a homogenous mixture with ammonium fluoride, and the composition has a pH of about 7.
8. The composition of claim 1, further comprising a metal containing solution comprising nickel.
9. The composition of claim 8, wherein the metal containing solution comprises nickel acetate and hypophosphorous acid.
10. The composition of claim 8, further comprising methanol or ethanol.
11. The composition of claim 8, further comprising a doping material that comprises an element selected from the group consisting of phosphorous, boron, arsenic, antimony, aluminum, indium and gallium.
12. A composition for etching portions of a dielectric layer disposed on a substrate, comprising:
ammonium fluoride; and
a solvent material comprising ethanolamine, wherein the etchant material has a pH of about 7 or more.
13. The composition of claim 12, further comprising hydrofluoric acid.
14. The composition of claim 12, further comprising:
a surfactant selected from a group consisting of polyethylene glycol, polypropylene glycol, polyethylene glycol-polypropylene glycol-block-copolymer, and glycerin; and
15. A method of forming an etchant material used for removing portions of a dielectric layer disposed on a substrate, comprising:
mixing an amount of ammonium fluoride and an amount of ethanolamine; and
adding an amount of hydrofluoric acid to the ammonium fluoride and ethanolamine mixture to bring the pH to about 7 or more.
16. The method of claim 15, further comprising adding an amount of polyethylene glycol.
17. A method of forming a solar cell device, comprising:
forming a dielectric layer on a surface of a substrate;
disposing an etchant material on a plurality of regions of the dielectric layer, wherein the etchant material comprises ammonium fluoride and an amine;
heating the substrate to a desired temperature to causes the etchant material to remove at least a portion of the dielectric layer in the plurality of regions to expose a plurality of regions of the surface of the substrate; and
depositing a conducting layer on the exposed regions of the surface.
US12/985,282 2007-11-19 2011-01-05 Solar cell contact formation process using a patterned etchant material Abandoned US20110104850A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/985,282 US20110104850A1 (en) 2007-11-19 2011-01-05 Solar cell contact formation process using a patterned etchant material

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US375407P 2007-11-19 2007-11-19
US4800108P 2008-04-25 2008-04-25
US9237908P 2008-08-27 2008-08-27
US12/274,023 US7888168B2 (en) 2007-11-19 2008-11-19 Solar cell contact formation process using a patterned etchant material
US12/985,282 US20110104850A1 (en) 2007-11-19 2011-01-05 Solar cell contact formation process using a patterned etchant material

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/274,023 Continuation US7888168B2 (en) 2007-11-19 2008-11-19 Solar cell contact formation process using a patterned etchant material

Publications (1)

Publication Number Publication Date
US20110104850A1 true US20110104850A1 (en) 2011-05-05

Family

ID=40667840

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/274,023 Expired - Fee Related US7888168B2 (en) 2007-11-19 2008-11-19 Solar cell contact formation process using a patterned etchant material
US12/985,282 Abandoned US20110104850A1 (en) 2007-11-19 2011-01-05 Solar cell contact formation process using a patterned etchant material

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/274,023 Expired - Fee Related US7888168B2 (en) 2007-11-19 2008-11-19 Solar cell contact formation process using a patterned etchant material

Country Status (6)

Country Link
US (2) US7888168B2 (en)
EP (1) EP2220687A1 (en)
JP (1) JP2011503910A (en)
CN (1) CN101889348B (en)
TW (1) TW200939510A (en)
WO (1) WO2009067483A1 (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100015756A1 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
KR20130065444A (en) * 2011-12-09 2013-06-19 삼성전자주식회사 Conductive paste and electronic device and solar cell including an electrode formed using the conductive paste
US8673679B2 (en) 2008-12-10 2014-03-18 Applied Materials Italia S.R.L. Enhanced vision system for screen printing pattern alignment
US9496308B2 (en) 2011-06-09 2016-11-15 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
US9673243B2 (en) 2009-09-17 2017-06-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US9673250B2 (en) 2013-06-29 2017-06-06 Sionyx, Llc Shallow trench textured regions and associated methods
US9741761B2 (en) 2010-04-21 2017-08-22 Sionyx, Llc Photosensitive imaging devices and associated methods
US9762830B2 (en) 2013-02-15 2017-09-12 Sionyx, Llc High dynamic range CMOS image sensor having anti-blooming properties and associated methods
US9761739B2 (en) 2010-06-18 2017-09-12 Sionyx, Llc High speed photosensitive devices and associated methods
US9859452B1 (en) 2016-06-30 2018-01-02 International Business Machines Corporation Fabrication of thin-film photovoltaic cells with reduced recombination losses
US9905599B2 (en) 2012-03-22 2018-02-27 Sionyx, Llc Pixel isolation elements, devices and associated methods
US9911781B2 (en) 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US9939251B2 (en) 2013-03-15 2018-04-10 Sionyx, Llc Three dimensional imaging utilizing stacked imager devices and associated methods
US9947809B2 (en) 2009-11-11 2018-04-17 Samsung Electronics Co., Ltd. Conductive paste and electronic device and solar cell including an electrode formed using the conductive paste
US10244188B2 (en) 2011-07-13 2019-03-26 Sionyx, Llc Biometric imaging devices and associated methods
US10374109B2 (en) 2001-05-25 2019-08-06 President And Fellows Of Harvard College Silicon-based visible and near-infrared optoelectric devices
US10741399B2 (en) 2004-09-24 2020-08-11 President And Fellows Of Harvard College Femtosecond laser-induced formation of submicrometer spikes on a semiconductor substrate

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7888168B2 (en) 2007-11-19 2011-02-15 Applied Materials, Inc. Solar cell contact formation process using a patterned etchant material
US20090139568A1 (en) * 2007-11-19 2009-06-04 Applied Materials, Inc. Crystalline Solar Cell Metallization Methods
WO2010025262A2 (en) * 2008-08-27 2010-03-04 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8283199B2 (en) * 2009-01-29 2012-10-09 Applied Materials, Inc. Solar cell patterning and metallization
GB2467360A (en) * 2009-01-30 2010-08-04 Renewable Energy Corp Asa Contact for a solar cell
US8940572B2 (en) * 2009-04-21 2015-01-27 Tetrasun, Inc. Method for forming structures in a solar cell
TWI384631B (en) * 2009-04-23 2013-02-01 Black polycrystalline silicon solar cell and its making method
US7989346B2 (en) * 2009-07-27 2011-08-02 Adam Letize Surface treatment of silicon
GB2472608B (en) * 2009-08-12 2013-09-04 M Solv Ltd Method and Apparatus for making a solar panel that is partially transparent
TWI381539B (en) * 2009-08-17 2013-01-01 Big Sun Energy Technology Inc Method of manufacturing solar cell with backside dielectric layer
CN104780709B (en) * 2009-09-03 2021-07-27 应用材料公司 Printing method for printing electronic components and related control device
US8574950B2 (en) * 2009-10-30 2013-11-05 International Business Machines Corporation Electrically contactable grids manufacture
CN102763226B (en) 2009-12-09 2016-01-27 速力斯公司 Use high-efficiency photovoltaic back of the body contact solar cell structure and the manufacture method of thin plate semiconductor
EP2372784B1 (en) * 2010-03-29 2015-10-07 Airbus DS GmbH Solar cell, especially a multi-junction solar cell, for space applications
EP2553733A2 (en) * 2010-04-01 2013-02-06 Somont GmbH Solar cells and method for producing same
CN102822985B (en) * 2010-04-06 2016-08-03 薄膜电子有限公司 Epitaxial structure, its forming method and comprise the device of this structure
US8524524B2 (en) 2010-04-22 2013-09-03 General Electric Company Methods for forming back contact electrodes for cadmium telluride photovoltaic cells
JP5734734B2 (en) * 2010-05-18 2015-06-17 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Method for forming current tracks on a semiconductor
JP5894379B2 (en) * 2010-06-18 2016-03-30 株式会社半導体エネルギー研究所 Photoelectric conversion device
JP2012023343A (en) * 2010-06-18 2012-02-02 Semiconductor Energy Lab Co Ltd Photoelectric conversion device and method of producing the same
TWI416625B (en) * 2010-06-25 2013-11-21 Inventec Solar Energy Corp Plasma-etching apparatus and method for doping or contact region definition on surface layer of semiconductor by using the same
US8946547B2 (en) 2010-08-05 2015-02-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
EP2612366A4 (en) * 2010-09-03 2017-11-22 Tetrasun, Inc. Fine line metallization of photovoltaic devices by partial lift-off of optical coatings
KR101661768B1 (en) 2010-09-03 2016-09-30 엘지전자 주식회사 Solar cell and manufacturing method thereof
JP5830323B2 (en) * 2010-09-21 2015-12-09 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Improved method for stripping hot melt etching resist from semiconductors.
DE102010054370A1 (en) * 2010-12-13 2012-06-14 Centrotherm Photovoltaics Ag Process for the preparation of silicon solar cells with front-sided texture and smooth back surface
DE102011016335B4 (en) * 2011-04-07 2013-10-02 Universität Konstanz Nickel-containing and corrosive printable paste and method for forming electrical contacts in the manufacture of a solar cell
US10396229B2 (en) * 2011-05-09 2019-08-27 International Business Machines Corporation Solar cell with interdigitated back contacts formed from high and low work-function-tuned silicides of the same metal
NL2006956C2 (en) * 2011-06-17 2012-12-18 Stichting Energie Photovoltaic cell and method of manufacturing such a cell.
JP2014525671A (en) * 2011-08-09 2014-09-29 ソレクセル、インコーポレイテッド High efficiency solar photovoltaic cell and module using thin crystalline semiconductor absorber
JP6325980B2 (en) * 2011-08-26 2018-05-16 ヘレウス プレシャス メタルズ ノース アメリカ コンショホーケン エルエルシー Fire-through aluminum paste for SINx and good BSF formation
DE102011084843A1 (en) * 2011-10-20 2013-04-25 Schott Solar Ag Electroplating of galvanic emitter contact used for silicon-based wafer for solar cell involves using fluoride-containing nickel and/or cobalt electrolyte composition
US8829528B2 (en) * 2011-11-25 2014-09-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including groove portion extending beyond pixel electrode
TW201332122A (en) * 2011-12-13 2013-08-01 Dow Corning Photovoltaic cell and method of forming the same
CN104011882A (en) * 2012-01-12 2014-08-27 应用材料公司 Methods of manufacturing solar cell devices
US9716264B2 (en) 2012-02-21 2017-07-25 Samsung Sdi Co., Ltd. Electrode for lithium secondary battery, method of manufacturing the electrode, and lithium secondary battery including the electrode
TW201404936A (en) * 2012-07-24 2014-02-01 Au Optronics Corp Etchant and method for forming patterned metallic multilayer
KR20140029563A (en) * 2012-08-28 2014-03-11 엘지전자 주식회사 Manufacturing method of solar cell
US9550940B2 (en) * 2012-10-16 2017-01-24 Hitachi Chemical Company, Ltd. Etching material
US9379258B2 (en) 2012-11-05 2016-06-28 Solexel, Inc. Fabrication methods for monolithically isled back contact back junction solar cells
US20140130854A1 (en) * 2012-11-12 2014-05-15 Samsung Sdi Co., Ltd. Photoelectric device and the manufacturing method thereof
WO2014137283A1 (en) * 2013-03-05 2014-09-12 Trina Solar Energy Development Pte Ltd Method of fabricating a solar cell
AU2014239493A1 (en) * 2013-03-19 2015-10-29 Choshu Industry Co., Ltd. Photovoltaic element and manufacturing method therefor
EP3146015A4 (en) * 2014-05-20 2018-05-16 Alpha Metals, Inc. Jettable inks for solar cell and semiconductor fabrication
JP6425927B2 (en) * 2014-07-03 2018-11-21 国立研究開発法人産業技術総合研究所 Etching agent for silicon nitride film, etching method
US9673341B2 (en) 2015-05-08 2017-06-06 Tetrasun, Inc. Photovoltaic devices with fine-line metallization and methods for manufacture
US10214348B2 (en) * 2016-03-11 2019-02-26 Sandor Andro-Vasko Air-ventilated trash container system
JP6181903B1 (en) * 2016-10-25 2017-08-16 信越化学工業株式会社 High photoelectric conversion efficiency solar cell and method for producing high photoelectric conversion efficiency solar cell
CN109004041B (en) * 2017-06-06 2020-04-28 清华大学 Solar cell
CN107394009B (en) * 2017-08-02 2019-04-19 浙江晶科能源有限公司 A kind of wet etching method, double-side solar cell and preparation method thereof
KR20220149828A (en) 2021-04-30 2022-11-09 삼성전자주식회사 Semiconductor devices

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849880A (en) * 1969-12-12 1974-11-26 Communications Satellite Corp Solar cell array
US3979241A (en) * 1968-12-28 1976-09-07 Fujitsu Ltd. Method of etching films of silicon nitride and silicon dioxide
US4084985A (en) * 1977-04-25 1978-04-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method for producing solar energy panels by automation
US4104091A (en) * 1977-05-20 1978-08-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Application of semiconductor diffusants to solar cells by screen printing
US4152824A (en) * 1977-12-30 1979-05-08 Mobil Tyco Solar Energy Corporation Manufacture of solar cells
US4219448A (en) * 1978-06-08 1980-08-26 Bernd Ross Screenable contact structure and method for semiconductor devices
US4308091A (en) * 1979-08-20 1981-12-29 Merck Patent Gesellschaft Mit Beschrankter Haftung Etching medium and process for the correction of chromed gravure cylinders
US4478879A (en) * 1983-02-10 1984-10-23 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Screen printed interdigitated back contact solar cell
US4623751A (en) * 1982-12-03 1986-11-18 Sanyo Electric Co., Ltd. Photovoltaic device and its manufacturing method
US4717591A (en) * 1983-06-30 1988-01-05 International Business Machines Corporation Prevention of mechanical and electronic failures in heat-treated structures
US4927770A (en) * 1988-11-14 1990-05-22 Electric Power Research Inst. Corp. Of District Of Columbia Method of fabricating back surface point contact solar cells
US5011782A (en) * 1989-03-31 1991-04-30 Electric Power Research Institute Method of making passivated antireflective coating for photovoltaic cell
US5198385A (en) * 1991-01-11 1993-03-30 Harris Corporation Photolithographic formation of die-to-package airbridge in a semiconductor device
US5248496A (en) * 1989-10-27 1993-09-28 Basf Aktiengesellschaft Method of obtaining ruthenium tetroxide by oxidation of an aqueous alkali metal ruthenate solution
US5281350A (en) * 1992-08-14 1994-01-25 Tae Hwan Kim Glass etching composition
US5401336A (en) * 1992-12-09 1995-03-28 Sanyo Electric Co., Ltd. Photovoltaic device
US5698451A (en) * 1988-06-10 1997-12-16 Mobil Solar Energy Corporation Method of fabricating contacts for solar cells
US5705828A (en) * 1991-08-10 1998-01-06 Sanyo Electric Co., Ltd. Photovoltaic device
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US5939336A (en) * 1998-08-21 1999-08-17 Micron Technology, Inc. Aqueous solutions of ammonium fluoride in propylene glycol and their use in the removal of etch residues from silicon substrates
US6020250A (en) * 1994-08-11 2000-02-01 International Business Machines Corporation Stacked devices
US6036741A (en) * 1997-07-31 2000-03-14 Japan Energy Corporation Process for producing high-purity ruthenium
US6066267A (en) * 1997-09-18 2000-05-23 International Business Machines Corporation Etching of silicon nitride
US6082610A (en) * 1997-06-23 2000-07-04 Ford Motor Company Method of forming interconnections on electronic modules
US6091099A (en) * 1996-11-14 2000-07-18 Kabushiki Kaisha Toshiba Semiconductor device with tantalum and ruthenium
US6096968A (en) * 1995-03-10 2000-08-01 Siemens Solar Gmbh Solar cell with a back-surface field
US6103393A (en) * 1998-02-24 2000-08-15 Superior Micropowders Llc Metal-carbon composite powders, methods for producing powders and devices fabricated from same
US6290880B1 (en) * 1999-12-01 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electrically conducting ruthenium dioxide-aerogel composite
US6328913B1 (en) * 1998-09-02 2001-12-11 Peter T. B. Shaffer Composite monolithic elements and methods for making such elements
USRE37512E1 (en) * 1995-02-21 2002-01-15 Interuniversitair Microelektronica Centrum (Imec) Vzw Method of preparing solar cell front contacts
US20020041991A1 (en) * 1999-11-17 2002-04-11 Chan Chung M. Sol-gel derived fuel cell electrode structures and fuel cell electrode stack assemblies
US6451665B1 (en) * 1998-12-11 2002-09-17 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit
US6451865B1 (en) * 1997-10-31 2002-09-17 Kraton Polymers U.S. Llc Foam composition comprising oil, thermoplastic elastomer and expandable particles
US6458183B1 (en) * 1999-09-07 2002-10-01 Colonial Metals, Inc. Method for purifying ruthenium and related processes
US20020176927A1 (en) * 2001-03-29 2002-11-28 Kodas Toivo T. Combinatorial synthesis of material systems
US20020184969A1 (en) * 2001-03-29 2002-12-12 Kodas Toivo T. Combinatorial synthesis of particulate materials
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6552414B1 (en) * 1996-12-24 2003-04-22 Imec Vzw Semiconductor device with selectively diffused regions
US6586161B2 (en) * 1999-08-31 2003-07-01 Hitachi, Ltd. Mass production method of semiconductor integrated circuit device and manufacturing method of electronic device
US6607988B2 (en) * 1999-12-28 2003-08-19 Hitachi, Ltd. Manufacturing method of semiconductor integrated circuit device
US20030160026A1 (en) * 2000-04-28 2003-08-28 Sylke Klein Etching pastes for inorganic surfaces
US6649211B2 (en) * 2002-02-28 2003-11-18 The United States Of America As Represented By The Secretary Of The Navy Selective deposition of hydrous ruthenium oxide thin films
US20040013799A1 (en) * 2000-10-25 2004-01-22 Kim Kwang Bum Apparatus and method for manufacturing thin film electrode of hydrous ruthenium oxide
US6695903B1 (en) * 1999-03-11 2004-02-24 Merck Patent Gmbh Dopant pastes for the production of p, p+, and n, n+ regions in semiconductors
US20040063326A1 (en) * 2002-07-01 2004-04-01 Interuniversitair Microelektronica Centrum (Imec) Semiconductor etching paste and the use thereof for localized etching of semiconductor substrates
US20040112426A1 (en) * 2002-12-11 2004-06-17 Sharp Kabushiki Kaisha Solar cell and method of manufacturing the same
US20040113277A1 (en) * 2002-12-11 2004-06-17 Chiras Stefanie Ruth Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6753133B2 (en) * 2001-03-16 2004-06-22 Elpida Memory, Inc. Method and manufacturing a semiconductor device having a ruthenium or a ruthenium oxide
US20040126644A1 (en) * 2002-12-30 2004-07-01 Bett John A. S. Fuel cell having a corrosion resistant and protected cathode catalyst layer
US20040159869A1 (en) * 2002-08-02 2004-08-19 Unity Semiconductor Corporation Memory array with high temperature wiring
US6800542B2 (en) * 2001-05-03 2004-10-05 Hynix Semiconductor Inc. Method for fabricating ruthenium thin layer
US20040242019A1 (en) * 2001-10-10 2004-12-02 Sylke Klein Combined etching and doping substances
US20050009346A1 (en) * 2003-07-08 2005-01-13 Renesas Technology Corp. Method of manufacturing semiconductor device
US6852635B2 (en) * 1999-08-24 2005-02-08 Interuniversitair Nizroelecmica Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20050089748A1 (en) * 1999-11-17 2005-04-28 Ohlsen Leroy J. Fuel cells having silicon substrates and/or sol-gel derived support structures
US20050110125A1 (en) * 2003-11-21 2005-05-26 International Business Machines Corporation Overlap stacking of center bus bonded memory chips for double density and method of manufacturing the same
US20050224968A1 (en) * 2004-03-31 2005-10-13 Aptos Corporation Wafer level mounting frame for ball grid array packaging, and method of making and using the same
US20050238808A1 (en) * 2004-04-27 2005-10-27 L'Air Liquide, Société Anonyme à Directoire et Conseil de Surveillance pour I'Etude et I'Exploita Methods for producing ruthenium film and ruthenium oxide film
US20050247674A1 (en) * 2002-09-04 2005-11-10 Merck Patent Gmbh Etching pastes for silicon surfaces and layers
US6998288B1 (en) * 2003-10-03 2006-02-14 Sunpower Corporation Use of doped silicon dioxide in the fabrication of solar cells
US20060062041A1 (en) * 2002-06-20 2006-03-23 Seiji Hiraka Memory device, momory managing method and program
US20060174933A1 (en) * 2005-02-09 2006-08-10 Debra Rolison TiO2 aerogel-based photovoltaic electrodes and solar cells
US7129109B2 (en) * 2001-02-02 2006-10-31 Shell Solar Gmbh Method for structuring an oxide layer applied to a substrate material
US20060283499A1 (en) * 2005-02-25 2006-12-21 Sanyo Electric Co., Ltd. Photovoltaic cell
US7186358B2 (en) * 2003-01-25 2007-03-06 Merck Patent Gesellschaft Polymer dopants
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070111354A1 (en) * 2003-10-08 2007-05-17 Samsung Electronics Co., Ltd. Nitride-based light emitting device and method of manufacturing the same
US20070148810A1 (en) * 2003-11-18 2007-06-28 Sylke Klein Functional paste
US20070148336A1 (en) * 2005-11-07 2007-06-28 Robert Bachrach Photovoltaic contact and wiring formation
US20070181908A1 (en) * 2006-02-06 2007-08-09 Infineon Technologies Ag Electronic module and method of producing the electronic module
US20070194467A1 (en) * 2003-06-20 2007-08-23 Peidong Yang Nanowire array and nanowire solar cells and methods for forming the same
US7375378B2 (en) * 2005-05-12 2008-05-20 General Electric Company Surface passivated photovoltaic devices
US20080121621A1 (en) * 2005-01-11 2008-05-29 Werner Stockum Printable Medium for the Etching of Silicon Dioxide and Silicon Nitride Layers
US20080145708A1 (en) * 2005-04-14 2008-06-19 Merck Patent Gmbh Compounds For Organic Electronic Devices
US20080152835A1 (en) * 2006-12-05 2008-06-26 Nano Terra Inc. Method for Patterning a Surface
US20080200036A1 (en) * 2005-07-15 2008-08-21 Werner Stockum Printable Etching Media For Silicon Dioxide and Silicon Nitride Layers
US20080210660A1 (en) * 2005-07-04 2008-09-04 Merck Patent Gesellschaft Medium For Etching Oxidic, Transparent, Conductive Layers
US20080210298A1 (en) * 2005-07-12 2008-09-04 Armin Kuebelbeck Combined Etching and Doping Media for Silicon Dioxide Layers and Underlying Silicon
US20080217576A1 (en) * 2005-07-25 2008-09-11 Werner Stockum Etching Media for Oxidic, Transparent, Conductive Layers
US7432438B2 (en) * 2002-08-29 2008-10-07 Day 4 Energy Inc. Electrode for photovoltaic cells, photovoltaic cell and photovoltaic module
US20080255340A1 (en) * 2007-04-12 2008-10-16 Naidu A Satyanarayan ANGIOGENIN COMPLEXES (ANGex) AND USES THEREOF
US20090008787A1 (en) * 2005-11-24 2009-01-08 Stuart Ross Wenham High efficiency solar cell fabrication
US7510672B2 (en) * 2004-05-18 2009-03-31 Merck Patent Gmbh Formulation for ink-jet printing comprising semiconducting polymers
US20090142880A1 (en) * 2007-11-19 2009-06-04 Weidman Timothy W Solar Cell Contact Formation Process Using A Patterned Etchant Material
US20090305456A1 (en) * 2005-09-22 2009-12-10 Yasushi Funakoshi Method of Manufacturing Back Junction Solar Cell
US20100059117A1 (en) * 2007-02-08 2010-03-11 Wuxi Suntech-Power Co., Ltd. Hybrid silicon solar cells and method of fabricating same
US20100068890A1 (en) * 2006-10-30 2010-03-18 Merck Patent Gesellschaft Printable medium for etching oxidic, transparent and conductive layers
US20100068889A1 (en) * 2006-11-01 2010-03-18 Merck Patent Gmbh Particle-containing etching pastes for silicon surfaces and layers

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697153A (en) 1992-09-11 1994-04-08 Hitachi Ltd Etching liquid and etching method
JP3032422B2 (en) 1994-04-28 2000-04-17 シャープ株式会社 Solar cell and method of manufacturing the same
DE10251446B4 (en) 2002-11-05 2004-11-11 Day4 Energy Inc. Cooling arrangement for light-bundling photovoltaic systems
JP2004193337A (en) * 2002-12-11 2004-07-08 Sharp Corp Method for forming electrode for solar cell and solar cell manufactured thereby
US20070144577A1 (en) 2005-12-23 2007-06-28 Rubin George L Solar cell with physically separated distributed electrical contacts
US7498508B2 (en) 2006-02-24 2009-03-03 Day4 Energy, Inc. High voltage solar cell and solar cell module

Patent Citations (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3979241A (en) * 1968-12-28 1976-09-07 Fujitsu Ltd. Method of etching films of silicon nitride and silicon dioxide
US3849880A (en) * 1969-12-12 1974-11-26 Communications Satellite Corp Solar cell array
US4084985A (en) * 1977-04-25 1978-04-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method for producing solar energy panels by automation
US4104091A (en) * 1977-05-20 1978-08-01 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Application of semiconductor diffusants to solar cells by screen printing
US4152824A (en) * 1977-12-30 1979-05-08 Mobil Tyco Solar Energy Corporation Manufacture of solar cells
US4219448A (en) * 1978-06-08 1980-08-26 Bernd Ross Screenable contact structure and method for semiconductor devices
US4308091A (en) * 1979-08-20 1981-12-29 Merck Patent Gesellschaft Mit Beschrankter Haftung Etching medium and process for the correction of chromed gravure cylinders
US4623751A (en) * 1982-12-03 1986-11-18 Sanyo Electric Co., Ltd. Photovoltaic device and its manufacturing method
US4478879A (en) * 1983-02-10 1984-10-23 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Screen printed interdigitated back contact solar cell
US4717591A (en) * 1983-06-30 1988-01-05 International Business Machines Corporation Prevention of mechanical and electronic failures in heat-treated structures
US5698451A (en) * 1988-06-10 1997-12-16 Mobil Solar Energy Corporation Method of fabricating contacts for solar cells
US4927770A (en) * 1988-11-14 1990-05-22 Electric Power Research Inst. Corp. Of District Of Columbia Method of fabricating back surface point contact solar cells
US5011782A (en) * 1989-03-31 1991-04-30 Electric Power Research Institute Method of making passivated antireflective coating for photovoltaic cell
US5248496A (en) * 1989-10-27 1993-09-28 Basf Aktiengesellschaft Method of obtaining ruthenium tetroxide by oxidation of an aqueous alkali metal ruthenate solution
US5198385A (en) * 1991-01-11 1993-03-30 Harris Corporation Photolithographic formation of die-to-package airbridge in a semiconductor device
US5705828A (en) * 1991-08-10 1998-01-06 Sanyo Electric Co., Ltd. Photovoltaic device
US5281350A (en) * 1992-08-14 1994-01-25 Tae Hwan Kim Glass etching composition
US5401336A (en) * 1992-12-09 1995-03-28 Sanyo Electric Co., Ltd. Photovoltaic device
US6020250A (en) * 1994-08-11 2000-02-01 International Business Machines Corporation Stacked devices
USRE37512E1 (en) * 1995-02-21 2002-01-15 Interuniversitair Microelektronica Centrum (Imec) Vzw Method of preparing solar cell front contacts
US6096968A (en) * 1995-03-10 2000-08-01 Siemens Solar Gmbh Solar cell with a back-surface field
US6091099A (en) * 1996-11-14 2000-07-18 Kabushiki Kaisha Toshiba Semiconductor device with tantalum and ruthenium
US20030134469A1 (en) * 1996-12-24 2003-07-17 Imec Vzw, A Research Center In The Country Of Belgium Semiconductor device with selectively diffused regions
US6552414B1 (en) * 1996-12-24 2003-04-22 Imec Vzw Semiconductor device with selectively diffused regions
US6825104B2 (en) * 1996-12-24 2004-11-30 Interuniversitair Micro-Elektronica Centrum (Imec) Semiconductor device with selectively diffused regions
US6082610A (en) * 1997-06-23 2000-07-04 Ford Motor Company Method of forming interconnections on electronic modules
US6036741A (en) * 1997-07-31 2000-03-14 Japan Energy Corporation Process for producing high-purity ruthenium
US6066267A (en) * 1997-09-18 2000-05-23 International Business Machines Corporation Etching of silicon nitride
US6451865B1 (en) * 1997-10-31 2002-09-17 Kraton Polymers U.S. Llc Foam composition comprising oil, thermoplastic elastomer and expandable particles
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US6103393A (en) * 1998-02-24 2000-08-15 Superior Micropowders Llc Metal-carbon composite powders, methods for producing powders and devices fabricated from same
US5939336A (en) * 1998-08-21 1999-08-17 Micron Technology, Inc. Aqueous solutions of ammonium fluoride in propylene glycol and their use in the removal of etch residues from silicon substrates
US6328913B1 (en) * 1998-09-02 2001-12-11 Peter T. B. Shaffer Composite monolithic elements and methods for making such elements
US6451665B1 (en) * 1998-12-11 2002-09-17 Hitachi, Ltd. Method of manufacturing a semiconductor integrated circuit
US6695903B1 (en) * 1999-03-11 2004-02-24 Merck Patent Gmbh Dopant pastes for the production of p, p+, and n, n+ regions in semiconductors
US6852635B2 (en) * 1999-08-24 2005-02-08 Interuniversitair Nizroelecmica Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US20030207214A1 (en) * 1999-08-31 2003-11-06 Hitachi, Ltd. Mass production method of semiconductor integrated curcuit device and manufacturing method of electronic device
US6737221B2 (en) * 1999-08-31 2004-05-18 Renesas Technology Corp. Mass production method of semiconductor integrated circuit device and manufacturing method of electronic device
US6586161B2 (en) * 1999-08-31 2003-07-01 Hitachi, Ltd. Mass production method of semiconductor integrated circuit device and manufacturing method of electronic device
US6458183B1 (en) * 1999-09-07 2002-10-01 Colonial Metals, Inc. Method for purifying ruthenium and related processes
US20020041991A1 (en) * 1999-11-17 2002-04-11 Chan Chung M. Sol-gel derived fuel cell electrode structures and fuel cell electrode stack assemblies
US20050089748A1 (en) * 1999-11-17 2005-04-28 Ohlsen Leroy J. Fuel cells having silicon substrates and/or sol-gel derived support structures
US6649091B2 (en) * 1999-12-01 2003-11-18 The United States Of America As Represented By The Secretary Of The Navy Electrically conducting ruthenium dioxide aerogel composite
US6290880B1 (en) * 1999-12-01 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electrically conducting ruthenium dioxide-aerogel composite
US6607988B2 (en) * 1999-12-28 2003-08-19 Hitachi, Ltd. Manufacturing method of semiconductor integrated circuit device
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US20030160026A1 (en) * 2000-04-28 2003-08-28 Sylke Klein Etching pastes for inorganic surfaces
US20040013799A1 (en) * 2000-10-25 2004-01-22 Kim Kwang Bum Apparatus and method for manufacturing thin film electrode of hydrous ruthenium oxide
US7129109B2 (en) * 2001-02-02 2006-10-31 Shell Solar Gmbh Method for structuring an oxide layer applied to a substrate material
US6753133B2 (en) * 2001-03-16 2004-06-22 Elpida Memory, Inc. Method and manufacturing a semiconductor device having a ruthenium or a ruthenium oxide
US20020184969A1 (en) * 2001-03-29 2002-12-12 Kodas Toivo T. Combinatorial synthesis of particulate materials
US20020176927A1 (en) * 2001-03-29 2002-11-28 Kodas Toivo T. Combinatorial synthesis of material systems
US6800542B2 (en) * 2001-05-03 2004-10-05 Hynix Semiconductor Inc. Method for fabricating ruthenium thin layer
US20090071540A1 (en) * 2001-10-10 2009-03-19 Sylke Klein Combined etching and doping media
US20040242019A1 (en) * 2001-10-10 2004-12-02 Sylke Klein Combined etching and doping substances
US6649211B2 (en) * 2002-02-28 2003-11-18 The United States Of America As Represented By The Secretary Of The Navy Selective deposition of hydrous ruthenium oxide thin films
US7242632B2 (en) * 2002-06-20 2007-07-10 Tokyo Electron Device Limited Memory device, memory managing method and program
US20060062041A1 (en) * 2002-06-20 2006-03-23 Seiji Hiraka Memory device, momory managing method and program
US20040063326A1 (en) * 2002-07-01 2004-04-01 Interuniversitair Microelektronica Centrum (Imec) Semiconductor etching paste and the use thereof for localized etching of semiconductor substrates
US7196018B2 (en) * 2002-07-01 2007-03-27 Interuniversitair Microelektronica Centrum Vzw Semiconductor etching paste and the use thereof for localized etching of semiconductor substrates
US20040159869A1 (en) * 2002-08-02 2004-08-19 Unity Semiconductor Corporation Memory array with high temperature wiring
US7432438B2 (en) * 2002-08-29 2008-10-07 Day 4 Energy Inc. Electrode for photovoltaic cells, photovoltaic cell and photovoltaic module
US20050247674A1 (en) * 2002-09-04 2005-11-10 Merck Patent Gmbh Etching pastes for silicon surfaces and layers
US20040112426A1 (en) * 2002-12-11 2004-06-17 Sharp Kabushiki Kaisha Solar cell and method of manufacturing the same
US20040113277A1 (en) * 2002-12-11 2004-06-17 Chiras Stefanie Ruth Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US20040126644A1 (en) * 2002-12-30 2004-07-01 Bett John A. S. Fuel cell having a corrosion resistant and protected cathode catalyst layer
US7186358B2 (en) * 2003-01-25 2007-03-06 Merck Patent Gesellschaft Polymer dopants
US20070194467A1 (en) * 2003-06-20 2007-08-23 Peidong Yang Nanowire array and nanowire solar cells and methods for forming the same
US20050009346A1 (en) * 2003-07-08 2005-01-13 Renesas Technology Corp. Method of manufacturing semiconductor device
US7135350B1 (en) * 2003-10-03 2006-11-14 Sunpower Corporation Use of doped silicon dioxide in the fabrication of solar cells
US6998288B1 (en) * 2003-10-03 2006-02-14 Sunpower Corporation Use of doped silicon dioxide in the fabrication of solar cells
US20070111354A1 (en) * 2003-10-08 2007-05-17 Samsung Electronics Co., Ltd. Nitride-based light emitting device and method of manufacturing the same
US20070148810A1 (en) * 2003-11-18 2007-06-28 Sylke Klein Functional paste
US20050110125A1 (en) * 2003-11-21 2005-05-26 International Business Machines Corporation Overlap stacking of center bus bonded memory chips for double density and method of manufacturing the same
US20050224968A1 (en) * 2004-03-31 2005-10-13 Aptos Corporation Wafer level mounting frame for ball grid array packaging, and method of making and using the same
US20050238808A1 (en) * 2004-04-27 2005-10-27 L'Air Liquide, Société Anonyme à Directoire et Conseil de Surveillance pour I'Etude et I'Exploita Methods for producing ruthenium film and ruthenium oxide film
US7510672B2 (en) * 2004-05-18 2009-03-31 Merck Patent Gmbh Formulation for ink-jet printing comprising semiconducting polymers
US20080121621A1 (en) * 2005-01-11 2008-05-29 Werner Stockum Printable Medium for the Etching of Silicon Dioxide and Silicon Nitride Layers
US20060174933A1 (en) * 2005-02-09 2006-08-10 Debra Rolison TiO2 aerogel-based photovoltaic electrodes and solar cells
US20060283499A1 (en) * 2005-02-25 2006-12-21 Sanyo Electric Co., Ltd. Photovoltaic cell
US20080145708A1 (en) * 2005-04-14 2008-06-19 Merck Patent Gmbh Compounds For Organic Electronic Devices
US7375378B2 (en) * 2005-05-12 2008-05-20 General Electric Company Surface passivated photovoltaic devices
US20080210660A1 (en) * 2005-07-04 2008-09-04 Merck Patent Gesellschaft Medium For Etching Oxidic, Transparent, Conductive Layers
US20080210298A1 (en) * 2005-07-12 2008-09-04 Armin Kuebelbeck Combined Etching and Doping Media for Silicon Dioxide Layers and Underlying Silicon
US20080200036A1 (en) * 2005-07-15 2008-08-21 Werner Stockum Printable Etching Media For Silicon Dioxide and Silicon Nitride Layers
US20080217576A1 (en) * 2005-07-25 2008-09-11 Werner Stockum Etching Media for Oxidic, Transparent, Conductive Layers
US20090305456A1 (en) * 2005-09-22 2009-12-10 Yasushi Funakoshi Method of Manufacturing Back Junction Solar Cell
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070108404A1 (en) * 2005-10-28 2007-05-17 Stewart Michael P Method of selectively depositing a thin film material at a semiconductor interface
US20070148336A1 (en) * 2005-11-07 2007-06-28 Robert Bachrach Photovoltaic contact and wiring formation
US20090008787A1 (en) * 2005-11-24 2009-01-08 Stuart Ross Wenham High efficiency solar cell fabrication
US20070181908A1 (en) * 2006-02-06 2007-08-09 Infineon Technologies Ag Electronic module and method of producing the electronic module
US20100068890A1 (en) * 2006-10-30 2010-03-18 Merck Patent Gesellschaft Printable medium for etching oxidic, transparent and conductive layers
US20100068889A1 (en) * 2006-11-01 2010-03-18 Merck Patent Gmbh Particle-containing etching pastes for silicon surfaces and layers
US20080152835A1 (en) * 2006-12-05 2008-06-26 Nano Terra Inc. Method for Patterning a Surface
US20100059117A1 (en) * 2007-02-08 2010-03-11 Wuxi Suntech-Power Co., Ltd. Hybrid silicon solar cells and method of fabricating same
US20080255340A1 (en) * 2007-04-12 2008-10-16 Naidu A Satyanarayan ANGIOGENIN COMPLEXES (ANGex) AND USES THEREOF
US20090142880A1 (en) * 2007-11-19 2009-06-04 Weidman Timothy W Solar Cell Contact Formation Process Using A Patterned Etchant Material

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10374109B2 (en) 2001-05-25 2019-08-06 President And Fellows Of Harvard College Silicon-based visible and near-infrared optoelectric devices
US10741399B2 (en) 2004-09-24 2020-08-11 President And Fellows Of Harvard College Femtosecond laser-induced formation of submicrometer spikes on a semiconductor substrate
US20100015756A1 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US8309446B2 (en) * 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
US8673679B2 (en) 2008-12-10 2014-03-18 Applied Materials Italia S.R.L. Enhanced vision system for screen printing pattern alignment
US9911781B2 (en) 2009-09-17 2018-03-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US9673243B2 (en) 2009-09-17 2017-06-06 Sionyx, Llc Photosensitive imaging devices and associated methods
US10361232B2 (en) 2009-09-17 2019-07-23 Sionyx, Llc Photosensitive imaging devices and associated methods
US9947809B2 (en) 2009-11-11 2018-04-17 Samsung Electronics Co., Ltd. Conductive paste and electronic device and solar cell including an electrode formed using the conductive paste
US9741761B2 (en) 2010-04-21 2017-08-22 Sionyx, Llc Photosensitive imaging devices and associated methods
US10229951B2 (en) 2010-04-21 2019-03-12 Sionyx, Llc Photosensitive imaging devices and associated methods
US9761739B2 (en) 2010-06-18 2017-09-12 Sionyx, Llc High speed photosensitive devices and associated methods
US10505054B2 (en) 2010-06-18 2019-12-10 Sionyx, Llc High speed photosensitive devices and associated methods
US10269861B2 (en) 2011-06-09 2019-04-23 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
US9666636B2 (en) 2011-06-09 2017-05-30 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
US9496308B2 (en) 2011-06-09 2016-11-15 Sionyx, Llc Process module for increasing the response of backside illuminated photosensitive imagers and associated methods
US10244188B2 (en) 2011-07-13 2019-03-26 Sionyx, Llc Biometric imaging devices and associated methods
KR101985929B1 (en) 2011-12-09 2019-06-05 삼성전자주식회사 Conductive paste and electronic device and solar cell including an electrode formed using the conductive paste
KR20130065444A (en) * 2011-12-09 2013-06-19 삼성전자주식회사 Conductive paste and electronic device and solar cell including an electrode formed using the conductive paste
US9218898B2 (en) 2011-12-09 2015-12-22 Samsung Electronics Co., Ltd. Conductive paste and electronic device and solar cell including an electrode formed using the conductive paste
US10224359B2 (en) 2012-03-22 2019-03-05 Sionyx, Llc Pixel isolation elements, devices and associated methods
US9905599B2 (en) 2012-03-22 2018-02-27 Sionyx, Llc Pixel isolation elements, devices and associated methods
US9762830B2 (en) 2013-02-15 2017-09-12 Sionyx, Llc High dynamic range CMOS image sensor having anti-blooming properties and associated methods
US9939251B2 (en) 2013-03-15 2018-04-10 Sionyx, Llc Three dimensional imaging utilizing stacked imager devices and associated methods
US10347682B2 (en) 2013-06-29 2019-07-09 Sionyx, Llc Shallow trench textured regions and associated methods
US9673250B2 (en) 2013-06-29 2017-06-06 Sionyx, Llc Shallow trench textured regions and associated methods
US11069737B2 (en) 2013-06-29 2021-07-20 Sionyx, Llc Shallow trench textured regions and associated methods
US9859452B1 (en) 2016-06-30 2018-01-02 International Business Machines Corporation Fabrication of thin-film photovoltaic cells with reduced recombination losses

Also Published As

Publication number Publication date
CN101889348A (en) 2010-11-17
EP2220687A1 (en) 2010-08-25
CN101889348B (en) 2013-03-27
TW200939510A (en) 2009-09-16
US20090142880A1 (en) 2009-06-04
JP2011503910A (en) 2011-01-27
US7888168B2 (en) 2011-02-15
WO2009067483A1 (en) 2009-05-28

Similar Documents

Publication Publication Date Title
US7888168B2 (en) Solar cell contact formation process using a patterned etchant material
US8183081B2 (en) Hybrid heterojunction solar cell fabrication using a metal layer mask
US10224441B2 (en) Solar cell and method of manufacturing the same
US8859324B2 (en) Methods of manufacturing solar cell devices
US20140261666A1 (en) Methods of manufacturing a low cost solar cell device
US8603851B2 (en) Solar cell and method of manufacturing the same by simultaneously forming first and second doping regions
US8207005B2 (en) Forming solar cells using a patterned deposition process
US8664015B2 (en) Method of manufacturing photoelectric device
KR101110825B1 (en) Interdigitated back contact solar cell and manufacturing method thereof
US20090068783A1 (en) Methods of emitter formation in solar cells
US20130255765A1 (en) Doped ai paste for local alloyed junction formation with low contact resistance
US20130199606A1 (en) Methods of manufacturing back surface field and metallized contacts on a solar cell device
TW201924073A (en) Interdigitated back-contacted solar cell with p-type conductivity
JP2014524140A (en) Method for producing photovoltaic cell with selective emitter
CN110800114B (en) High-efficiency back electrode type solar cell and manufacturing method thereof
KR101397024B1 (en) Method of manufacturing for photovoltaic device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WEIDMAN, TIMOTHY W.;MISHRA, ROHIT;SIGNING DATES FROM 20081204 TO 20081205;REEL/FRAME:025590/0496

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION