US20110171583A1 - Process Solutions Containing Surfactants - Google Patents

Process Solutions Containing Surfactants Download PDF

Info

Publication number
US20110171583A1
US20110171583A1 US12/959,067 US95906710A US2011171583A1 US 20110171583 A1 US20110171583 A1 US 20110171583A1 US 95906710 A US95906710 A US 95906710A US 2011171583 A1 US2011171583 A1 US 2011171583A1
Authority
US
United States
Prior art keywords
independently
substrate
carbon atoms
branched
straight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/959,067
Inventor
Peng Zhang
Danielle Megan King Curzi
Eugene Joseph Karwacki, Jr.
Leslie Cox Barber
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/218,087 external-priority patent/US20040029395A1/en
Priority claimed from US10/616,662 external-priority patent/US7129199B2/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US12/959,067 priority Critical patent/US20110171583A1/en
Publication of US20110171583A1 publication Critical patent/US20110171583A1/en
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D34/00Containers or accessories specially adapted for handling liquid toiletry or cosmetic substances, e.g. perfumes
    • A45D34/04Appliances specially adapted for applying liquid, e.g. using roller or ball
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D40/00Casings or accessories specially adapted for storing or handling solid or pasty toiletry or cosmetic substances, e.g. shaving soaps or lipsticks
    • A45D40/26Appliances specially adapted for applying pasty paint, e.g. using roller, using a ball
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0048Photosensitive materials characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D34/00Containers or accessories specially adapted for handling liquid toiletry or cosmetic substances, e.g. perfumes
    • A45D2034/002Accessories
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D40/00Casings or accessories specially adapted for storing or handling solid or pasty toiletry or cosmetic substances, e.g. shaving soaps or lipsticks
    • A45D2040/0006Accessories
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D2200/00Details not otherwise provided for in A45D
    • A45D2200/05Details of containers
    • A45D2200/053Transparent containers
    • AHUMAN NECESSITIES
    • A45HAND OR TRAVELLING ARTICLES
    • A45DHAIRDRESSING OR SHAVING EQUIPMENT; EQUIPMENT FOR COSMETICS OR COSMETIC TREATMENTS, e.g. FOR MANICURING OR PEDICURING
    • A45D2200/00Details not otherwise provided for in A45D
    • A45D2200/05Details of containers
    • A45D2200/054Means for supplying liquid to the outlet of the container
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck

Definitions

  • the present invention relates generally to methods for the manufacture of semiconductor devices. More specifically, the present invention relates to a method for reducing defects, particularly pattern collapse and photoresist line roughness, in semiconductor devices incurred during the manufacturing process without sacrificing throughput.
  • Defects are a major limiting factor for production yield and device function, particularly when the device sizes are reduced and wafer sizes are enlarged to 300 mm.
  • the term “defects”, as used herein, relates to defects that may reduce the yield, or cause the loss, of the semiconductor device such as the collapse of the photoresist pattern on the substrate surface; roughness in the photoresist lines such as “line width roughness” or “line edge roughness”, particulates introduced onto the substrate resulting from processing such as lithography, etching, stripping, and chemical mechanical planarization (CMP) residues; particulates either indigenous to or resulting from manufacturing processes; pattern imperfections such as closed or partially open or blocked contacts or vias; line width variations; and defects resulting from poor adhesion of the resist to the substrate surface.
  • CMP chemical mechanical planarization
  • the lithography process generally involves coating a substrate with a positive or negative photoresist, exposing the substrate to a radiation source to provide an image, and developing the substrate to form a patterned photoresist layer on the substrate. This patterned layer acts as a mask for subsequent substrate patterning processes such as etching, doping, and/or coating with metals, other semiconductor materials, or insulating materials.
  • the etching process generally involves removing the surface of the substrate that is not protected by the patterned photoresist using a chemical or plasma etchant thereby exposing the underlying surface for further processing.
  • the stripping process generally involves removing the cross-linked, photoresist pattern from the substrate via wet stripping or oxygen plasma ashing.
  • the CMP process generally involves polishing the surface of the substrate to maintain flatness during processing. All of the aforementioned processes typically employ a rinse step to remove any particulate material that is generated from, or is a by-product of, these processes.
  • Pattern collapse is becoming an emerging problem in the production of semiconductor devices due to the higher aspect ratios in the new generation of devices.
  • the thickness and aspect ratio of the patterned photoresist layer are important parameters for subsequent etch steps after lithography.
  • the aspect ratio for a photoresist layer having a 500 nm thickness may reach the value of 4. This value may be the point where the capillary force of the developer and/or rinse solution may lead to the collapse of the patterned photoresist layer.
  • the pattern collapse problem may be further influenced by other factors such as the mechanical strength of the resist, application of other coatings, i.e., anti-reflective coatings (ARC), and the nozzle type, position, and centrifugal forces during spin-on application of the photoresist layer.
  • ARC anti-reflective coatings
  • a main contributor for pattern collapse is the capillary force of water during the post-development drying stage, see Tanaka, T., et al., “Mechanism of Resist Pattern Collapsed During Developer Process”, Jpn. J. Appl. Phys., Vol. 32, 1993, pp. 6059-64.
  • Reducing or eliminating the surface tension of the rinse liquid after pattern development may be used to reduce the capillary force that is exerted on the patterned photoresist layer.
  • Two common approaches, to reduce or eliminate the surface tension of the rinse liquid may be to freeze-dry the patterned photoresist features or employ supercritical fluids to dry the patterned photoresist layer after development. Both of these approaches may require extra manufacturing steps and special equipment that are not commonly used in semiconductor device fabrication.
  • a more common approach to reduce the surface tension may be to add a surfactant to the rinse liquid.
  • the ability to reduce the surface tension of water at the air and liquid interface is of great importance in a variety of applications because decreased surface tension generally relates to increased wetting of water on the substrate surface.
  • Surface tension reduction in water-based systems is generally achieved through the addition of surfactants.
  • Equilibrium surface tension performance is important when the system is at rest, though the ability to reduce surface tension under dynamic conditions is of great importance in applications where high surface creation rates are used, i.e., spin coating, rolling, spray coating, and the like.
  • Dynamic surface tension provides a measure of the ability of the solution to lower surface tension and provide wetting under high speed application conditions.
  • the surfactant reduces the surface tension of the formulation in a manner that minimizes the problem of bubble generation and foaming. Foaming and bubble generation may lead to defects. Consequently, considerable efforts have been made in the semiconductor industry towards solving the foaming problem.
  • Japanese patent JP 95142349A describes adding a fluorine-based surfactant such as ammonium perfluoroalkylsulfonate or perfluoroalkyl ethoxylate to the developer solution or rinse liquid.
  • U.S. Pat. No. 6,152,148 describes adding a surfactant such as a fluorosurfactant and a tetra alkyl quarternary ammonium hydroxide compound to an aqueous solution used to clean semiconductor wafers having a poly(arylene ether) dielectric film coating after CMP.
  • a surfactant such as a fluorosurfactant and a tetra alkyl quarternary ammonium hydroxide compound
  • Domke W. D et al., “Pattern Collapse in High Aspect Ratio DUV- and 193 nm Resists”, Proc. SPIE-Int. Soc. Opt. Eng. 3999, 313-321, 2000 (“Domke”), describes adding surfactants to the developer solution to reduce the possibility of pattern collapse of acrylic and cycloolefin-maleic anhydride resists.
  • the “surfactant” added to developer solution was the solvent, isopropyl alcohol. According to Domke, the addition of the “surfactant” in the developer solution did not have a consistent effect on pattern collapse.
  • PCT application WO 02/23598 describes adding the surfactant ammonium lauryl sulfate into the deionized (DI) water rinse and developer and applying them to a patterned photoresist to minimize or eliminate post-development defects.
  • DI deionized
  • Japanese Patent Application JP 96008163A describes adding hot water, an organic solvent, and a surfactant to a post-development rinse to prevent pattern collapse. No specific surfactants were mentioned.
  • PCT application 87/03387 describes protecting photoresist images against distortion or degradation by heat generated during etching and other processes by applying a thermally stabilizing, protective film to the substrate prior to the post-development bake of the image.
  • Materials used for the film includes fluorocarbon surfactants, film forming polymers, chromium sulfate, trichloroacetic acid, chromotropic acid, and salts thereof.
  • U.S. Pat. No. 5,977,041 describes a post-stripping, aqueous rinse solution that includes water, a water soluble organic acid, and a water soluble surface-active agent.
  • the surface-active agents include oligo(ethylene oxide) compounds having at least one acetylenic alcohol group.
  • WO 00/03306 describes a stripper composition that comprises an admixture of a solvent and a surfactant wherein the amount of solvent ranges from about 50 to about 99.9 weight percent of the total composition and the amount of surfactant ranges from about 0.1 to about 30 weight percent of the total composition.
  • U.S. Patent Application No. 2002/0115022 describes a developer and a rinse solution that each contains an anionic surfactant such as ammonium perfluoralkyl sulfonate or ammonium perfluoralkyl carboxylate. These solutions are applied in a consecutive sequence to reduce pattern collapse.
  • an anionic surfactant such as ammonium perfluoralkyl sulfonate or ammonium perfluoralkyl carboxylate.
  • line edge roughness LER
  • LWR line width roughness
  • Line width roughness is typically measured by the variation of line width from its required critical dimension (“CD”).
  • CD critical dimension
  • the 2003 International Technology Roadmap for Semiconductors requires that the LWR be within 8% of the CD.
  • the LWR as measured by the 3a variation of line width, would be within 3 nm for the 90 nm technology node and within 2.0 for the 65 nm node.
  • photoresist formulation i.e., molecular weight, molecular weight distribution, resist polymer structures, photo-acid generators
  • process and tool-related factors i.e., acid diffusion, developer percolation, shot noise, mask roughness, and the quality of the latent image profile.
  • Previous attempts to reduce photoresist line roughness defects include modifying the photoresist formulation and adjusting the contrast of the latent image.
  • the present invention satisfies some, if not all, of the needs of the art by providing a process solution and methods for using same.
  • a method for reducing defects in the manufacture of semiconductor devices comprises: providing a substrate comprising a photoresist coating; exposing the substrate to a radiation source to form a pattern on the photoresist coating; applying a developer solution to the substrate to form a patterned photoresist coating; optionally rinsing the substrate with deionized water; and contacting the substrate with a process solution comprising a solvent and 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd):
  • R, R 1 , R 4 , and R 12 are each independently a straight, a branched, or a cyclicalkyl group having from 2 to 250, or from 3 to 10 carbon atoms;
  • R 2 and R 3 are each independently a hydrogen atom or an alkyl group having from 1 to 10 or from 1 to 5 carbon atoms;
  • R 5 is a straight, a branched, or a cyclic alkyl group having from 1 to 10 carbon atoms;
  • R 6 is a straight, a branched, or a cyclic alkyl group having from 4 to 16 carbon atoms;
  • R 7 , R 8 , and R 9 are each independently a straight, a branched, or a cyclic alkyl group having from 1 to 6 carbon atoms;
  • R 10 is independently H or a group represented by the following formula
  • R 11 is a straight, a branched, or a cyclic alkyl group having from 4 to 22 carbon atoms;
  • W is a hydrogen atom or an alkynyl group;
  • X and Y are each independently a hydrogen atom or a hydroxyl group;
  • Z is a halide atom, a hydroxyl group, an acetate group, or a carboxylate group;
  • i, m, n, p, and q are each independently a number that ranges from 0 to 20; r and are each independently 2 or 3;
  • t is a number that ranges from 0 to 2;
  • j is a number between 1 to 5; and
  • x is a number that ranges from 1 to 6.
  • a method for avoiding a collapse of a developed pattern on the surface of a plurality of substrates and reducing photoresist line roughness comprising: providing a first substrate comprising a photoresist pattern developed upon the surface; preparing a process solution comprising from 10 ppm to about 10,000 of at least one surfactant having the formulas (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd) described herein; contacting the first substrate with the process solution; determining a surface tension and a contact angle of the process solution on the first substrate; multiplying the surface tension by the cosine of the contact angle to provide the adhesion tension value of the process solution; providing the plurality of substrates wherein each substrate within the plurality comprises a photoresist pattern developed upon the surface; and contacting the
  • a process rinse solution to reduce pattern collapse defects on the surface of a substrate that has been patterned and developed comprising at least one carrier medium selected from the group consisting of an aqueous solvent or a non-aqueous solvent and at least one surfactant selected from the group of surfactants having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd) described herein.
  • FIG. 1a provides a cross-sectional scanning electron micrograph (SEM) image of a 193 nm photoresist coated substrate having 80 nm dense lines, a 1:1 pitch, and a 3.75 aspect ratio that has been treated with a deionized water rinse.
  • SEM scanning electron micrograph
  • FIG. 1b provides a cross-sectional SEM image of a 193 nm photoresist coated substrate having 80 nm dense lines, a 1:1 pitch, and a 3.75 aspect ratio that has been treated with a process solution of the present invention.
  • FIGS. 2a through 2c provide cross-sectional SEM images of a 193 nm photoresist coated substrate after treatment with deionized water; a process solution of the present invention containing a Formula V surfactant and Formula III surfactant; and a process solution of the present invention containing a Formula VIII surfactant, respectively.
  • the present invention is directed to process solutions that are used to reduce the number of defects incurred during the manufacturing of the semiconductor device and methods of using same. It is believed that treatment of a substrate with the process solution having one or more surfactants—present in minor amounts—may provide at least one of following benefits: reduce post-development defects by improving the wetting of the solution on the surface of the patterned photoresist layer; reduce the capillary forces exerted on the patterned lines thereby contributing to pattern collapse defects; improve the photoresist line roughness regardless of the origin of the line roughness. Further, the process solution works more effectively in dynamic rinse situations with relatively minor foam generation compared to other surfactants presently used in the art.
  • the process solution of the present invention can be used in a variety of processes related to the manufacture of a semiconductor device such as for example, lithography process solutions, i.e., rinse, resist, edge bead remover, and anti-reflective coating (ARC) solutions; post-etching process solutions, i.e., sidewall film, stripper, post-strip/ash rinse solutions; wafer cleaning process solutions, i.e., additives to RCA or other standard cleaning solutions, super-critical CO 2 cleaning solutions; and process solutions for critical cleaning or precision cleaning for aerospace applications.
  • the process solution of the present invention may be employed as a lithography rinse solution in addition to, or in place of, a deionized water rinse.
  • the surfactant within the process solution may allow for the reduction of equilibrium and dynamic surface tension while minimizing foaming.
  • the process solution of the present invention may have as a carrier phase or medium an aqueous-based solvent and/or non-aqueous-based solvent.
  • aqueous as used herein, describes a solvent or liquid dispersing medium, which comprises at least 80 weight percent, preferably 90 weight percent, and more preferably at least 95 weight percent water.
  • the preferred aqueous-based solvent is deionized water.
  • at least one formula I through X surfactant demonstrates a dynamic surface tension of less than 45 dynes/cm at a concentration of less than or equal to 5 weight percent in water at 23° C. and 1 bubble/second according to the maximum-bubble-pressure method of measuring surface tension described in Langmuir 1986, 2, 428-432, which is incorporated herein by reference in its entirety.
  • a non-aqueous solvent is used in addition to or in place of an aqueous solvent such as water
  • the non-aqueous solvent selected will not react with the at least one surfactant contained therein, other additives within the process solution, or the substrate itself.
  • Suitable solvents include, but are not limited to, hydrocarbons (e.g. pentane or hexane); halocarbons (e.g. Freon 113); ethers (e.g. ethylether (Et 2 O), tetrahydrofuran (“THF”), ethylene glycol monomethyl ether, or 2-methoxyethyl ether (diglyme)); nitriles (e.g.
  • solvents include lactates, pyruvates, and diols. These solvents include, but are not limited to, acetone, 1,4-dioxane, 1,3-dioxolane, ethyl acetate, cyclohexanone, acetone, 1-methyl-2-pyrodidianone (NMP), and methyl ethyl ketone.
  • solvents include dimethylformamide, dimethylacetamide, N-methyl pyrrolidone, ethylene carbonate, propylene carbonate, glycerol and derivatives, naphthalene and substituted versions, acetic acid anyhydride, propionic acid and propionic acid anhydride, dimethyl sulfone, benzophenone, diphenyl sulfone, phenol, m-cresol, dimethyl sulfoxide, diphenyl ether, terphenyl, and the like.
  • Still further solvents include propylene glycol propyl ether (PGPE), methanol, ethanol, 3-heptanol, 2-methyl-1-pentanol, 5-methyl-2-hexanol, 3-hexanol, 2-heptano, 2-hexanol, 2,3-dimethyl-3-pentanol, propylene glycol methyl ether acetate (PGMEA), ethylene glycol, isopropyl alcohol (IPA), n-butyl ether, propylene glycol n-butyl ether (PGBE), 1-butoxy-2-propanol, 2-methyl-3-pentanol, 2-methoxyethyl acetate, 2-butoxyethanol, 2-ethoxyethyl acetoacetate, 1-pentanol, and propylene glycol methyl ether.
  • the non-aqueous solvents enumerated above may be used alone or in combination with two or more solvents.
  • the process solution may contain at least one non-aqueous solvent that is miscible in an aqueous solvent or is water-miscible.
  • the amount of non-aqueous solvent within the process solution may range from about 1 to about 50% by weight with the balance of the solvent within the process solution comprising an aqueous solvent.
  • water-miscible non-aqueous solvents include methanol, ethanol, isopropyl alcohol, and THF.
  • the present solution comprises from 10 to 10,000 ppm of at least one surfactant represented by structural formulas I through X.
  • Typical surfactants exhibit an amphiphilic nature, meaning that they can be both hydrophilic and hydrophobic at the same time.
  • Amphiphillic surfactants possess a hydrophilic head group or groups, which have a strong affinity for water and a long hydrophobic tail, which is organophilic and repels water.
  • the at least one formula I through X surfactant used in the present invention may be ionic (i.e., anionic, cationic) or nonionic.
  • the process solution may contain one or more nonionic surfactants that are acetylenic diol derivatives.
  • the surfactants of the present invention may be represented by the following formula I or formula II:
  • R 1 and R 4 are each independently a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R 2 and R 3 are each independently a hydrogen atom or an alkyl chain having from 1 to 5 carbon atoms; and i, m, n, p, and q are each independently a number that ranges from 0 to 20.
  • the surfactants are commercially available from Air Products and Chemicals, Inc. of Allentown, Pa., the assignee of the present invention, under the trade names SURFYNOL® and DYNOL®.
  • the acetylenic diol portion of the molecule of formulas I or II is 2,4,5,9-tetramethyl-5-decyne-4,7-diol or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol.
  • the acetylenic diol derived surfactants may be prepared in a number of ways including the methods described, for example, in U.S. Pat. No. 6,313,182 and EP 1115035A1 which are assigned to the assignee of the present invention and incorporated herein by reference in their entirety.
  • the alkylene oxide moieties represented by (OC 2 H 4 ) are the (n+m) polymerized ethylene oxide (EO) molar units and the moieties represented by (OC 3 H 6 ) are the (p+q) polymerized propylene oxide (PO) molar units.
  • the value of (n+m) may range from 0 to 30, preferably from 1.3 to 15, and more preferably from 1.3 to 10.
  • the value of (p+q) may range from 0 to 30, preferably from 1 to 10, and more preferably from 1 to 2.
  • the process solution contains from 10 to 10,000 ppm of at least one surfactant represented by the following formulas (III) through (X):
  • R, R 1 , R 4 , and R 12 are each independently a straight, a branched, or a cyclic alkyl group from 2 to 25 or from 3 to 10 carbon atoms;
  • R 2 and R 3 are each independently a hydrogen atom or a straight, a branched, or a cyclic alkyl group having from 1 to 10, or from 1 to 5 carbon atoms;
  • R 5 is a straight, a branched, or a cyclic alkyl group with 1 to 10 carbon atoms;
  • R 6 is a straight or branched alkyl group with 4 to 16 carbon atoms;
  • R 7 , R 8 and R 9 are each independently a straight, a branched, or a cyclic alkyl group having from 1 to 6 carbon atoms;
  • R 10 is independently H or a group represented by the formula
  • R 11 is a straight, a branched, or a cyclic alkyl group having from 4 to 22 carbon atoms;
  • W is a hydrogen atom or an alkynyl group;
  • X and Y are either a hydrogen atom or a hydroxyl group;
  • Z is either a halide atom, a hydroxyl group, an acetate group, or a carboxylate group;
  • i, m, n, p, q are each independently a number ranging from 0 to 20;
  • r and s are each independently 2 or 3;
  • t is a number ranging from 0 to 2;
  • j is a number ranging from 1 to 5; and
  • x is a number ranging from 1 to 6.
  • Formula III surfactants include, but are not limited to, 3,5-dimethyl-1-hexyn-3-ol and 2,6-dimethyl-4-heptanol.
  • An example of a Formula IVa surfactant includes, but is not limited to, N,N′-bis(1,3-dimethylbutyl)ethylene diamine.
  • An example of a Formula V surfactant includes, but is not limited to, diisopentyl tartrate.
  • An example of a Formula VI surfactant includes, but is not limited to, dodecyltrimethylammonium chloride.
  • An example of a Formula VII surfactant includes, but is not limited to, 2,4,7,9-tetramethyl-4,7-decane diol.
  • Formula VIII surfactant includes, but is not limited to, an adduct of diethylenetriamine and n-butyl glycidyl ether.
  • Formula IXa, IXb, or IXc surfactants are primary, secondary, or tertiary alkyl amines.
  • An example of a Formula IXa surfactant includes, but is not limited to, octylamine.
  • Formula Xa, Xb, Xc, or Xd surfactants are alkyl amine ethoxylates.
  • the process solution may optionally contain a dispersant.
  • the amount of dispersant that is added to the process solution ranges from about 10 to about 10,000 ppm, preferably about 10 to about 5,000 ppm, and more preferably from about 10 to about 1,000 ppm.
  • the term dispersant describes compounds that enhance the dispersion of particles such as dust, processing residue, hydrocarbons, metal oxides, pigment or other contaminants within the process solution.
  • Dispersants suitable for the present invention preferably have a number average molecular weight that ranges from about 10 to about 10,000.
  • the dispersant may be an ionic or a nonionic compound.
  • the ionic or nonionic compound may further comprise a copolymer, an oligomer, or a surfactant, alone or in combination.
  • copolymer as used herein, relates to a polymer compound consisting of more than one polymeric compound such as block, star, or grafted copolymers.
  • nonionic copolymer dispersant include polymeric compounds such as the tri-block EO-PO-EO co-polymers PLURONIC® L121, L123, L31, L81, L101 and P123 (BASF, Inc.).
  • oligomer as used herein, relates to a polymer compound consisting of only a few monomer units.
  • examples of ionic oligomer dispersants include SMA® 1440 and 2625 oligomers (Elf Alfochem).
  • the dispersant may comprise a surfactant.
  • the surfactant may be ionic (i.e., anionic, cationic) or nonionic.
  • surfactants include silicone surfactants, poly(alkylene oxide) surfactants, and fluorochemical surfactants.
  • Suitable non-ionic surfactants for use in the process solution include, but are not limited to, octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-102, X-45, X-15 and alcohol ethoxylates such as BRIJ® 56 (C 16 H 33 (OCH 2 CH 2 ) 10 OH) (ICI), BRIJ® 58 (C 16 H 33 (OCH 2 CH 2 ) 20 OH) (ICI).
  • Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucamides, polyethylene glycols, poly(ethylene glycol-co-propylene glycol), or other surfactants provided in the reference McCutcheon's Emulsifiers and Detergents , North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J.
  • additives may be optionally added to the process solution depending upon the application.
  • additives may include, but are not limited to, stabilizers, dissolving aids, colorants, wetting agents, antifoamers, buffering agents, and other additional surfactants.
  • the amount of each of these additives would be about 0.0001 to 1 percent by weight, more preferably 0.0001 to 0.1 percent by weight, based upon the total weight of the process solution.
  • the surfactant may be any of the surfactants disclosed herein or provided in the reference McCutcheon's Emulsifiers and Detergents.
  • the process solution of the present invention may be used as a non-aqueous photoresist.
  • the process solution preferably comprises from 60 to 90, preferably from 70 to 90 weight percent non-aqueous solvent; from 5 to 40 weight percent, preferably from 10 to 20 weight percent resist polymer; from 0.5 to about 2 weight percent of a photoactive compound; 10 to 10,000 ppm of at least one formula I through X surfactant; and less than 1 weight percent of other additives such as polymerization inhibitors, dyes, plasticizers, viscosity control agents, and the like.
  • the viscosity of the photoresist can be adjusted by varying the polymer to solvent ratio, thus allowing resists to be formulated for coating a variety of film thickness.
  • Non-aqueous solvents within the photoresist process solution include any of the solvents contained herein.
  • Non-limiting examples of a resist polymer include novolac resin or polyvinyl phenol copolymer.
  • Non-limiting examples of a photoactive compounds include diazonaphthoquinone or photo acid generators (PAG).
  • the process solution of the present invention may also be used as a non-aqueous edge bead remover.
  • Edge bead removers may be applied prior to baking the patterned photoresist layer to cross-link the polymer therein or prior to lithography.
  • the process solution preferably comprises from 99 to 100 weight percent non-aqueous solvent; 10 to 10,000 ppm of at least one formula I through X surfactant; and less than 1 weight percent of other additives.
  • suitable non-aqueous solvents within the edge bead remover process solution include any of the solvents contained herein.
  • the solvent may be PGMEA, ethyl lactate, or anisole.
  • the process solution of the present invention may also be used as an anti-reflective coating for the top or bottom surface of the substrate.
  • the process solution preferably comprises from 60 to 99 weight percent non-aqueous solvent; from 1 to 40 weight percent, preferably 1 to 20 weight percent of a polymer; from 10 to 10,000 ppm of at least one formula I through X surfactant; and less than 1 weight percent of other additives such as crosslinker(s), surfactant(s), dye compounds, and the like.
  • the solids content of the process solution may vary from about 0.5 to about 40, preferably 0.5 to about 20, and more preferably 2 to 10 weight percent of the total weight of the process solution.
  • suitable non-aqueous solvents within the ARC process solution include any of the solvents contained herein.
  • the solvent may be PGMEA or ethyl lactate.
  • suitable polymers within the ARC process solution include, but are not limited to, acrylate polymers or phenyl-containing polymers such as those disclosed in U.S. Pat. No. 6,410,209 and spin-on-glass materials such as the methylsiloxane, methylsilsesquioxane, and silicate polymers such as those disclosed in U.S. Pat. Nos. 6,268,457 and 6,365,765.
  • the process solution of the present invention may be used in wafer cleaning methods, such as RCA-type cleaning, performed after the development step.
  • the substrate may be treated with the process solution after the stripping, CMP, ash cleaning, and/or etching steps have been completed.
  • the process solution comprises a base such as an amine and/or ammonium hydroxide, alkylammonium hydroxide; an oxidizing agent such as H 2 O 2 ; optionally a chelating agent; from 10 to 10,000 ppm of at least one formula I through X surfactant; in an aqueous solvent or water.
  • chelating agents are the following organic acids and its isomers and salts: (ethylenedinitrilo)tetraacetic acid (EDTA), butylenediaminetetraacetic acid, cyclohexane-1,2-diaminetetraacetic acid (CyDTA), diethylenetriaminepentaacetic acid (DETPA), ethylenediaminetetrapropionic acid, ethylenediaminetetrapropionic acid, (hydroxyethyl)ethylenediaminetriacetic acid (HEDTA), N,N,N′,N′-ethylenediaminetetra(methylenephosphonic) acid (EDTMP), citric acid, tartaric acid, phtalic acid, gluconic acid, saccharic acid, cathechol, gallic acid, pyrogallol, propyl gallate, and cysteine.
  • EDTA ethylenedinitrilo)tetraacetic acid
  • CyDTA cyclohexane-1,2-di
  • the process solution comprises dilute HF; from 10 to 10,000 ppm of at least one formula I through X surfactant; and water.
  • the process solution comprises an acid such as sulfuric acid or HCl and an oxidizing agent such as H 2 O 2 wherein the ratio of the acid to the oxidizing agent is 1:1; optionally a chelating agent; from 10 to 10,000 ppm of at least one formula I through X surfactant; and an aqueous solvent or water.
  • the process solution comprises an aqueous solvent such as electrolytic ionized water and from 10 to 10,000 ppm of at least one formula I through X surfactant.
  • the process solution comprises UV/ozone; from 10 to 10,000 ppm of at least one formula I through X surfactant; and water.
  • the process solution may be used for either megasonic or regular cleaning such as spray application.
  • the process solution of the present invention may be prepared by mixing the at least one formula I through X surfactant with an aqueous and/or non-aqueous solvents and any additional additives.
  • the mixing may be done at a temperature range of about 40 to 60° C. to affect dissolution of the ingredients contained therein.
  • the resulting process solution may optionally be filtered to remove any undissolved particles that could potentially harm the substrate.
  • Suitable substrates include, but are not limited to, materials such as gallium arsenide (“GaAs”), silicon, tantalum, copper, ceramics, aluminum/copper alloys, polyimides, and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO 2 ”), silicon nitride, doped silicon dioxide, and the like.
  • GaAs gallium arsenide
  • silicon silicon
  • tantalum copper
  • ceramics aluminum/copper alloys
  • polyimides and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO 2 ”), silicon nitride, doped silicon dioxide, and the like.
  • Further exemplary substrates include silicon, aluminum, or polymeric resins.
  • the process solution is applied to a substrate having a photoresist coating applied thereto.
  • the photoresist-coated substrate is then exposed to radiation to provide a pattern that is imposed upon the photoresist coating.
  • radiation sources include ultraviolet (uv) light, electron beam, x-ray, laser, or ion beams.
  • a pre-bake or soft-bake step may be conducted prior to the exposure step to remove any solvents contained therein. This pre-bake or soft bake step may be conducted, for example, at a temperature ranging from 90° C. to 150° C. for a time of from 30 to 120 seconds on a hot plate.
  • an alkaline developer solution such as a process solution containing tetramethylammonium hydroxide (TMAH), potassium hydroxide, sodium hydroxide, or other base.
  • TMAH tetramethylammonium hydroxide
  • developer solutions include those provided in U.S. Pat. Nos. 6,455,234; 6,268,115; 6,238,849; 6,127,101; and 6,120,978.
  • TMAH tetramethylammonium hydroxide
  • the process solution of the present invention may be suitable to treat substrates having either positive or negative photoresist coatings.
  • the patterned photoresist image may be developed by a variety of different means, including by not limited to quiescence, immersion, spray, or puddle development.
  • quiescence for instance, a developer solution is applied to the exposed substrate surface and after a period of time sufficient to develop the pattern, a rinse is then applied to the substrate surface. Development time and temperatures will vary depending upon the method used.
  • the substrate is baked to harden the polymer contained within the photoresist.
  • the bake step may be conducted, for example, at a temperature ranging from 70° C. to 150° C. for a time duration of from 30 to 120 seconds.
  • the process solution is preferably applied to the surface of the substrate as a prepared solution.
  • the process solution can be prepared within the rinse stream just prior to or during contact with the substrate surface.
  • a certain quantity of one or more formula I through IX surfactants can be injected into a continuous stream of water and/or non-aqueous solvent medium that optionally includes other additives thereby forming the process solution.
  • a portion of the at least one formula I through X surfactant may be added to the substrate after application of the process solution.
  • the process solution may be formed in multiple steps during the processing of the substrate.
  • the at least one formula I through X surfactant can be also deposited upon or comprise the material of a high surface area device such as a cartridge or filter (which may or may not include other additives).
  • a stream of water and/or non-aqueous solvent then passes through the cartridge or filter thereby forming the process solution.
  • the process solution is prepared during the contacting step.
  • at least one formula I through X surfactant is introduced via a dropper or other means to the surface of the substrate. Water and/or non-aqueous solvent medium is then introduced to the surface of the substrate and mixes with the at least one formula I through X surfactant on the surface of the substrate thereby forming the process solution.
  • a concentrated composition comprising at least one formula I through X surfactant is provided that may be diluted in water and/or non-aqueous solvents to provide the process solution.
  • a concentrated composition of the invention, or “concentrate” allows one to dilute the concentrate to the desired strength and pH.
  • a concentrate also permits longer shelf life and easier shipping and storage of the product.
  • a variety of means can be employed in contacting the process solution with the substrate surface.
  • the actual conditions of the contacting step i.e., temperature, time, and the like
  • the contact step can be conducted in either a dynamic method such as, for example, a streamline process for applying the process solution over the surface of the substrate or in a static method such as, for example, a puddle rinse or immersing the substrate within a bath containing the process solution.
  • the process solution may also be sprayed onto the surface of the substrate in a dynamic method such as in a continuous process or sprayed onto the surface and allowed to remain there in a static method.
  • the contacting step is conducted in a static method.
  • the duration of the contacting step, or time of contact of the process solution to the substrate surface can vary from a fraction of a second to hundreds of seconds. Preferably, the duration can range from 1 to 200 seconds, preferably from 1 to 150 seconds, and more preferably from 1 to 40 seconds.
  • the temperature range for the contacting step can vary from 10 to 100° C. and more preferably from 10 to 40° C.
  • the process solution or concentrate be applied to a still-wet substrate surface.
  • the process solution is employed as a rinse solution after the development of the photoresist layer.
  • the photoresist-coated substrate is developed via a developer solution.
  • the process solution is applied to the substrate surface as a rinse in addition to, or in place of, a deionized water rinse.
  • the process solution may be applied in a dynamic manner or in a static manner such as by puddling it onto the surface of the substrate.
  • the substrate is spun slowly at a speed, for example, of 100 revolutions per minute (“rpm”) to distribute the process solution over the substrate surface.
  • rpm revolutions per minute
  • the substrate is spun slowly while the process solution is dispensed continuously on the substrate.
  • the substrate is allowed to rest for a brief period, for example, 15 seconds.
  • the rinsed wafer is then dried, for example, by spin drying at a higher rpm.
  • a method for selecting the process solution comprising at least one formula I through X surfactant that will minimize the number of pattern collapse defects for patterned, photoresist-coated substrates comprises determining the surface tension and the measuring the contact angle of a process solution containing from 10 to 10,000 ppm of the at least one surfactant.
  • the process solution is first applied to the surface of a sample photoresist-coated substrate.
  • the surface tension, preferably dynamic surface tension, of the process solution may be determined according to the maximum-bubble-pressure method as described herein.
  • the contact angle of the process solution which is the angle between the baseline of a droplet of process solution on the surface of the substrate and the tangent at the droplet base, is then measured.
  • a high-speed camera may be used to capture the spreading of the droplet at a speed of 2 frames per second for a 2 minute interval and the contact angle can be measured on the photographic image.
  • adhesion tension value a certain value referred to herein as an “adhesion tension value”.
  • Adhesion tension values of 30 or less indicate, preferably 25 or less, or more preferably 20 or less indicate that the process solution may be more effective in reducing the number of pattern collapse defects compared to deionized rinse solutions or process solutions containing other surfactants described in the prior art. If the adhesion tension value is acceptable (i.e., 30 or less), the process solution may then be used for a production lot.
  • the concentration of the formula I through X surfactant is determined by the smallest adhesion tension value calculated at different concentrations for each surfactant.
  • the process solution reduced the number of pattern collapse defects by 25% or greater, preferably 50% or greater, and more preferably 75% or greater relative to a deionized water rinse for patterned and developed photoresist coated substrates having an aspect ratio of 3.0 or greater, and a pitch of 1:1.4 or greater, or a normalized aspect ratio of at least 0.015 1/nm.
  • the dynamic surface tension (DST) data for each process solution was collected via the maximum bubble pressure method described in Langmuir 1986, 2, pp. 428-432.
  • the data was collected at bubble rates that range from 0.1 bubbles/second (b/s) to 20 b/s using the Kruss BP3 bubble pressure tensiometer manufactured by Kruss, Inc. of Charlotte, N.C.
  • the molar units of EO and PO for each example and dynamic surface tension data is provided in Table I.
  • the dynamic surface tension data provides information about the performance of a surfactant at conditions from near-equilibrium (0.1 b/s) to relatively high surface creation rates (20 b/s).
  • high bubble rates may correspond to a faster substrate rotation speed or a dynamic dispense in a post-development rinse process. It is desirable that the dynamic surface tension by reduced below that of water at high bubble rates (i.e., 70-72 dyne/cm at 20 b/s) to provide, inter alia, better wetting of the photoresist-coated substrate, reduction in the number of defects, and prevention of pattern collapse.
  • Table I illustrates, all of the process solutions exhibited dynamic surface tensions at high bubble rates below that of water. This indicates that the process solutions of the present invention may be effective at reducing the surface tension of water.
  • Foaming is an undesirable side effect of surfactants in rinse solution.
  • the foaming properties of examples 5 through 7 were examined using a procedure based upon ASTM D 1173-53, the Ross-Miles test method, and the results are provided in Table II.
  • a 200 ml quantity of each process solution is added from an elevated foam pipette to a foam receiver containing the 50 ml of the same solution at room temperature.
  • the Ross-Miles method stimulates the action of pouring a liquid into a cylindrical vessel containing the same liquid.
  • the results are given in Table II.
  • the foam height is measured at the completion of the addition (“Initial Foam Height”) and the time required for the foam to dissipate is recorded (“Time to 0 Foam”).
  • foam may be undesirable because it may lead to defects due to the failure to adequately coat the surface of the substrate.
  • the time to reach zero foam is approximately one minute or less.
  • Example 5 The process solution of Example 5 was also compared to process solutions containing 0.1 weight percent of a fluorosurfactant (perfluoroalkyl ethoxylate) and an ionic surfactant (sodium lauryl sulfate) using the Ross-Miles test.
  • a fluorosurfactant perfluoroalkyl ethoxylate
  • an ionic surfactant sodium lauryl sulfate
  • the wetting properties of a localized region on the surface of a photoresist-coated substrate are estimated by measuring the contact angle between the baseline of a droplet of aqueous developer solution and the tangent at the droplet base.
  • a high-speed camera captured the spreading of the droplet at a speed of 2 frames per second for 2 minutes and the contact angle was measured.
  • Silicon wafers provided by Wafernet Inc. of San Jose, Calif. were coated with a AX 4318 photoresist coating provided by Sumitomo Chemical Co., Ltd. of Osaka, Japan using a spin coating process at a spin speed of 3200 rpm.
  • the contact angle of the process solution on the photoresist surface was measured.
  • Table IV provides the value of the contact angle for the process solutions and DI water (comparative example 1) at different drop ages expressed in seconds.
  • contact angles of about 20° or below may indicate complete wetting of the substrate surface.
  • Table IV illustrates, the contact angles of TMAH developer on the photoresist-coated substrate that were treated with the process solutions of the present invention are smaller than the contact angle of the photoresist treated with DI water. Further, higher amounts of surfactant within the process solution may lead to more surfactant adsorption and improved wetting.
  • the number of post-development defects on a substrate was compared after treating the substrate with a rinse of DI water (comparative example 2) vs. a rinse containing the process solution of the present invention (example 10).
  • the process solution contained 50 ppm of a 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol-derived surfactant and 170 ppm of the oligomer dispersant SMA® 1440 provided by Elf Alfochem.
  • the substrate was processed in the following manner: a photoresist-coated substrate was exposed to a 365 nm light, heated to a temperature of approximately 110° C.
  • TMAH solution was applied by dynamically dispensing a 0.21N TMAH solution onto the substrate for a period of 100 seconds.
  • a rinse containing DI water started 15 seconds before the developer nozzle was turned off and continued for a period of 7 minutes.
  • the substrate was inspected for defects using the TereStar® KLA-Tencor defect inspection tool provided by KLA-Tencor Inc. of San Jose, Calif. and the defects were classified and counted. The results of the inspection are provided in Table V.
  • the substrate was processed in the same manner as in comparative example 2 using the same developer and process conditions. However, after 100 seconds of developing, a process solution comprising an acetylenic diol surfactant (example 10) was used to rinse the patterned photoresist-coated surface. The overlapping period with the developer was the same as in comparative example 2. After a 120 second rinse with the process solution, a DI water rinse was used for another 7 minutes. The substrate was inspected for defects using the TereStar® KLA-Tencor defect inspection tool and the defects were classified and counted. The results of the inspection are provided in Table VI.
  • Table VI illustrates, the process solution of the present invention was able to completely remove the photoresist residues from the patterned photoresist surface.
  • Table V shows that were many defects resulting from residual photoresist and other sources after rinsing with DI water. Therefore, rinsing the substrate with the process solution of the present invention effectively eliminated the number of post-development defects and improved the process yield.
  • the significantly lower DST indicates that the fluorosurfactant exhibits poor dynamic surface tension reduction ability.
  • the process solution of the present invention would be more suitable than solutions containing fluorosurfactants due to its lower DST value.
  • Example 12 contained 3,5-dimethyl-1-hexyn-3-ol (Formula III).
  • Example 13 contained 2,6-dimethyl-4-heptanol provided by Aldrich (Formula IVa).
  • Example 14 contained N,N′-bis(1,3-dimethylbutyl)ethylenediamine (Formula V).
  • Example 15 contained diisopentyl tartrate (Formula III).
  • Example 16 contained dodecyltrimethylammonium chloride (Formula IVa).
  • Example 17 contained 2,4,7,9-tetramethyl-4,7-decane diol (Formula V).
  • Example 18 contained 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol-derived surfactant (Formula II).
  • the dynamic surface tension (DST) data for each process solution was collected via the maximum bubble pressure method described in Langmuir 1986, 2, pp. 428-432.
  • the data was collected at bubble rates that range from 0.1 bubbles/second (b/s) to 20 b/s using the Kruss BP3 bubble pressure tensiometer manufactured by Kruss, Inc. of Charlotte, N.C.
  • the surface tension values at 0.1 bubbles/second for each process solution are provided in Table VIII.
  • Silicon wafers provided by Wafernet Inc. of San Jose, Calif. were coated with 300 nm thick TOK 6063 193 nm photoresist coating provided by Tokyo Ohka Kogyo Co., Ltd. of Tokyo, Japan.
  • the contact angle of the process solution on the photoresist surface was measured on the G10/DSA10 Kruss drop shape analyzer provided by Kruss USA of Charlotte, N.C. using the Sessile drop method. Table VIII provides the contact angle for each process solution measured at a drop age of 10 seconds.
  • the adhesion tension values for each process solution was calculated by multiplying the surface tension and the cosine of the contact angle. The results of this calculation are provided in Table VIII. As Table VIII illustrates, all of the process solutions have an adhesion tension value below 25. Examples 13, 14, 16, 19, 20, and 21 each had an adhesion value below 20. This indicates that these process solutions may reduce the number of pattern collapse defects to a greater degree than a process solution having one or more surfactants with a higher adhesion tension value.
  • Example 12, 14, and 17 process solutions were prepared by adding 0.9 weight % of 3,5-dimethyl-t-hexyn-3-ol, 0.095 weight % of N,N′-bis(1,3-dimethylbutyl)ethylenediamine, and 0.05 weight percent of 2,4,7,9-tetramethyl-4,7-decane diol, respectively, to deionized water under continuous stirring.
  • a substrate was processed in the following manner: a silicon wafer provided by Wafernet, Inc. and coated with an anti-reflective coating was coated with a TOK 6063 193 nm photoresist and exposed to a 193 nm light with a ASML PAS 5500/1100 scanner, heated to a temperature of approximately 115° C.
  • TMAH developer solution was applied by dynamically dispensing a 0.26N TMAH solution onto the substrate and allowed to set for a period of 45 seconds.
  • the process solution was then dynamically dispensed onto the substrate surface while the wafer substrate slowly spun at 500 rpm to distribute the solution on the substrate surface. The dispense process lasted for a period of 15 seconds. Afterwards, the substrate was spun at 3,500 rpm to dry.
  • a deionized water rinse solution was applied the substrate surface after the development of the patterned photoresist coating with a TMAH developer solution under the same process conditions as the Example 12, 14, and 17 process solutions.
  • FIGS. 1a and 1b provide cross-sectional SEM images of 80 nm dense lines with 1:1 pitch using a deionized water rinse and a rinse employing the Example 14 process solution, respectively.
  • employing the process solution of the present invention as a post-development rinse solution in addition to or in lieu of deionized water minimizes or reduces the incidence of pattern collapse and preserves line definition.
  • CD critical dimensions
  • the process solutions of the present invention reduced the collapsed sites by at least half while increasing the aspect ratio from 3 to 3.3. Therefore, rinsing the substrate with the process solution of the present invention rather than with deionized water effectively reduced the pattern collapse when patterning high aspect ratio features.
  • a substrate was processed in the following manner: a silicon wafer provided by Wafernet, Inc. and having an anti-reflective coating deposited thereupon was coated with a TOK 6063 193 nm photoresist.
  • the coated wafer was exposed to a 193 nm light with a ASML PAS 5500/1100 scanner, heated to a temperature of approximately 115° C. for a time of about 1 minute, and then developed to form a patterned photoresist with a dilute TMAH solution.
  • the TMAH developer solution was applied by dynamically dispensing a 0.26N TMAH solution onto the substrate and allowed to set for a period of 45 seconds. After 15 seconds of a DI water rinse, the substrate was spun at 3,500 rpm to dry. The wafer was then cleaved into smaller pieces, immersed into either deionized water, Example 22 process solution, or the Example 23 process solution for 15 seconds and then dried.
  • Cross-sectional SEM pictures showing 100 nm 1:1 dense lines were taken before and after the treatment process.
  • FIGS. 2a through 2c provide cross-sectional SEM images of the wafers.
  • FIG. 2a shows that the patterned resist features of substrates treated with DI water alone exhibited rough standing waves.
  • process solutions 22 or 23 such as the substrates shown in FIGS. 2b and 2c, respectively, the patterned resist features are much smoother and the standing wave is eliminated.
  • Exemplary process solutions 24 and 25 were prepared by adding 0.12 weight of N,N′ bis(1,3-demethylbutyl)ethylenediamine (Formula V surfactant) and 5 weight % of the non-aqueous solvent ethanol and methanol, respectively to deionized water under continuous stirring.
  • An additional process solution Example 14 was prepared as previously described by adding N,N′ bis(1,3-demethylbutyl)ethylenediamine (Formula V surfactant) to deionized water alone.
  • a substrate was processed in the following manner: a silicon oxynitride wafer was coated with a 193 nm photoresist. The coated wafer was exposed to a 193 nm light with a ASML PAS 5500/950 scanner, heated to a temperature of approximately 115° C. for a time of about 1 minute, and then developed to form a patterned photoresist with a dilute TMAH.
  • the TMAH developer solution was applied by dynamically dispensing a 0.26N TMAH solution onto the substrate and allowed to set for a period of 45 seconds. The process solution was then dynamically dispensed onto the substrate surface while the wafer substrate spun slowly at 500 rpm to distribute the solution on the substrate surface. The wafer was then still and puddle underneath the process solution for 5 seconds. Afterwards, the substrate was spun at 3,500 rpm to dry.
  • Table X also provides the maximum energy dose applied to the wafer without causing the collapse of patterned resist features and the corresponding minimum CD as well as the maximum aspect ratio.

Abstract

Process solutions comprising one or more surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain embodiments, the process solution may reduce post-development defects such as pattern collapse or line width roughness when employed as a rinse solution either during or after the development of the patterned photoresist layer. Also disclosed is a method for reducing the number of defects such as pattern collapse and/or line width roughness on a plurality of photoresist coated substrates employing the process solution of the present invention.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 11/940,374, filed on Nov. 15, 2007, which is a divisional of U.S. patent application Ser. No. 10/804,513, filed on Mar. 19, 2004, which is a continuation-in-part of U.S. patent application Ser. Nos. 10/218,087, filed 12 Aug. 2002, 10/339,709, filed 9 Jan. 2003, and 10/616,662 filed 10 Jul. 2003, the disclosures of which are incorporated herein by reference in their entirety.
  • BACKGROUND OF THE INVENTION
  • The present invention relates generally to methods for the manufacture of semiconductor devices. More specifically, the present invention relates to a method for reducing defects, particularly pattern collapse and photoresist line roughness, in semiconductor devices incurred during the manufacturing process without sacrificing throughput.
  • Defects are a major limiting factor for production yield and device function, particularly when the device sizes are reduced and wafer sizes are enlarged to 300 mm. The term “defects”, as used herein, relates to defects that may reduce the yield, or cause the loss, of the semiconductor device such as the collapse of the photoresist pattern on the substrate surface; roughness in the photoresist lines such as “line width roughness” or “line edge roughness”, particulates introduced onto the substrate resulting from processing such as lithography, etching, stripping, and chemical mechanical planarization (CMP) residues; particulates either indigenous to or resulting from manufacturing processes; pattern imperfections such as closed or partially open or blocked contacts or vias; line width variations; and defects resulting from poor adhesion of the resist to the substrate surface.
  • The drive to reduce defects—thereby improving yield—presents new challenges to the manufacturing steps within the production of the semiconductor device, namely, the lithography, etching, stripping, and chemical-mechanical planarization (CMP) processes. The lithography process generally involves coating a substrate with a positive or negative photoresist, exposing the substrate to a radiation source to provide an image, and developing the substrate to form a patterned photoresist layer on the substrate. This patterned layer acts as a mask for subsequent substrate patterning processes such as etching, doping, and/or coating with metals, other semiconductor materials, or insulating materials. The etching process generally involves removing the surface of the substrate that is not protected by the patterned photoresist using a chemical or plasma etchant thereby exposing the underlying surface for further processing. The stripping process generally involves removing the cross-linked, photoresist pattern from the substrate via wet stripping or oxygen plasma ashing. The CMP process generally involves polishing the surface of the substrate to maintain flatness during processing. All of the aforementioned processes typically employ a rinse step to remove any particulate material that is generated from, or is a by-product of, these processes.
  • Pattern collapse is becoming an emerging problem in the production of semiconductor devices due to the higher aspect ratios in the new generation of devices. The thickness and aspect ratio of the patterned photoresist layer are important parameters for subsequent etch steps after lithography. At the 130 nm node, the aspect ratio for a photoresist layer having a 500 nm thickness may reach the value of 4. This value may be the point where the capillary force of the developer and/or rinse solution may lead to the collapse of the patterned photoresist layer. Besides capillary forces, the pattern collapse problem may be further influenced by other factors such as the mechanical strength of the resist, application of other coatings, i.e., anti-reflective coatings (ARC), and the nozzle type, position, and centrifugal forces during spin-on application of the photoresist layer.
  • A main contributor for pattern collapse is the capillary force of water during the post-development drying stage, see Tanaka, T., et al., “Mechanism of Resist Pattern Collapsed During Developer Process”, Jpn. J. Appl. Phys., Vol. 32, 1993, pp. 6059-64. Reducing or eliminating the surface tension of the rinse liquid after pattern development may be used to reduce the capillary force that is exerted on the patterned photoresist layer. Two common approaches, to reduce or eliminate the surface tension of the rinse liquid, may be to freeze-dry the patterned photoresist features or employ supercritical fluids to dry the patterned photoresist layer after development. Both of these approaches may require extra manufacturing steps and special equipment that are not commonly used in semiconductor device fabrication.
  • A more common approach to reduce the surface tension may be to add a surfactant to the rinse liquid. The ability to reduce the surface tension of water at the air and liquid interface is of great importance in a variety of applications because decreased surface tension generally relates to increased wetting of water on the substrate surface. Surface tension reduction in water-based systems is generally achieved through the addition of surfactants. Equilibrium surface tension performance is important when the system is at rest, though the ability to reduce surface tension under dynamic conditions is of great importance in applications where high surface creation rates are used, i.e., spin coating, rolling, spray coating, and the like. Dynamic surface tension provides a measure of the ability of the solution to lower surface tension and provide wetting under high speed application conditions. Further, in certain applications such as during spray application, it is advantageous that the surfactant reduces the surface tension of the formulation in a manner that minimizes the problem of bubble generation and foaming. Foaming and bubble generation may lead to defects. Consequently, considerable efforts have been made in the semiconductor industry towards solving the foaming problem.
  • Japanese patent JP 95142349A describes adding a fluorine-based surfactant such as ammonium perfluoroalkylsulfonate or perfluoroalkyl ethoxylate to the developer solution or rinse liquid.
  • U.S. Pat. No. 6,152,148 describes adding a surfactant such as a fluorosurfactant and a tetra alkyl quarternary ammonium hydroxide compound to an aqueous solution used to clean semiconductor wafers having a poly(arylene ether) dielectric film coating after CMP.
  • The article, Domke, W. D et al., “Pattern Collapse in High Aspect Ratio DUV- and 193 nm Resists”, Proc. SPIE-Int. Soc. Opt. Eng. 3999, 313-321, 2000 (“Domke”), describes adding surfactants to the developer solution to reduce the possibility of pattern collapse of acrylic and cycloolefin-maleic anhydride resists. The “surfactant” added to developer solution was the solvent, isopropyl alcohol. According to Domke, the addition of the “surfactant” in the developer solution did not have a consistent effect on pattern collapse.
  • PCT application WO 02/23598 describes adding the surfactant ammonium lauryl sulfate into the deionized (DI) water rinse and developer and applying them to a patterned photoresist to minimize or eliminate post-development defects.
  • Japanese Patent Application JP 96008163A describes adding hot water, an organic solvent, and a surfactant to a post-development rinse to prevent pattern collapse. No specific surfactants were mentioned.
  • PCT application 87/03387 describes protecting photoresist images against distortion or degradation by heat generated during etching and other processes by applying a thermally stabilizing, protective film to the substrate prior to the post-development bake of the image. Materials used for the film includes fluorocarbon surfactants, film forming polymers, chromium sulfate, trichloroacetic acid, chromotropic acid, and salts thereof.
  • The article, Cheung, C. et al., “A Study of a Single Closed Contact for 0.18 micron Photolithography Process” Proc. SPIE-Int. Soc. Opt. Eng. 3998, 738-741, 2000 (“Cheung”), discloses the use of surfactants such as octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-102, X-45, and X-15, in the rinse solution to eliminate the photoresist residue and single closed contact defects. According to Cheung, the use of surfactant in the rinse solution did not provide much success.
  • U.S. Pat. No. 5,977,041 describes a post-stripping, aqueous rinse solution that includes water, a water soluble organic acid, and a water soluble surface-active agent. The surface-active agents include oligo(ethylene oxide) compounds having at least one acetylenic alcohol group.
  • WO 00/03306 describes a stripper composition that comprises an admixture of a solvent and a surfactant wherein the amount of solvent ranges from about 50 to about 99.9 weight percent of the total composition and the amount of surfactant ranges from about 0.1 to about 30 weight percent of the total composition.
  • U.S. Patent Application No. 2002/0115022 describes a developer and a rinse solution that each contains an anionic surfactant such as ammonium perfluoralkyl sulfonate or ammonium perfluoralkyl carboxylate. These solutions are applied in a consecutive sequence to reduce pattern collapse.
  • The article “Collapse Behavior of Single Layer 193 and 157 nm Resists: Use of Surfactants in the Rinse to Realize the Sub 130 nm Nodes: Hien et al., Advances in Resist Tech. And Processing XIX, Proceedings of SPIE, Vol. 4690 (2002), pp. 254-261 (“Hien”), applying a rinse solution of 0.10% of a fluorosurfactant and water to a substrate after development to reduce pattern collapse. According to Hein, some of the fluorosurfactants used worsened the collapse behavior.
  • Yet another emerging problem in the production of semiconductor devices is photoresist roughness such as in one edge of a single photoresist line which is referred to herein as line edge roughness (LER), or both edges of photoresist line which is referred to herein as line width roughness (LWR). Line width roughness is typically measured by the variation of line width from its required critical dimension (“CD”). The 2003 International Technology Roadmap for Semiconductors requires that the LWR be within 8% of the CD. For example, the LWR, as measured by the 3a variation of line width, would be within 3 nm for the 90 nm technology node and within 2.0 for the 65 nm node. A variety of factors, that may contribute to photoresist line roughness, include, for example, photoresist formulation (i.e., molecular weight, molecular weight distribution, resist polymer structures, photo-acid generators) and process and tool-related factors (i.e., acid diffusion, developer percolation, shot noise, mask roughness, and the quality of the latent image profile). Previous attempts to reduce photoresist line roughness defects include modifying the photoresist formulation and adjusting the contrast of the latent image.
  • Although surfactants have been commonly used as a post-development rinse solution, these solutions may not be effective in reducing the surface tension under dynamic conditions. Further, these solutions may have the undesirable side effect of foam generation. Because of these issues, the rinse solution using typical surfactants used in the art may not be effective in reducing all of the defects, particularly pattern collapse defects, in the semiconductor device.
  • All references cited herein are incorporated herein by reference in their entirety.
  • BRIEF SUMMARY OF THE INVENTION
  • The present invention satisfies some, if not all, of the needs of the art by providing a process solution and methods for using same. Specifically, in one aspect of the present invention, there is provided a method for reducing defects in the manufacture of semiconductor devices. The method comprises: providing a substrate comprising a photoresist coating; exposing the substrate to a radiation source to form a pattern on the photoresist coating; applying a developer solution to the substrate to form a patterned photoresist coating; optionally rinsing the substrate with deionized water; and contacting the substrate with a process solution comprising a solvent and 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd):
  • Figure US20110171583A1-20110714-C00001
    Figure US20110171583A1-20110714-C00002
  • wherein R, R1, R4, and R12 are each independently a straight, a branched, or a cyclicalkyl group having from 2 to 250, or from 3 to 10 carbon atoms; R2 and R3 are each independently a hydrogen atom or an alkyl group having from 1 to 10 or from 1 to 5 carbon atoms; R5 is a straight, a branched, or a cyclic alkyl group having from 1 to 10 carbon atoms; R6 is a straight, a branched, or a cyclic alkyl group having from 4 to 16 carbon atoms; R7, R8, and R9 are each independently a straight, a branched, or a cyclic alkyl group having from 1 to 6 carbon atoms; R10 is independently H or a group represented by the following formula
  • Figure US20110171583A1-20110714-C00003
  • R11 is a straight, a branched, or a cyclic alkyl group having from 4 to 22 carbon atoms; W is a hydrogen atom or an alkynyl group; X and Y are each independently a hydrogen atom or a hydroxyl group; Z is a halide atom, a hydroxyl group, an acetate group, or a carboxylate group; i, m, n, p, and q are each independently a number that ranges from 0 to 20; r and are each independently 2 or 3; t is a number that ranges from 0 to 2; j is a number between 1 to 5; and x is a number that ranges from 1 to 6.
  • In yet a further aspect of the present invention, there is provided a method for avoiding a collapse of a developed pattern on the surface of a plurality of substrates and reducing photoresist line roughness comprising: providing a first substrate comprising a photoresist pattern developed upon the surface; preparing a process solution comprising from 10 ppm to about 10,000 of at least one surfactant having the formulas (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd) described herein; contacting the first substrate with the process solution; determining a surface tension and a contact angle of the process solution on the first substrate; multiplying the surface tension by the cosine of the contact angle to provide the adhesion tension value of the process solution; providing the plurality of substrates wherein each substrate within the plurality comprises a photoresist pattern developed upon the surface; and contacting the plurality of substrates with the process solution if the adhesion tension value of the process solution is 30 or below.
  • In yet a further aspect of the present invention, there is provided a process rinse solution to reduce pattern collapse defects on the surface of a substrate that has been patterned and developed comprising at least one carrier medium selected from the group consisting of an aqueous solvent or a non-aqueous solvent and at least one surfactant selected from the group of surfactants having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd) described herein.
  • These and other aspects of the invention will become apparent from the following detailed description.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1a provides a cross-sectional scanning electron micrograph (SEM) image of a 193 nm photoresist coated substrate having 80 nm dense lines, a 1:1 pitch, and a 3.75 aspect ratio that has been treated with a deionized water rinse.
  • FIG. 1b provides a cross-sectional SEM image of a 193 nm photoresist coated substrate having 80 nm dense lines, a 1:1 pitch, and a 3.75 aspect ratio that has been treated with a process solution of the present invention.
  • FIGS. 2a through 2c provide cross-sectional SEM images of a 193 nm photoresist coated substrate after treatment with deionized water; a process solution of the present invention containing a Formula V surfactant and Formula III surfactant; and a process solution of the present invention containing a Formula VIII surfactant, respectively.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention is directed to process solutions that are used to reduce the number of defects incurred during the manufacturing of the semiconductor device and methods of using same. It is believed that treatment of a substrate with the process solution having one or more surfactants—present in minor amounts—may provide at least one of following benefits: reduce post-development defects by improving the wetting of the solution on the surface of the patterned photoresist layer; reduce the capillary forces exerted on the patterned lines thereby contributing to pattern collapse defects; improve the photoresist line roughness regardless of the origin of the line roughness. Further, the process solution works more effectively in dynamic rinse situations with relatively minor foam generation compared to other surfactants presently used in the art.
  • The process solution of the present invention can be used in a variety of processes related to the manufacture of a semiconductor device such as for example, lithography process solutions, i.e., rinse, resist, edge bead remover, and anti-reflective coating (ARC) solutions; post-etching process solutions, i.e., sidewall film, stripper, post-strip/ash rinse solutions; wafer cleaning process solutions, i.e., additives to RCA or other standard cleaning solutions, super-critical CO2 cleaning solutions; and process solutions for critical cleaning or precision cleaning for aerospace applications. In certain preferred embodiments, the process solution of the present invention may be employed as a lithography rinse solution in addition to, or in place of, a deionized water rinse. The surfactant within the process solution may allow for the reduction of equilibrium and dynamic surface tension while minimizing foaming.
  • The process solution of the present invention may have as a carrier phase or medium an aqueous-based solvent and/or non-aqueous-based solvent. The term “aqueous” as used herein, describes a solvent or liquid dispersing medium, which comprises at least 80 weight percent, preferably 90 weight percent, and more preferably at least 95 weight percent water. The preferred aqueous-based solvent is deionized water. In embodiments wherein the process solution is aqueous-based, it is desirable that at least one formula I through X surfactant demonstrates a dynamic surface tension of less than 45 dynes/cm at a concentration of less than or equal to 5 weight percent in water at 23° C. and 1 bubble/second according to the maximum-bubble-pressure method of measuring surface tension described in Langmuir 1986, 2, 428-432, which is incorporated herein by reference in its entirety.
  • In embodiments where a non-aqueous solvent is used in addition to or in place of an aqueous solvent such as water, the non-aqueous solvent selected will not react with the at least one surfactant contained therein, other additives within the process solution, or the substrate itself. Suitable solvents include, but are not limited to, hydrocarbons (e.g. pentane or hexane); halocarbons (e.g. Freon 113); ethers (e.g. ethylether (Et2O), tetrahydrofuran (“THF”), ethylene glycol monomethyl ether, or 2-methoxyethyl ether (diglyme)); nitriles (e.g. CH3CN); or aromatic compounds (e.g. benzotrifluoride). Still further exemplary solvents include lactates, pyruvates, and diols. These solvents include, but are not limited to, acetone, 1,4-dioxane, 1,3-dioxolane, ethyl acetate, cyclohexanone, acetone, 1-methyl-2-pyrodidianone (NMP), and methyl ethyl ketone. Other solvents, include dimethylformamide, dimethylacetamide, N-methyl pyrrolidone, ethylene carbonate, propylene carbonate, glycerol and derivatives, naphthalene and substituted versions, acetic acid anyhydride, propionic acid and propionic acid anhydride, dimethyl sulfone, benzophenone, diphenyl sulfone, phenol, m-cresol, dimethyl sulfoxide, diphenyl ether, terphenyl, and the like. Still further solvents include propylene glycol propyl ether (PGPE), methanol, ethanol, 3-heptanol, 2-methyl-1-pentanol, 5-methyl-2-hexanol, 3-hexanol, 2-heptano, 2-hexanol, 2,3-dimethyl-3-pentanol, propylene glycol methyl ether acetate (PGMEA), ethylene glycol, isopropyl alcohol (IPA), n-butyl ether, propylene glycol n-butyl ether (PGBE), 1-butoxy-2-propanol, 2-methyl-3-pentanol, 2-methoxyethyl acetate, 2-butoxyethanol, 2-ethoxyethyl acetoacetate, 1-pentanol, and propylene glycol methyl ether. The non-aqueous solvents enumerated above may be used alone or in combination with two or more solvents.
  • In certain embodiments, the process solution may contain at least one non-aqueous solvent that is miscible in an aqueous solvent or is water-miscible. In these embodiments, the amount of non-aqueous solvent within the process solution may range from about 1 to about 50% by weight with the balance of the solvent within the process solution comprising an aqueous solvent. Examples of water-miscible non-aqueous solvents include methanol, ethanol, isopropyl alcohol, and THF.
  • The present solution comprises from 10 to 10,000 ppm of at least one surfactant represented by structural formulas I through X. Typical surfactants exhibit an amphiphilic nature, meaning that they can be both hydrophilic and hydrophobic at the same time. Amphiphillic surfactants possess a hydrophilic head group or groups, which have a strong affinity for water and a long hydrophobic tail, which is organophilic and repels water. The at least one formula I through X surfactant used in the present invention may be ionic (i.e., anionic, cationic) or nonionic.
  • In certain embodiments of the present invention, the process solution may contain one or more nonionic surfactants that are acetylenic diol derivatives. The surfactants of the present invention may be represented by the following formula I or formula II:
  • Figure US20110171583A1-20110714-C00004
  • wherein R1 and R4 are each independently a straight or a branched alkyl chain having from 3 to 10 carbon atoms; R2 and R3 are each independently a hydrogen atom or an alkyl chain having from 1 to 5 carbon atoms; and i, m, n, p, and q are each independently a number that ranges from 0 to 20. The surfactants are commercially available from Air Products and Chemicals, Inc. of Allentown, Pa., the assignee of the present invention, under the trade names SURFYNOL® and DYNOL®. In certain preferred embodiments, the acetylenic diol portion of the molecule of formulas I or II is 2,4,5,9-tetramethyl-5-decyne-4,7-diol or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol. The acetylenic diol derived surfactants may be prepared in a number of ways including the methods described, for example, in U.S. Pat. No. 6,313,182 and EP 1115035A1 which are assigned to the assignee of the present invention and incorporated herein by reference in their entirety.
  • In formula I and II, the alkylene oxide moieties represented by (OC2H4) are the (n+m) polymerized ethylene oxide (EO) molar units and the moieties represented by (OC3H6) are the (p+q) polymerized propylene oxide (PO) molar units. The value of (n+m) may range from 0 to 30, preferably from 1.3 to 15, and more preferably from 1.3 to 10. The value of (p+q) may range from 0 to 30, preferably from 1 to 10, and more preferably from 1 to 2.
  • In certain preferred embodiments of the present invention, the process solution contains from 10 to 10,000 ppm of at least one surfactant represented by the following formulas (III) through (X):
  • Figure US20110171583A1-20110714-C00005
    Figure US20110171583A1-20110714-C00006
  • In each of the above formulas, R, R1, R4, and R12 are each independently a straight, a branched, or a cyclic alkyl group from 2 to 25 or from 3 to 10 carbon atoms; R2 and R3 are each independently a hydrogen atom or a straight, a branched, or a cyclic alkyl group having from 1 to 10, or from 1 to 5 carbon atoms; R5 is a straight, a branched, or a cyclic alkyl group with 1 to 10 carbon atoms; R6 is a straight or branched alkyl group with 4 to 16 carbon atoms; R7, R8 and R9 are each independently a straight, a branched, or a cyclic alkyl group having from 1 to 6 carbon atoms; R10 is independently H or a group represented by the formula
  • Figure US20110171583A1-20110714-C00007
  • R11 is a straight, a branched, or a cyclic alkyl group having from 4 to 22 carbon atoms; W is a hydrogen atom or an alkynyl group; X and Y are either a hydrogen atom or a hydroxyl group; Z is either a halide atom, a hydroxyl group, an acetate group, or a carboxylate group; i, m, n, p, q are each independently a number ranging from 0 to 20; r and s are each independently 2 or 3; t is a number ranging from 0 to 2; j is a number ranging from 1 to 5; and x is a number ranging from 1 to 6. Examples of Formula III surfactants include, but are not limited to, 3,5-dimethyl-1-hexyn-3-ol and 2,6-dimethyl-4-heptanol. An example of a Formula IVa surfactant includes, but is not limited to, N,N′-bis(1,3-dimethylbutyl)ethylene diamine. An example of a Formula V surfactant includes, but is not limited to, diisopentyl tartrate. An example of a Formula VI surfactant includes, but is not limited to, dodecyltrimethylammonium chloride. An example of a Formula VII surfactant includes, but is not limited to, 2,4,7,9-tetramethyl-4,7-decane diol. An example of a Formula VIII surfactant includes, but is not limited to, an adduct of diethylenetriamine and n-butyl glycidyl ether. Formula IXa, IXb, or IXc surfactants are primary, secondary, or tertiary alkyl amines. An example of a Formula IXa surfactant includes, but is not limited to, octylamine. Formula Xa, Xb, Xc, or Xd surfactants are alkyl amine ethoxylates.
  • The process solution may optionally contain a dispersant. The amount of dispersant that is added to the process solution ranges from about 10 to about 10,000 ppm, preferably about 10 to about 5,000 ppm, and more preferably from about 10 to about 1,000 ppm. The term dispersant, as used herein, describes compounds that enhance the dispersion of particles such as dust, processing residue, hydrocarbons, metal oxides, pigment or other contaminants within the process solution. Dispersants suitable for the present invention preferably have a number average molecular weight that ranges from about 10 to about 10,000.
  • The dispersant may be an ionic or a nonionic compound. The ionic or nonionic compound may further comprise a copolymer, an oligomer, or a surfactant, alone or in combination. The term copolymer, as used herein, relates to a polymer compound consisting of more than one polymeric compound such as block, star, or grafted copolymers. Examples of a nonionic copolymer dispersant include polymeric compounds such as the tri-block EO-PO-EO co-polymers PLURONIC® L121, L123, L31, L81, L101 and P123 (BASF, Inc.). The term oligomer, as used herein, relates to a polymer compound consisting of only a few monomer units. Examples of ionic oligomer dispersants include SMA® 1440 and 2625 oligomers (Elf Alfochem).
  • Alternatively, the dispersant may comprise a surfactant. If the dispersant comprises a surfactant, the surfactant may be ionic (i.e., anionic, cationic) or nonionic. Further examples of surfactants include silicone surfactants, poly(alkylene oxide) surfactants, and fluorochemical surfactants. Suitable non-ionic surfactants for use in the process solution include, but are not limited to, octyl and nonyl phenol ethoxylates such as TRITON® X-114, X-102, X-45, X-15 and alcohol ethoxylates such as BRIJ® 56 (C16H33(OCH2CH2)10OH) (ICI), BRIJ® 58 (C16H33(OCH2CH2)20OH) (ICI). Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucamides, polyethylene glycols, poly(ethylene glycol-co-propylene glycol), or other surfactants provided in the reference McCutcheon's Emulsifiers and Detergents, North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J.
  • Various other additives may be optionally added to the process solution depending upon the application. These additives may include, but are not limited to, stabilizers, dissolving aids, colorants, wetting agents, antifoamers, buffering agents, and other additional surfactants. Generally, unless otherwise stated, the amount of each of these additives would be about 0.0001 to 1 percent by weight, more preferably 0.0001 to 0.1 percent by weight, based upon the total weight of the process solution. In embodiments where one or more additional surfactants are added to the process solution, the surfactant may be any of the surfactants disclosed herein or provided in the reference McCutcheon's Emulsifiers and Detergents.
  • In certain embodiments, the process solution of the present invention may be used as a non-aqueous photoresist. In this connection, the process solution preferably comprises from 60 to 90, preferably from 70 to 90 weight percent non-aqueous solvent; from 5 to 40 weight percent, preferably from 10 to 20 weight percent resist polymer; from 0.5 to about 2 weight percent of a photoactive compound; 10 to 10,000 ppm of at least one formula I through X surfactant; and less than 1 weight percent of other additives such as polymerization inhibitors, dyes, plasticizers, viscosity control agents, and the like. The viscosity of the photoresist can be adjusted by varying the polymer to solvent ratio, thus allowing resists to be formulated for coating a variety of film thickness. Examples of suitable non-aqueous solvents within the photoresist process solution include any of the solvents contained herein. Non-limiting examples of a resist polymer include novolac resin or polyvinyl phenol copolymer. Non-limiting examples of a photoactive compounds include diazonaphthoquinone or photo acid generators (PAG).
  • The process solution of the present invention may also be used as a non-aqueous edge bead remover. Edge bead removers may be applied prior to baking the patterned photoresist layer to cross-link the polymer therein or prior to lithography. In this embodiment, the process solution preferably comprises from 99 to 100 weight percent non-aqueous solvent; 10 to 10,000 ppm of at least one formula I through X surfactant; and less than 1 weight percent of other additives. Examples of suitable non-aqueous solvents within the edge bead remover process solution include any of the solvents contained herein. In certain preferred embodiments, the solvent may be PGMEA, ethyl lactate, or anisole.
  • The process solution of the present invention may also be used as an anti-reflective coating for the top or bottom surface of the substrate. In this embodiment, the process solution preferably comprises from 60 to 99 weight percent non-aqueous solvent; from 1 to 40 weight percent, preferably 1 to 20 weight percent of a polymer; from 10 to 10,000 ppm of at least one formula I through X surfactant; and less than 1 weight percent of other additives such as crosslinker(s), surfactant(s), dye compounds, and the like. In general, the solids content of the process solution may vary from about 0.5 to about 40, preferably 0.5 to about 20, and more preferably 2 to 10 weight percent of the total weight of the process solution. Examples of suitable non-aqueous solvents within the ARC process solution include any of the solvents contained herein. In certain preferred embodiments, the solvent may be PGMEA or ethyl lactate. Examples of suitable polymers within the ARC process solution include, but are not limited to, acrylate polymers or phenyl-containing polymers such as those disclosed in U.S. Pat. No. 6,410,209 and spin-on-glass materials such as the methylsiloxane, methylsilsesquioxane, and silicate polymers such as those disclosed in U.S. Pat. Nos. 6,268,457 and 6,365,765.
  • The process solution of the present invention may be used in wafer cleaning methods, such as RCA-type cleaning, performed after the development step. In this embodiment, the substrate may be treated with the process solution after the stripping, CMP, ash cleaning, and/or etching steps have been completed. In one embodiment of the present invention, the process solution comprises a base such as an amine and/or ammonium hydroxide, alkylammonium hydroxide; an oxidizing agent such as H2O2; optionally a chelating agent; from 10 to 10,000 ppm of at least one formula I through X surfactant; in an aqueous solvent or water. Some non-limiting examples of chelating agents are the following organic acids and its isomers and salts: (ethylenedinitrilo)tetraacetic acid (EDTA), butylenediaminetetraacetic acid, cyclohexane-1,2-diaminetetraacetic acid (CyDTA), diethylenetriaminepentaacetic acid (DETPA), ethylenediaminetetrapropionic acid, ethylenediaminetetrapropionic acid, (hydroxyethyl)ethylenediaminetriacetic acid (HEDTA), N,N,N′,N′-ethylenediaminetetra(methylenephosphonic) acid (EDTMP), citric acid, tartaric acid, phtalic acid, gluconic acid, saccharic acid, cathechol, gallic acid, pyrogallol, propyl gallate, and cysteine. In an alternative embodiment, the process solution comprises dilute HF; from 10 to 10,000 ppm of at least one formula I through X surfactant; and water. In a further embodiment, the process solution comprises an acid such as sulfuric acid or HCl and an oxidizing agent such as H2O2 wherein the ratio of the acid to the oxidizing agent is 1:1; optionally a chelating agent; from 10 to 10,000 ppm of at least one formula I through X surfactant; and an aqueous solvent or water. In another embodiment, the process solution comprises an aqueous solvent such as electrolytic ionized water and from 10 to 10,000 ppm of at least one formula I through X surfactant. In yet another embodiment, the process solution comprises UV/ozone; from 10 to 10,000 ppm of at least one formula I through X surfactant; and water. For wafer cleaning applications, the process solution may be used for either megasonic or regular cleaning such as spray application.
  • The process solution of the present invention may be prepared by mixing the at least one formula I through X surfactant with an aqueous and/or non-aqueous solvents and any additional additives. In certain embodiments, the mixing may be done at a temperature range of about 40 to 60° C. to affect dissolution of the ingredients contained therein. The resulting process solution may optionally be filtered to remove any undissolved particles that could potentially harm the substrate.
  • The process solution is preferably used to treat the surface of a substrate during or after the development step. Suitable substrates include, but are not limited to, materials such as gallium arsenide (“GaAs”), silicon, tantalum, copper, ceramics, aluminum/copper alloys, polyimides, and compositions containing silicon such as crystalline silicon, polysilicon, amorphous silicon, epitaxial silicon, silicon dioxide (“SiO2”), silicon nitride, doped silicon dioxide, and the like. Further exemplary substrates include silicon, aluminum, or polymeric resins.
  • In certain preferred embodiments, the process solution is applied to a substrate having a photoresist coating applied thereto. The photoresist-coated substrate is then exposed to radiation to provide a pattern that is imposed upon the photoresist coating. Examples of radiation sources that may be used include ultraviolet (uv) light, electron beam, x-ray, laser, or ion beams. In some embodiments, a pre-bake or soft-bake step may be conducted prior to the exposure step to remove any solvents contained therein. This pre-bake or soft bake step may be conducted, for example, at a temperature ranging from 90° C. to 150° C. for a time of from 30 to 120 seconds on a hot plate.
  • Depending upon whether the photoresist coating is positive or negative, the radiation either increases or decreased its solubility in a subsequently applied, an alkaline developer solution such as a process solution containing tetramethylammonium hydroxide (TMAH), potassium hydroxide, sodium hydroxide, or other base. Further examples of developer solutions include those provided in U.S. Pat. Nos. 6,455,234; 6,268,115; 6,238,849; 6,127,101; and 6,120,978. In a positive photoresist coating, the areas masked from radiation remain after development while the exposed areas are dissolved away. In a negative photoresist coating, the opposite occurs. The process solution of the present invention may be suitable to treat substrates having either positive or negative photoresist coatings. The patterned photoresist image may be developed by a variety of different means, including by not limited to quiescence, immersion, spray, or puddle development. In the quiescence method, for instance, a developer solution is applied to the exposed substrate surface and after a period of time sufficient to develop the pattern, a rinse is then applied to the substrate surface. Development time and temperatures will vary depending upon the method used.
  • After the patterned photoresist image is developed, the substrate is baked to harden the polymer contained within the photoresist. The bake step may be conducted, for example, at a temperature ranging from 70° C. to 150° C. for a time duration of from 30 to 120 seconds.
  • The process solution is preferably applied to the surface of the substrate as a prepared solution. In alternative embodiments, however, the process solution can be prepared within the rinse stream just prior to or during contact with the substrate surface. For example, a certain quantity of one or more formula I through IX surfactants can be injected into a continuous stream of water and/or non-aqueous solvent medium that optionally includes other additives thereby forming the process solution. In some embodiments of the present invention, a portion of the at least one formula I through X surfactant may be added to the substrate after application of the process solution. In this case, the process solution may be formed in multiple steps during the processing of the substrate. In still other embodiments of the present invention, the at least one formula I through X surfactant can be also deposited upon or comprise the material of a high surface area device such as a cartridge or filter (which may or may not include other additives). A stream of water and/or non-aqueous solvent then passes through the cartridge or filter thereby forming the process solution. In still another embodiment of the present invention, the process solution is prepared during the contacting step. In this connection, at least one formula I through X surfactant is introduced via a dropper or other means to the surface of the substrate. Water and/or non-aqueous solvent medium is then introduced to the surface of the substrate and mixes with the at least one formula I through X surfactant on the surface of the substrate thereby forming the process solution.
  • In an alternative embodiment of the invention, a concentrated composition comprising at least one formula I through X surfactant is provided that may be diluted in water and/or non-aqueous solvents to provide the process solution. A concentrated composition of the invention, or “concentrate” allows one to dilute the concentrate to the desired strength and pH. A concentrate also permits longer shelf life and easier shipping and storage of the product.
  • A variety of means can be employed in contacting the process solution with the substrate surface. The actual conditions of the contacting step (i.e., temperature, time, and the like) may vary over wide ranges and are generally dependent on a variety of factors such as, but not limited to, the nature and amount of residue on the surface of the substrate and the hydrophobicity or hydrophilicity of the substrate surface, etc. The contact step can be conducted in either a dynamic method such as, for example, a streamline process for applying the process solution over the surface of the substrate or in a static method such as, for example, a puddle rinse or immersing the substrate within a bath containing the process solution. The process solution may also be sprayed onto the surface of the substrate in a dynamic method such as in a continuous process or sprayed onto the surface and allowed to remain there in a static method. In certain preferred embodiments, the contacting step is conducted in a static method. The duration of the contacting step, or time of contact of the process solution to the substrate surface, can vary from a fraction of a second to hundreds of seconds. Preferably, the duration can range from 1 to 200 seconds, preferably from 1 to 150 seconds, and more preferably from 1 to 40 seconds. The temperature range for the contacting step can vary from 10 to 100° C. and more preferably from 10 to 40° C.
  • Regardless of whether the contacting step is static or dynamic, it is preferred that the process solution or concentrate be applied to a still-wet substrate surface. In one embodiment, for example, the process solution is employed as a rinse solution after the development of the photoresist layer. In this connection, the photoresist-coated substrate is developed via a developer solution. After developing, the process solution is applied to the substrate surface as a rinse in addition to, or in place of, a deionized water rinse. While the substrate is still wet with developer solution and/or deionized water, the process solution may be applied in a dynamic manner or in a static manner such as by puddling it onto the surface of the substrate. During dispensing, the substrate is spun slowly at a speed, for example, of 100 revolutions per minute (“rpm”) to distribute the process solution over the substrate surface. For a dynamic process, the substrate is spun slowly while the process solution is dispensed continuously on the substrate. For a static process such as the puddle process, the substrate is allowed to rest for a brief period, for example, 15 seconds. After the rinse step with the process solution is complete, the rinsed wafer is then dried, for example, by spin drying at a higher rpm.
  • In yet a further embodiment of the present invention, there is provided a method for selecting the process solution comprising at least one formula I through X surfactant that will minimize the number of pattern collapse defects for patterned, photoresist-coated substrates. In this regard, the method comprises determining the surface tension and the measuring the contact angle of a process solution containing from 10 to 10,000 ppm of the at least one surfactant. The process solution is first applied to the surface of a sample photoresist-coated substrate. The surface tension, preferably dynamic surface tension, of the process solution may be determined according to the maximum-bubble-pressure method as described herein. The contact angle of the process solution, which is the angle between the baseline of a droplet of process solution on the surface of the substrate and the tangent at the droplet base, is then measured. In certain preferred embodiments, a high-speed camera may be used to capture the spreading of the droplet at a speed of 2 frames per second for a 2 minute interval and the contact angle can be measured on the photographic image.
  • Once the surface tension and contact angle for the process solution is obtained, the surface tension is then multiplied by the cosine of the contact angle measurement to provide a certain value referred to herein as an “adhesion tension value”. Lower adhesion tension values for the process solution correlate to a greater reduction in pattern collapse defects. Adhesion tension values of 30 or less indicate, preferably 25 or less, or more preferably 20 or less indicate that the process solution may be more effective in reducing the number of pattern collapse defects compared to deionized rinse solutions or process solutions containing other surfactants described in the prior art. If the adhesion tension value is acceptable (i.e., 30 or less), the process solution may then be used for a production lot. The concentration of the formula I through X surfactant is determined by the smallest adhesion tension value calculated at different concentrations for each surfactant. In certain preferred embodiments, the process solution reduced the number of pattern collapse defects by 25% or greater, preferably 50% or greater, and more preferably 75% or greater relative to a deionized water rinse for patterned and developed photoresist coated substrates having an aspect ratio of 3.0 or greater, and a pitch of 1:1.4 or greater, or a normalized aspect ratio of at least 0.015 1/nm.
  • The invention will be illustrated in more detail with reference to the following examples, but it should be understood that the present invention is not deemed to be limited thereto.
  • EXAMPLES Examples 1 through 5 Dynamic Surface Tension (DST)
  • Five process solutions containing acetylenic diol surfactants derived from 2,4,7,9-tetramethyl-5-decyne-4,7-diol (examples 1 through 3) or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol (examples 4 and 5) were prepared by adding 0.1 weight percent of the surfactant to deionized water under continuous stirring.
  • The dynamic surface tension (DST) data for each process solution was collected via the maximum bubble pressure method described in Langmuir 1986, 2, pp. 428-432. The data was collected at bubble rates that range from 0.1 bubbles/second (b/s) to 20 b/s using the Kruss BP3 bubble pressure tensiometer manufactured by Kruss, Inc. of Charlotte, N.C. The molar units of EO and PO for each example and dynamic surface tension data is provided in Table I.
  • The dynamic surface tension data provides information about the performance of a surfactant at conditions from near-equilibrium (0.1 b/s) to relatively high surface creation rates (20 b/s). For applications such as semiconductor or IC processing, high bubble rates may correspond to a faster substrate rotation speed or a dynamic dispense in a post-development rinse process. It is desirable that the dynamic surface tension by reduced below that of water at high bubble rates (i.e., 70-72 dyne/cm at 20 b/s) to provide, inter alia, better wetting of the photoresist-coated substrate, reduction in the number of defects, and prevention of pattern collapse. As Table I illustrates, all of the process solutions exhibited dynamic surface tensions at high bubble rates below that of water. This indicates that the process solutions of the present invention may be effective at reducing the surface tension of water.
  • TABLE I
    Dynamic Surface Tension
    Moles Moles DST DST DST DST DST
    EO PO (dyne/cm) (dyne/cm) (dyne/cm) (dyne/cm) (dyne/cm)
    Example (m + n) (p + q) 0.1 b/s 1 b/s 6 b/s 15 b/s 20 b/s
    1 5 2 34.0 35.3 37.6 41.5 44.3
    2 5 0 35.1 35.2 38.1 42.0 44.4
    3 0 0 32.1 33.1 34.2 36.1 40.3
    4 0 0 34.1 43.6 58.1 68.3 69.8
    5 4 0 26.8 26.8 31.5 35.9 39.1
  • Examples 5 through 7 Foaming Properties
  • Three process solutions containing acetylenic diol surfactants derived from 2,4,7,9-tetramethyl-5-decyne-4,7-diol (examples 5 and 6) or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol (example 7) were prepared by adding 0.1 weight percent of each surfactant to deionized water under continuous stirring.
  • Foaming is an undesirable side effect of surfactants in rinse solution. The foaming properties of examples 5 through 7 were examined using a procedure based upon ASTM D 1173-53, the Ross-Miles test method, and the results are provided in Table II. In this test, a 200 ml quantity of each process solution is added from an elevated foam pipette to a foam receiver containing the 50 ml of the same solution at room temperature. The Ross-Miles method stimulates the action of pouring a liquid into a cylindrical vessel containing the same liquid. The results are given in Table II. The foam height is measured at the completion of the addition (“Initial Foam Height”) and the time required for the foam to dissipate is recorded (“Time to 0 Foam”). In certain applications, foam may be undesirable because it may lead to defects due to the failure to adequately coat the surface of the substrate. As Table II indicates, the time to reach zero foam is approximately one minute or less.
  • The process solution of Example 5 was also compared to process solutions containing 0.1 weight percent of a fluorosurfactant (perfluoroalkyl ethoxylate) and an ionic surfactant (sodium lauryl sulfate) using the Ross-Miles test. The results of this comparison are provided in Table III. As Table III shows, solutions containing the fluorosurfactant and ionic surfactant still exhibited significant foam at intervals of 5 or 10 minutes. In semiconductor processing applications, the presence of significant foam may be undesirable and may lead to an increase in processing defects.
  • TABLE II
    Foaming Properties
    Moles EO Moles PO Initial Foam Time to Zero
    Example (m + n) (p + q) Height (cm) Foam (sec)
    5 5 2 0.6 6
    6 0 0 2.0 3
    7 4 0 2.5 60
  • TABLE III
    Comparison of Foam Properties with Solutions
    containing other Surfactants
    Foam Foam Foam
    Rinse Initial Foam Height at Height at Height at
    Composition Height (cm) 6 sec (cm) 5 min (cm) 5 min (cm)
    Example 5 0.6 0 0 0
    Fluorosurfactant 14.5 14.5 N/A 13.5
    (0.1 weight %)(1)
    Ionic surfactant 22.0 22.0 20.0 N/A
    (0.25 weight %)(2)
    (1)Information obtained from DuPont ZONYL ® marketing literature.
    (2)Information obtained from Weil, J. K., et al., “Synthetic Detergents from Animal Fats: the Sulfonation of Tallow Alcohols”, J. Am. Oil Chem. Soc. 31, p. 444-47 (1954).
  • Examples 8 through 9 Contact Angle Data
  • The wetting properties of process solutions containing varying amounts of surfactants derived from 2,4,7,9-tetramethyl-5-decyne-4,7-diol (examples 8a and 8b) or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol (examples 9a and 9b) and DI water as a comparison (comparative example 1) was measured on the G10/DSA10 Kruss drop shape analyzer provided by Kruss USA of Charlotte, N.C. using the Sessile drop method. In this method, the wetting properties of a localized region on the surface of a photoresist-coated substrate are estimated by measuring the contact angle between the baseline of a droplet of aqueous developer solution and the tangent at the droplet base. A high-speed camera captured the spreading of the droplet at a speed of 2 frames per second for 2 minutes and the contact angle was measured.
  • Process solutions of surfactant based on 2,4,7,9-tetramethyl-5-decyne-4,7-diol and 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol were prepared in the following manner. A volumetric flask was charged with varying amounts of the surfactant and DI water to reach a level of 100 ml at room temperature. The mixture was agitated until the surfactant was dissolved therein to form the process solution. The amounts of surfactant in the process solutions of examples 8a, 8b, 9a and 9b are provided in Table IV.
  • Silicon wafers provided by Wafernet Inc. of San Jose, Calif. were coated with a AX 4318 photoresist coating provided by Sumitomo Chemical Co., Ltd. of Osaka, Japan using a spin coating process at a spin speed of 3200 rpm. The contact angle of the process solution on the photoresist surface was measured. Table IV provides the value of the contact angle for the process solutions and DI water (comparative example 1) at different drop ages expressed in seconds.
  • In general, contact angles of about 20° or below may indicate complete wetting of the substrate surface. As Table IV illustrates, the contact angles of TMAH developer on the photoresist-coated substrate that were treated with the process solutions of the present invention are smaller than the contact angle of the photoresist treated with DI water. Further, higher amounts of surfactant within the process solution may lead to more surfactant adsorption and improved wetting.
  • TABLE IV
    Contact Contact Contact Contact
    Amt Angle Angle Angle Angle
    Example Surfactant (0 sec) (5 sec) (10 sec) (30 sec)
    Comp. Ex. 1 - DI 61.8 61.7 61.5 61.1
    water
    Ex. 8a 125 ppm 47.3 46.9 46.5 45.4
    Ex. 8b 600 ppm 47.3 42.6 40.6 36.4
    Ex. 9a 100 ppm 50.0 46.8 45.0 41.6
    Ex. 9b 350 ppm 40.0 29.4 25.3 17.2
  • Example 10 Number of Post-Development Defects after DI Rinse vs. Process solution Rinse
  • The number of post-development defects on a substrate was compared after treating the substrate with a rinse of DI water (comparative example 2) vs. a rinse containing the process solution of the present invention (example 10). The process solution contained 50 ppm of a 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol-derived surfactant and 170 ppm of the oligomer dispersant SMA® 1440 provided by Elf Alfochem. The substrate was processed in the following manner: a photoresist-coated substrate was exposed to a 365 nm light, heated to a temperature of approximately 110° C. for a time of about 1 minute and then developed to form a patterned photoresist with a dilute TMAH solution. The TMAH solution was applied by dynamically dispensing a 0.21N TMAH solution onto the substrate for a period of 100 seconds.
  • In comparative example 2, a rinse containing DI water started 15 seconds before the developer nozzle was turned off and continued for a period of 7 minutes. The substrate was inspected for defects using the TereStar® KLA-Tencor defect inspection tool provided by KLA-Tencor Inc. of San Jose, Calif. and the defects were classified and counted. The results of the inspection are provided in Table V.
  • The substrate was processed in the same manner as in comparative example 2 using the same developer and process conditions. However, after 100 seconds of developing, a process solution comprising an acetylenic diol surfactant (example 10) was used to rinse the patterned photoresist-coated surface. The overlapping period with the developer was the same as in comparative example 2. After a 120 second rinse with the process solution, a DI water rinse was used for another 7 minutes. The substrate was inspected for defects using the TereStar® KLA-Tencor defect inspection tool and the defects were classified and counted. The results of the inspection are provided in Table VI.
  • As Table VI illustrates, the process solution of the present invention was able to completely remove the photoresist residues from the patterned photoresist surface. By contrast, Table V shows that were many defects resulting from residual photoresist and other sources after rinsing with DI water. Therefore, rinsing the substrate with the process solution of the present invention effectively eliminated the number of post-development defects and improved the process yield.
  • TABLE V
    Post-Development Defects after DI Water Rinse
    Defect Types Small Medium Large Extra large Total
    Pattern Defect 0 55 35 1 91
    Pinholes/Dots 0 148 2 0 150
    Total 0 203 37 1 241
  • TABLE VI
    Post-Development Defects after Process solution Rinse
    Defect Types Small Medium Large Extra large Total
    Pattern Defect 0 0 0 0 0
    Pinholes/Dots 0 0 0 0 0
    Total 0 0 0 0 0
  • Example 11 Comparison of Equilibrium Surface Tension and Dynamic Surface Tension of Process solution vs. Solutions Containing Fluorosurfactant
  • Process solutions containing 0.1 weight percent of a surfactant derived from 2,5,8,11-tetramethyl-6-dodecyne-5,8-dioland a fluorosurfactant, potassium perfluorooctane carboxylate provided by 3M of St. Louis, Mo. were prepared in order to compare the equilibrium surface tension (EST) and dynamic surface tension (DST). The EST for both solutions was measured using the Wilhemy plate method on a Kruss BP3 bubble pressure tensiometer manufactured by Kruss, Inc. of Charlotte, N.C. The DST of each process solution was measured via the maximum bubble pressure method used in examples 1 through 5. The results of the EST and DST tests are provided in Table VII.
  • Referring to Table VII, while the fluorosurfactant exhibits a lower EST compared to the process solution of the present invention, the significantly lower DST indicates that the fluorosurfactant exhibits poor dynamic surface tension reduction ability. For applications that require high surface creation rates such as dynamic rinse processes used in semiconductor manufacturing, the process solution of the present invention would be more suitable than solutions containing fluorosurfactants due to its lower DST value.
  • TABLE VII
    Rinse Composition (0.1 wt %) EST (dyne/cm) DST (cm/cm)
    Example 11 25.8 28.4
    Fluorosurfactant 21.2 72.4
  • Examples 12 through 18 Determination of the Adhesion Tension Value of Process Solutions of the Present Invention
  • Seven process solutions containing surfactants having the formulas I through VIII were prepared by adding less than 1 weight percent of the surfactant to deionized water under continuous stirring. The concentration of surfactant within each process solution is provided in Table VIII and is determined by the smallest adhesion tension value calculated at different concentrations for each surfactant. Example 12 contained 3,5-dimethyl-1-hexyn-3-ol (Formula III). Example 13 contained 2,6-dimethyl-4-heptanol provided by Aldrich (Formula IVa). Example 14 contained N,N′-bis(1,3-dimethylbutyl)ethylenediamine (Formula V). Example 15 contained diisopentyl tartrate (Formula III). Example 16 contained dodecyltrimethylammonium chloride (Formula IVa). Example 17 contained 2,4,7,9-tetramethyl-4,7-decane diol (Formula V). Example 18 contained 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol-derived surfactant (Formula II). Examples 19, 20, and 21 each contained 1:3 adduct (0.05 wt % concentration), 1:5 adduct (0.012 wt % concentration), and 1:5 adduct (0.03 wt % concentration), respectively, of diethylenetriamine (x=2) and n-buyl glycidyl ether (Formula VIII).
  • The dynamic surface tension (DST) data for each process solution was collected via the maximum bubble pressure method described in Langmuir 1986, 2, pp. 428-432. The data was collected at bubble rates that range from 0.1 bubbles/second (b/s) to 20 b/s using the Kruss BP3 bubble pressure tensiometer manufactured by Kruss, Inc. of Charlotte, N.C. The surface tension values at 0.1 bubbles/second for each process solution are provided in Table VIII.
  • Silicon wafers provided by Wafernet Inc. of San Jose, Calif. were coated with 300 nm thick TOK 6063 193 nm photoresist coating provided by Tokyo Ohka Kogyo Co., Ltd. of Tokyo, Japan. The contact angle of the process solution on the photoresist surface was measured on the G10/DSA10 Kruss drop shape analyzer provided by Kruss USA of Charlotte, N.C. using the Sessile drop method. Table VIII provides the contact angle for each process solution measured at a drop age of 10 seconds.
  • The adhesion tension values for each process solution was calculated by multiplying the surface tension and the cosine of the contact angle. The results of this calculation are provided in Table VIII. As Table VIII illustrates, all of the process solutions have an adhesion tension value below 25. Examples 13, 14, 16, 19, 20, and 21 each had an adhesion value below 20. This indicates that these process solutions may reduce the number of pattern collapse defects to a greater degree than a process solution having one or more surfactants with a higher adhesion tension value.
  • TABLE VIII
    Adhesion Tension Values
    Examples
    12 13 14 15 16 17 18 19 20 21
    Concentration 0.9 0.12 0.095 0.05 4 0.05 0.045 0.05 0.012 0.03
    (wt %)
    Surface 36.6 41.4 32.0 35.4 41.5 38.4 25.8 38.7 37.9 35.6
    Tension (ST)
    (dynes/cm)
    Contact Angle 55.0 70.7 53.1 45.5 62.7 56.1 28.1 59 59.2 59.7
    (θ)
    Adhesion 21.0 13.6 19.2 24.8 19.0 21.4 22.8 19.9 19.4 17.9
    Tension Value
  • Pattern Collapse Reduction
  • Example 12, 14, and 17 process solutions were prepared by adding 0.9 weight % of 3,5-dimethyl-t-hexyn-3-ol, 0.095 weight % of N,N′-bis(1,3-dimethylbutyl)ethylenediamine, and 0.05 weight percent of 2,4,7,9-tetramethyl-4,7-decane diol, respectively, to deionized water under continuous stirring. A substrate was processed in the following manner: a silicon wafer provided by Wafernet, Inc. and coated with an anti-reflective coating was coated with a TOK 6063 193 nm photoresist and exposed to a 193 nm light with a ASML PAS 5500/1100 scanner, heated to a temperature of approximately 115° C. for a time of about 1 minute, and then developed to form a patterned photoresist with a dilute TMAH solution. The TMAH developer solution was applied by dynamically dispensing a 0.26N TMAH solution onto the substrate and allowed to set for a period of 45 seconds. The process solution was then dynamically dispensed onto the substrate surface while the wafer substrate slowly spun at 500 rpm to distribute the solution on the substrate surface. The dispense process lasted for a period of 15 seconds. Afterwards, the substrate was spun at 3,500 rpm to dry.
  • In a comparative example, a deionized water rinse solution was applied the substrate surface after the development of the patterned photoresist coating with a TMAH developer solution under the same process conditions as the Example 12, 14, and 17 process solutions.
  • Silicon wafers treated with a post-development rinse of the process solution of the present invention and a deionized water post-development rinse were compared under scanning electron microscopy. FIGS. 1a and 1b provide cross-sectional SEM images of 80 nm dense lines with 1:1 pitch using a deionized water rinse and a rinse employing the Example 14 process solution, respectively. Referring to FIG. 1b, employing the process solution of the present invention as a post-development rinse solution in addition to or in lieu of deionized water minimizes or reduces the incidence of pattern collapse and preserves line definition.
  • The critical dimensions (“CD”) of the features of each wafer were measured with a Hitachi CD-SEM tool on 37 sites per wafer, and pattern collapse was visually observed through the top-down SEM images. The wafers were exposed under the same dose energy of 16.5 mJ/cm2. The results of the visual observations are provided in Table IX.
  • As shown in Table IX, the process solutions of the present invention reduced the collapsed sites by at least half while increasing the aspect ratio from 3 to 3.3. Therefore, rinsing the substrate with the process solution of the present invention rather than with deionized water effectively reduced the pattern collapse when patterning high aspect ratio features.
  • TABLE IX
    Pattern Collapse Data
    Rinse Solution Aspect Ratio % sites with collapsing
    DI Water 3.0 97
    Example 12 3.3 48
    Example 14 3.2 3
    Example 17 3.1 6
  • Reduction in Line Width Roughness
  • Exemplary process solutions 22 and 23 were prepared by adding 0.05 weight % of N,N′-bis(1,3-dimethylbutyl)ethylenediamine (Formula V surfactant) and 0.05 weight % of 2,6-dimethyl-4-heptanol (Formula III surfactant); and adding 0.1 weight % of 1:5 adduct of diethylenetriamine (x=2) and n-butyl glycidyl ether (Formula VIII surfactant), respectively, to deionized water under continuous stirring. A substrate was processed in the following manner: a silicon wafer provided by Wafernet, Inc. and having an anti-reflective coating deposited thereupon was coated with a TOK 6063 193 nm photoresist. The coated wafer was exposed to a 193 nm light with a ASML PAS 5500/1100 scanner, heated to a temperature of approximately 115° C. for a time of about 1 minute, and then developed to form a patterned photoresist with a dilute TMAH solution. The TMAH developer solution was applied by dynamically dispensing a 0.26N TMAH solution onto the substrate and allowed to set for a period of 45 seconds. After 15 seconds of a DI water rinse, the substrate was spun at 3,500 rpm to dry. The wafer was then cleaved into smaller pieces, immersed into either deionized water, Example 22 process solution, or the Example 23 process solution for 15 seconds and then dried. Cross-sectional SEM pictures showing 100 nm 1:1 dense lines were taken before and after the treatment process.
  • FIGS. 2a through 2c provide cross-sectional SEM images of the wafers. FIG. 2a shows that the patterned resist features of substrates treated with DI water alone exhibited rough standing waves. However, upon treatment with process solutions 22 or 23, such as the substrates shown in FIGS. 2b and 2c, respectively, the patterned resist features are much smoother and the standing wave is eliminated.
  • Examples 24 and 25
  • Exemplary process solutions 24 and 25 were prepared by adding 0.12 weight of N,N′ bis(1,3-demethylbutyl)ethylenediamine (Formula V surfactant) and 5 weight % of the non-aqueous solvent ethanol and methanol, respectively to deionized water under continuous stirring. An additional process solution Example 14 was prepared as previously described by adding N,N′ bis(1,3-demethylbutyl)ethylenediamine (Formula V surfactant) to deionized water alone.
  • A substrate was processed in the following manner: a silicon oxynitride wafer was coated with a 193 nm photoresist. The coated wafer was exposed to a 193 nm light with a ASML PAS 5500/950 scanner, heated to a temperature of approximately 115° C. for a time of about 1 minute, and then developed to form a patterned photoresist with a dilute TMAH. The TMAH developer solution was applied by dynamically dispensing a 0.26N TMAH solution onto the substrate and allowed to set for a period of 45 seconds. The process solution was then dynamically dispensed onto the substrate surface while the wafer substrate spun slowly at 500 rpm to distribute the solution on the substrate surface. The wafer was then still and puddle underneath the process solution for 5 seconds. Afterwards, the substrate was spun at 3,500 rpm to dry.
  • The top-down SEM was used to collect the LWR measures at 100 nm lines/space at 1:1 pitch and the results for each process solution are provided in Table X. Table X also provides the maximum energy dose applied to the wafer without causing the collapse of patterned resist features and the corresponding minimum CD as well as the maximum aspect ratio.
  • TABLE X
    Mean CD and LWR results
    Maximum
    Process Energy Minimum CD Maximum
    Solution (mJ/cm2) (nm) Aspect Ratio LWR (3σ, nm)
    Ex. 14 23.25 103.6 2.90 5.5
    Ex. 24 25.5 92.5 3.24 5.4
    Ex. 25 24.75 94.3 3.18 4.8

Claims (18)

1. A method for reducing the number of defects during the manufacture of semiconductor devices, the method comprising:
providing a substrate comprising a photoresist coating;
exposing the substrate to a radiation source to form a pattern on the photoresist coating;
applying a developer solution to the substrate to form a patterned photoresist coating;
optionally rinsing the substrate with deionized water; and
contacting the substrate with a process solution comprising at least one aqueous solvent, at least one non-aqueous solvent that is miscible in an aqueous solvent, and about 10 ppm to about 10,000 ppm of at least one surfactant having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd):
Figure US20110171583A1-20110714-C00008
Figure US20110171583A1-20110714-C00009
wherein R, R1, R4, and R12 are each independently a straight, a branched, or a cyclic alkyl group having from 3 to 25 carbon atoms; R2 and R3 are each independently a hydrogen atom or an alkyl group having from 1 to 5 carbon atoms; R5 is a straight, a branched, or a cyclic alkyl group having from 1 to 10 carbon atoms; R6 is a straight, a branched, or a cyclic alkyl group having from 4 to 16 carbon atoms; R7, R8, and R9 are each independently a straight, a branched, or a cyclic alkyl group having from 1 to 6 carbon atoms; R10 is independently H or a group represented by the formula
Figure US20110171583A1-20110714-C00010
R11 is a straight, a branched, or a cyclic alkyl group having from 4 to 22 carbon atoms; W is a hydrogen atom or an alkynyl group; X and Y are each independently a hydrogen atom or a hydroxyl group; Z is a halide atom, a hydroxyl group, an acetate group, or a carboxylate group; i, m, n, p, and q are each independently a number that ranges from 0 to 20; r and s are each independently 2 or 3; t is a number that ranges from 0 to 2; j is a number that ranges from 1 to 5; and x is a number that ranges from 1 to 6.
2. The method of claim 1 wherein the contacting step comprises a dynamic rinse.
3. The method of claim 1 wherein the contacting step comprises a static rinse.
4. The method of claim 1 wherein the surface of the substrate in the contacting step is wet with the developer solution.
5. The method of claim 1 wherein the surface of the substrate in the contacting step is wet with the deionized water rinse.
6. The method of claim 1 wherein the process stream is formed by injecting 10 to 10,000 ppm of the at least one surfactant into the solvent.
7. The method of claim 1 wherein the process stream is formed by applying 10 to 10,000 ppm of the at least one surfactant onto the surface of the substrate and applying the solvent to the substrate surface.
8. The method of claim 1 wherein the process stream is formed by passing the solvent through a cartridge comprising the at least one surfactant.
9. The method of claim 1 wherein a time of the contacting step ranges from 1 to 200 seconds.
10. The method of claim 9 wherein the time of the contacting step ranges from 1 to 150 seconds.
11. The method of claim 10 wherein the time of the contacting step ranges from 1 to 40 seconds.
12. The method of claim 10 wherein an at least one temperature of the contacting step ranges from 10 to 100° C.
13. A method for avoiding a collapse of a developed pattern on the surface of a plurality of substrates, the method comprising:
providing a first substrate comprising a photoresist pattern developed upon the surface;
preparing a process solution comprising from 10 ppm to about 10,000 of at least one surfactant having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd):
Figure US20110171583A1-20110714-C00011
Figure US20110171583A1-20110714-C00012
wherein R, R1, R4, and R12 are each independently a straight, a branched, or a cyclic alkyl group having from 3 to 25 carbon atoms; R2 and R3 are each independently a hydrogen atom or a straight, a branched, or a cyclic alkyl group having from 1 to 5 carbon atoms; R5 is a straight or a branched alkyl group having from 1 to 10 carbon atoms; R6 is a straight or a branched alkyl group having from 4 to 16 carbon atoms; R7, R8, and R9 are each independently a straight or a branched alkyl group having from 1 to 6 carbon atoms; R10 is independently a H atom or a group represented by the formula
Figure US20110171583A1-20110714-C00013
R11 is a straight, branched, or cyclic alkyl group having from 4 to 22 carbon atoms; W is a hydrogen atom or an alkynyl group; X and Y are each independently a hydrogen atom or a hydroxyl group; Z is a halide atom, a hydroxyl group, an acetate group, or a carboxylate group; i, m, n, p, and q are each independently a number that ranges from 0 to 20; r and s are each independently 2 or 3; t is a number that ranges from 0 to 2; j is a number that ranges from 1 to 5; and x is a number that ranges from 1 to 6;
contacting the first substrate with the process solution;
determining a surface tension and a contact angle of the process solution on the first substrate;
multiplying the surface tension by the cosine of the contact angle to provide the adhesion tension value of the process solution;
providing the plurality of substrates wherein each substrate within the plurality comprises a photoresist pattern developed upon the surface; and
contacting the plurality of substrates with the process solution if the adhesion tension value of the process solution is 30 or below.
14. The process of claim 13 wherein the preparing, the first contacting, the determining, and the multiplying steps are repeated until the adhesion tension value is 30 or below.
15. The process of claim 13 wherein the surface of the plurality of substrates in the second contacting step is wet with a deionized water rinse.
16. The process of claim 13 wherein the surface of the plurality of substrates is wet with a developer solution.
17. A method of reducing pattern collapse defects on the surface of a patterned and developed substrate comprising: contacting the substrate with a process solution comprising an aqueous solvent, a non-aqueous solvent, and at least one surfactant having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd):
Figure US20110171583A1-20110714-C00014
Figure US20110171583A1-20110714-C00015
wherein R, R1, R4, and R12 are each independently a straight, a branched, or a cyclic alkyl group having from 3 to 25 carbon atoms; R2 and R3 are each independently a hydrogen atom or a straight, a branched, or a cyclic alkyl group having from 1 to 5 carbon atoms; R5 is a straight, a branched, or a cyclic alkyl group having from 1 to 10 carbon atoms; R6 is a straight, a branched, or a cyclic alkyl group having from 4 to 16 carbon atoms; R7, R8, and R9 are each independently a straight, a branched, or a cyclic alkyl group having from 1 to 6 carbon atoms; R10 is a hydrogen atom or a group represented by the formula
Figure US20110171583A1-20110714-C00016
R11 is a straight, a branched, or a cyclic alkyl group having from 4 to 22 carbon atoms; W is a hydrogen atom or an alkynyl group; X and Y are each independently a hydrogen atom or a hydroxyl group; Z is a halide atom, a hydroxyl group, an acetate group, or a carboxylate group; i, m, and n are each independently a number that ranges from 0 to 20; r and s are each independently 2 or 3; t is a number that ranges from 0 to 2; j is a number that ranges from 1 to 5; and x is a number that ranges from 1 to 6.
18. A method of reducing line width roughness defects on the surface of a patterned and developed substrate comprising: contacting the substrate with a process solution comprising an aqueous solvent, a non-aqueous solvent, and at least one surfactant having the formula (I), (II), (III), (IVa), (IVb), (V), (VI), (VII), (VIII), (IXa), (IXb), (IXc), (Xa), (Xb), (Xc), or (Xd):
Figure US20110171583A1-20110714-C00017
Figure US20110171583A1-20110714-C00018
wherein R, R1, R4, and R12 are each independently a straight, a branched, or a cyclic alkyl group having from 3 to 25 carbon atoms; R2 and R3 are each independently a hydrogen atom or a straight, a branched, or a cyclic alkyl group having from 1 to 5 carbon atoms; R5 is a straight, a branched, or a cyclic alkyl group having from 1 to 10 carbon atoms; R6 is a straight, a branched, or a cyclic alkyl group having from 4 to 16 carbon atoms; R7, R8, and R9 are each independently a straight, a branched, or a cyclic alkyl group having from 1 to 6 carbon atoms; R10 is a hydrogen atom or a group represented by the formula
Figure US20110171583A1-20110714-C00019
R11 is a straight, a branched, or a cyclic alkyl group having from 4 to 22 carbon atoms; W is a hydrogen atom or an alkynyl group; X and Y are each independently a hydrogen atom or a hydroxyl group; Z is a halide atom, a hydroxyl group, an acetate group, or a carboxylate group; i, m, and n are each independently a number that ranges from 0 to 20; r and s are each independently 2 or 3; t is a number that ranges from 0 to 2; j is a number that ranges from 1 to 5; and x is a number that ranges from 1 to 6.
US12/959,067 2002-08-12 2010-12-02 Process Solutions Containing Surfactants Abandoned US20110171583A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/959,067 US20110171583A1 (en) 2002-08-12 2010-12-02 Process Solutions Containing Surfactants

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/218,087 US20040029395A1 (en) 2002-08-12 2002-08-12 Process solutions containing acetylenic diol surfactants
US10/339,709 US20040029396A1 (en) 2002-08-12 2003-01-09 Process solutions containing surfactants
US10/616,662 US7129199B2 (en) 2002-08-12 2003-07-10 Process solutions containing surfactants
US10/804,513 US7521405B2 (en) 2002-08-12 2004-03-19 Process solutions containing surfactants
US11/940,374 US20080063984A1 (en) 2002-08-12 2007-11-15 Process Solutions Containing Surfactants
US12/959,067 US20110171583A1 (en) 2002-08-12 2010-12-02 Process Solutions Containing Surfactants

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/940,374 Continuation US20080063984A1 (en) 2002-08-12 2007-11-15 Process Solutions Containing Surfactants

Publications (1)

Publication Number Publication Date
US20110171583A1 true US20110171583A1 (en) 2011-07-14

Family

ID=34862010

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/804,513 Expired - Lifetime US7521405B2 (en) 1999-05-04 2004-03-19 Process solutions containing surfactants
US11/940,374 Abandoned US20080063984A1 (en) 2002-08-12 2007-11-15 Process Solutions Containing Surfactants
US12/959,067 Abandoned US20110171583A1 (en) 2002-08-12 2010-12-02 Process Solutions Containing Surfactants

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/804,513 Expired - Lifetime US7521405B2 (en) 1999-05-04 2004-03-19 Process solutions containing surfactants
US11/940,374 Abandoned US20080063984A1 (en) 2002-08-12 2007-11-15 Process Solutions Containing Surfactants

Country Status (7)

Country Link
US (3) US7521405B2 (en)
EP (1) EP1580607B1 (en)
JP (1) JP4227112B2 (en)
KR (3) KR100786608B1 (en)
CN (2) CN102122121B (en)
SG (2) SG143251A1 (en)
TW (1) TWI313710B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110229832A1 (en) * 2008-11-27 2011-09-22 Fujifilm Corporation Pattern forming method using developer containing organic solvent and rinsing solution for use in the pattern forming method
US20160056049A1 (en) * 2014-08-25 2016-02-25 I-Shan Ke Wafer treatment solution for edge-bead removal, edge film hump reduction and resist surface smooth, its apparatus and edge-bead removal method by using the same

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7910223B2 (en) 2003-07-17 2011-03-22 Honeywell International Inc. Planarization films for advanced microelectronic applications and devices and methods of production thereof
US7867687B2 (en) * 2003-10-15 2011-01-11 Intel Corporation Methods and compositions for reducing line wide roughness
JP4864698B2 (en) * 2004-04-23 2012-02-01 東京応化工業株式会社 Rinsing liquid for lithography
JP4237184B2 (en) * 2005-03-31 2009-03-11 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
TW200732863A (en) 2006-01-11 2007-09-01 Tokyo Ohka Kogyo Co Ltd Detergent for lithography and method of forming resist pattern with the same
JP4767829B2 (en) * 2006-01-11 2011-09-07 東京応化工業株式会社 Lithographic cleaning agent and resist pattern forming method using the same
JP2007219009A (en) * 2006-02-14 2007-08-30 Az Electronic Materials Kk Processing solvent for resist substrate and method for processing resist substrate using the same
KR100761857B1 (en) * 2006-09-08 2007-09-28 삼성전자주식회사 Method for forming fine pattern in semiconductor device and method for semiconductor device using the same
JP4700672B2 (en) * 2006-11-08 2011-06-15 エーエスエムエル マスクツールズ ビー.ブイ. Method, program, and apparatus for predicting line width roughness and resist pattern defects and their use in lithography simulation processes
JP2008142895A (en) * 2006-12-05 2008-06-26 Fujifilm Corp Mold structure
DE102006060720A1 (en) * 2006-12-21 2008-06-26 Qimonda Ag Reducing roughness of surface of resist layer comprises treating layer with e.g. epoxy compound, where surface of the resist layer is modified and the surface roughness is decreased
JP4554665B2 (en) 2006-12-25 2010-09-29 富士フイルム株式会社 PATTERN FORMATION METHOD, POSITIVE RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED FOR THE PATTERN FORMATION METHOD, NEGATIVE DEVELOPMENT SOLUTION USED FOR THE PATTERN FORMATION METHOD, AND NEGATIVE DEVELOPMENT RINSE SOLUTION USED FOR THE PATTERN FORMATION METHOD
US8637229B2 (en) * 2006-12-25 2014-01-28 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8530148B2 (en) * 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
KR100891255B1 (en) * 2007-01-05 2009-04-01 주식회사 하이닉스반도체 Etchant Compositon for Preventing Leaning of Capacitor and Method for Manufacturing Capacitor Using the Same
JP4680944B2 (en) * 2007-01-24 2011-05-11 信越化学工業株式会社 Pattern formation method
US8034547B2 (en) * 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
KR100990106B1 (en) 2007-04-13 2010-10-29 후지필름 가부시키가이샤 Method for pattern formation, and resist composition, developing solution and rinsing liquid for use in the method for pattern formation
US8603733B2 (en) 2007-04-13 2013-12-10 Fujifilm Corporation Pattern forming method, and resist composition, developer and rinsing solution used in the pattern forming method
US8476001B2 (en) 2007-05-15 2013-07-02 Fujifilm Corporation Pattern forming method
JP4558064B2 (en) 2007-05-15 2010-10-06 富士フイルム株式会社 Pattern formation method
JP4783853B2 (en) 2007-06-12 2011-09-28 富士フイルム株式会社 PATTERN FORMING METHOD USING NEGATIVE DEVELOPING RESIST COMPOSITION
US8617794B2 (en) 2007-06-12 2013-12-31 Fujifilm Corporation Method of forming patterns
JP4617337B2 (en) * 2007-06-12 2011-01-26 富士フイルム株式会社 Pattern formation method
JP4590431B2 (en) 2007-06-12 2010-12-01 富士フイルム株式会社 Pattern formation method
US8632942B2 (en) 2007-06-12 2014-01-21 Fujifilm Corporation Method of forming patterns
TWI408518B (en) * 2007-09-28 2013-09-11 Anji Microelectronics Co Ltd A stripper for photoresists
JP2012516380A (en) 2009-01-28 2012-07-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド In situ cleaning formulation for lithographic tools
JP5464055B2 (en) * 2009-06-02 2014-04-09 日信化学工業株式会社 Water-based cutting fluid and water-based cutting agent
CN101937175B (en) * 2009-07-03 2012-08-22 中芯国际集成电路制造(上海)有限公司 Photoetching method
JP5720572B2 (en) * 2009-10-02 2015-05-20 三菱瓦斯化学株式会社 Treatment liquid for suppressing pattern collapse of metal microstructure and method for producing metal microstructure using the same
US8617993B2 (en) * 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
JP5591623B2 (en) * 2010-08-13 2014-09-17 AzエレクトロニックマテリアルズIp株式会社 Rinsing liquid for lithography and pattern forming method using the same
CN103081072A (en) * 2010-08-27 2013-05-01 高级技术材料公司 Method for preventing the collapse of high aspect ratio structures during drying
JP5659872B2 (en) * 2010-10-22 2015-01-28 富士通株式会社 Resist pattern improving material, resist pattern forming method, and semiconductor device manufacturing method
KR101866448B1 (en) 2011-02-10 2018-06-11 삼성전자주식회사 Method of manufacturing photomask using the same, computer readable media including a sequence of programmed instructions stored thereon for implementing the same and mask imaging system
EP2721391B1 (en) 2011-06-17 2022-04-06 Roche Diagnostics Hematology, Inc. Solution and method for histoprocessing of biological samples
US20130040246A1 (en) * 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
CN103365121B (en) * 2012-03-29 2018-10-02 东友精细化工有限公司 Resist stripping composition and the method for removing resist using the resist stripping composition
US9097977B2 (en) 2012-05-15 2015-08-04 Tokyo Electron Limited Process sequence for reducing pattern roughness and deformity
KR101993360B1 (en) 2012-08-08 2019-06-26 삼성전자주식회사 Phto lithographic rinse solution
KR102209867B1 (en) * 2012-12-14 2021-01-29 바스프 에스이 Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
CN103076725A (en) * 2013-01-31 2013-05-01 北京七星华创电子股份有限公司 Solution for removing photoresist and application of solution
US8999840B2 (en) 2013-07-11 2015-04-07 Samsung Electronics Co., Ltd. Method of forming fine patterns of semiconductor device
EP2940113A1 (en) * 2014-04-30 2015-11-04 The Procter and Gamble Company Cleaning composition
JP2016139774A (en) * 2015-01-23 2016-08-04 富士フイルム株式会社 Pattern processing method, manufacturing method of semiconductor substrate product, and pretreatment liquid of pattern structure
KR102055153B1 (en) * 2015-06-23 2019-12-12 후지필름 가부시키가이샤 Developer, pattern formation method, and manufacturing method of electronic device
KR101617169B1 (en) * 2015-07-17 2016-05-03 영창케미칼 주식회사 Cleaning composition for photolithography and method for forming photoresist pattern using the same
KR102507301B1 (en) * 2015-12-23 2023-03-07 삼성전자주식회사 Photolithographic rinse solution and method of manufacturing integrated circuit device using the same
KR101771412B1 (en) 2016-03-04 2017-08-25 에스케이이노베이션 주식회사 Asphalt composition
KR101730839B1 (en) * 2016-05-04 2017-04-28 영창케미칼 주식회사 Process and composition for improving line width roughness of nega tone photoresist pattern
WO2017220479A1 (en) * 2016-06-20 2017-12-28 Az Electronic Materials (Luxembourg) S.A.R.L. A rinse composition, a method for forming resist patterns and a method for making semiconductor devices
JP6847123B2 (en) * 2016-11-07 2021-03-24 富士フイルム株式会社 Treatment liquid and pattern formation method
US10727044B2 (en) * 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
US10748757B2 (en) 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
CN112673317A (en) * 2018-08-31 2021-04-16 霍尼韦尔国际公司 Water-soluble polymers for reducing pattern collapse
JP2020067547A (en) * 2018-10-24 2020-04-30 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH Semiconductor aqueous composition and use of the same
KR102634865B1 (en) * 2019-08-02 2024-02-06 주식회사 엘지화학 Method for preparation magnet powder and sintered magnet produced by the same
CN110790786B (en) * 2019-11-07 2022-02-18 六安捷通达新材料有限公司 Preparation process of flame-retardant epoxy resin curing agent
KR20210069352A (en) 2019-12-03 2021-06-11 쓰리엠 이노베이티브 프로퍼티즈 캄파니 Rinsing composition and method for treating surface of photoresist material using same
KR102358801B1 (en) * 2019-12-27 2022-02-08 주식회사 케이씨텍 Surface treatment composition and surface treatment method using the same
KR102424063B1 (en) * 2020-11-30 2022-07-26 주식회사 케이씨텍 Surface treatment composition and surface treatment method using the same

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3192113A (en) * 1964-04-07 1965-06-29 American Cyanamid Co N, n'-dialkylenediamines as antitubercular agents
US4883067A (en) * 1987-05-15 1989-11-28 Neurosonics, Inc. Method and apparatus for translating the EEG into music to induce and control various psychological and physiological states and to control a musical instrument
US4944893A (en) * 1987-09-16 1990-07-31 Tokyo Ohka Kogyo Co., Ltd. Remover solution for resist
US5127571A (en) * 1991-10-31 1992-07-07 International Business Machines Corporation Water soluble soldering preflux and method of application
US5232815A (en) * 1989-12-15 1993-08-03 W. R. Grace & Co.-Conn. Autodeposition emulsion and methods of using thereof to selectively protect metallic surfaces
US5508141A (en) * 1989-12-15 1996-04-16 W. R. Grace & Co.-Conn. Autodeposition emulsion and methods of using thereof to selectively protect metallic surfaces
US5650543A (en) * 1993-11-29 1997-07-22 Air Products And Chemicals, Inc. Ethoxylated acetylenic glycols having low dynamic surface tension
US5756267A (en) * 1990-05-29 1998-05-26 Fuji Photo Film Co., Ltd. Developing solution for negative type photosensitive resin compositions
US5795702A (en) * 1995-09-29 1998-08-18 Tokyo Ohka Kogyo Co, Ltd. Photoresist stripping liquid compositions and a method of stripping photoresists using the same
US5948464A (en) * 1996-06-19 1999-09-07 Imra America, Inc. Process of manufacturing porous separator for electrochemical power supply
US5977041A (en) * 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6039799A (en) * 1997-08-26 2000-03-21 Sumitomo Chemical Company Limited Paper coating composition
US6152148A (en) * 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6261466B1 (en) * 1997-12-11 2001-07-17 Shipley Company, L.L.C. Composition for circuit board manufacture
US6261745B1 (en) * 1998-06-05 2001-07-17 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating liquid compositions and a process for treatment therewith
US6313182B1 (en) * 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
US6552091B1 (en) * 1999-08-27 2003-04-22 Goldschmidt Ag Block-copolymeric polyalkylene oxides containing styrene oxide, obtained by alkoxylation, and their use
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
US6670107B2 (en) * 2000-02-26 2003-12-30 Shipley Company, L.L.C. Method of reducing defects
US6964941B2 (en) * 2000-06-02 2005-11-15 The Procter & Gamble Company Cleaning composition and device for electronic equipment
US7078358B2 (en) * 1999-05-07 2006-07-18 Air Products And Chemicals, Inc. Low VOC cleanroom cleaning wipe
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1984003633A1 (en) * 1983-03-25 1984-09-27 Thomas J Fogarty Inverted balloon catheter having sealed through lumen
JPS6232453A (en) * 1985-08-06 1987-02-12 Tokyo Ohka Kogyo Co Ltd Developing solution for positive type photoresist
WO1987003387A1 (en) 1985-11-27 1987-06-04 Macdermid, Incorporated Thermally stabilized photoresist images
US4744834A (en) * 1986-04-30 1988-05-17 Noor Haq Photoresist stripper comprising a pyrrolidinone, a diethylene glycol ether, a polyglycol and a quaternary ammonium hydroxide
JP2553872B2 (en) * 1987-07-21 1996-11-13 東京応化工業株式会社 Stripping solution for photoresist
JPS6488547A (en) 1987-09-30 1989-04-03 Toshiba Corp Production of semiconductor device
JPH07142349A (en) 1993-11-16 1995-06-02 Mitsubishi Electric Corp Method for preventing tilting of photoresist pattern in developing step
EP0671662B1 (en) 1994-02-24 1999-01-20 Nec Corporation Method for developing a resist pattern
US5545353A (en) * 1995-05-08 1996-08-13 Ocg Microelectronic Materials, Inc. Non-corrosive photoresist stripper composition
JPH088163A (en) 1994-06-21 1996-01-12 Sony Corp Pattern formation method
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
US5612304A (en) * 1995-07-07 1997-03-18 Olin Microelectronic Chemicals, Inc. Redox reagent-containing post-etch residue cleaning composition
US6030932A (en) 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
JPH10171128A (en) 1996-12-10 1998-06-26 Tokuyama Corp Thick aqueous solution of tetramethylammonium hydroxide
CA2330747C (en) 1998-05-18 2010-07-27 Mallinckrodt Inc. Silicate-containing alkaline compositions for cleaning microelectronic substrates
US20010039251A1 (en) * 1998-06-12 2001-11-08 Krishna G. Sachdev Removal of screening paste residue with quaternary ammonium hydroxide-based aqueous cleaning compositions
US6368421B1 (en) 1998-07-10 2002-04-09 Clariant Finance (Bvi) Limited Composition for stripping photoresist and organic materials from substrate surfaces
KR20000026372A (en) 1998-10-20 2000-05-15 윤종용 Device for chemical mechanical polishing
JP4516176B2 (en) 1999-04-20 2010-08-04 関東化学株式会社 Substrate cleaning solution for electronic materials
US6864395B2 (en) 1999-05-04 2005-03-08 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
JP2001033988A (en) 1999-07-15 2001-02-09 Tokyo Ohka Kogyo Co Ltd Rinsing solution for photolithography, and treatment of substrate using same
US20020000239A1 (en) * 1999-09-27 2002-01-03 Krishna G. Sachdev Removal of cured silicone adhesive for reworking electronic components
US6369146B1 (en) 1999-10-26 2002-04-09 Air Products And Chemicals, Inc. Malic acid diester surfactants
JP2001215690A (en) 2000-01-04 2001-08-10 Air Prod And Chem Inc Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresis developer
US6268115B1 (en) 2000-01-06 2001-07-31 Air Products And Chemicals, Inc. Use of alkylated polyamines in photoresist developers
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
WO2002023598A2 (en) 2000-09-15 2002-03-21 Infineon Technologies North America Corp. A method to reduce post-development defects without sacrificing throughput
KR20020056785A (en) * 2000-12-29 2002-07-10 이원목 Microcapsule containing phase change material and article having enhanced thermal storage properties by comprising the same
US6451510B1 (en) 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
US6413914B1 (en) 2001-03-29 2002-07-02 Air Products And Chemicals, Inc. Low foam N,N′-dialkylmalamide wetting agents
JP2003010774A (en) 2001-06-27 2003-01-14 Nippon Paint Co Ltd Method of coating metallic material
US6656977B2 (en) 2001-07-20 2003-12-02 Air Products And Chemical, Inc. Alkyl glycidyl ether-capped polyamine foam control agents
US6762208B2 (en) 2001-10-01 2004-07-13 Air Products And Chemicals, Inc. Alkane diol foam controlling agents
KR100802269B1 (en) * 2001-12-29 2008-02-11 주식회사 하이닉스반도체 Cleaning equipment for semiconductor and cleaning method of using the same
US20040074519A1 (en) * 2002-10-17 2004-04-22 Moore John C. Metal safe stabilized stripper for removing cured polymeric layers and negative tone acrylic photoresists
JP4045180B2 (en) 2002-12-03 2008-02-13 Azエレクトロニックマテリアルズ株式会社 Rinsing liquid for lithography and resist pattern forming method using the same
TWI259319B (en) * 2004-01-23 2006-08-01 Air Prod & Chem Immersion lithography fluids
US20050161644A1 (en) * 2004-01-23 2005-07-28 Peng Zhang Immersion lithography fluids

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3192113A (en) * 1964-04-07 1965-06-29 American Cyanamid Co N, n'-dialkylenediamines as antitubercular agents
US4883067A (en) * 1987-05-15 1989-11-28 Neurosonics, Inc. Method and apparatus for translating the EEG into music to induce and control various psychological and physiological states and to control a musical instrument
US4944893A (en) * 1987-09-16 1990-07-31 Tokyo Ohka Kogyo Co., Ltd. Remover solution for resist
US5232815A (en) * 1989-12-15 1993-08-03 W. R. Grace & Co.-Conn. Autodeposition emulsion and methods of using thereof to selectively protect metallic surfaces
US5508141A (en) * 1989-12-15 1996-04-16 W. R. Grace & Co.-Conn. Autodeposition emulsion and methods of using thereof to selectively protect metallic surfaces
US5756267A (en) * 1990-05-29 1998-05-26 Fuji Photo Film Co., Ltd. Developing solution for negative type photosensitive resin compositions
US5127571A (en) * 1991-10-31 1992-07-07 International Business Machines Corporation Water soluble soldering preflux and method of application
US5650543A (en) * 1993-11-29 1997-07-22 Air Products And Chemicals, Inc. Ethoxylated acetylenic glycols having low dynamic surface tension
US5795702A (en) * 1995-09-29 1998-08-18 Tokyo Ohka Kogyo Co, Ltd. Photoresist stripping liquid compositions and a method of stripping photoresists using the same
US5948464A (en) * 1996-06-19 1999-09-07 Imra America, Inc. Process of manufacturing porous separator for electrochemical power supply
US6039799A (en) * 1997-08-26 2000-03-21 Sumitomo Chemical Company Limited Paper coating composition
US5977041A (en) * 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6033993A (en) * 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6261466B1 (en) * 1997-12-11 2001-07-17 Shipley Company, L.L.C. Composition for circuit board manufacture
US6261745B1 (en) * 1998-06-05 2001-07-17 Tokyo Ohka Kogyo Co., Ltd. Post-ashing treating liquid compositions and a process for treatment therewith
US6152148A (en) * 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
US6313182B1 (en) * 1999-05-04 2001-11-06 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
US7078358B2 (en) * 1999-05-07 2006-07-18 Air Products And Chemicals, Inc. Low VOC cleanroom cleaning wipe
US6552091B1 (en) * 1999-08-27 2003-04-22 Goldschmidt Ag Block-copolymeric polyalkylene oxides containing styrene oxide, obtained by alkoxylation, and their use
US6194366B1 (en) * 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6670107B2 (en) * 2000-02-26 2003-12-30 Shipley Company, L.L.C. Method of reducing defects
US6964941B2 (en) * 2000-06-02 2005-11-15 The Procter & Gamble Company Cleaning composition and device for electronic equipment
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7591270B2 (en) * 2002-08-12 2009-09-22 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7452426B2 (en) * 2003-10-20 2008-11-18 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110229832A1 (en) * 2008-11-27 2011-09-22 Fujifilm Corporation Pattern forming method using developer containing organic solvent and rinsing solution for use in the pattern forming method
US8709704B2 (en) 2008-11-27 2014-04-29 Fujifilm Corporation Pattern forming method using developer containing organic solvent and rinsing solution for use in the pattern forming method
US20160056049A1 (en) * 2014-08-25 2016-02-25 I-Shan Ke Wafer treatment solution for edge-bead removal, edge film hump reduction and resist surface smooth, its apparatus and edge-bead removal method by using the same
US9508558B2 (en) * 2014-08-25 2016-11-29 I-Shan Ke Wafer treatment solution for edge-bead removal, edge film hump reduction and resist surface smooth, its apparatus and edge-bead removal method by using the same

Also Published As

Publication number Publication date
TWI313710B (en) 2009-08-21
KR20060043753A (en) 2006-05-15
JP4227112B2 (en) 2009-02-18
CN1699530A (en) 2005-11-23
CN102122121A (en) 2011-07-13
US20080063984A1 (en) 2008-03-13
SG115856A1 (en) 2005-10-28
SG143251A1 (en) 2008-06-27
EP1580607B1 (en) 2014-10-22
KR20100012850A (en) 2010-02-08
KR100958689B1 (en) 2010-05-20
EP1580607A3 (en) 2005-10-12
US7521405B2 (en) 2009-04-21
KR100786608B1 (en) 2007-12-21
CN102122121B (en) 2013-08-14
TW200611970A (en) 2006-04-16
US20040204328A1 (en) 2004-10-14
KR20070103339A (en) 2007-10-23
JP2005292827A (en) 2005-10-20
KR101202860B1 (en) 2012-11-19
CN1699530B (en) 2011-03-30
EP1580607A2 (en) 2005-09-28

Similar Documents

Publication Publication Date Title
US7521405B2 (en) Process solutions containing surfactants
US8227395B2 (en) Process solutions containing surfactants
JP4842982B2 (en) Rinse solution for reducing pattern crushing defects on substrate surface
US6641986B1 (en) Acetylenic diol surfactant solutions and methods of using same
WO2002001299A1 (en) Development defect preventing process and material
JP2004029088A (en) Development defect preventing process and composition used in the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:041772/0733

Effective date: 20170214