US20110221039A1 - Defect capping for reduced defect density epitaxial articles - Google Patents

Defect capping for reduced defect density epitaxial articles Download PDF

Info

Publication number
US20110221039A1
US20110221039A1 US12/723,309 US72330910A US2011221039A1 US 20110221039 A1 US20110221039 A1 US 20110221039A1 US 72330910 A US72330910 A US 72330910A US 2011221039 A1 US2011221039 A1 US 2011221039A1
Authority
US
United States
Prior art keywords
epitaxial
regions
defect
layer
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/723,309
Inventor
Rajiv K. Singh
Arul Chakkaravarthi Arjunan
Deepika Singh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Florida Research Foundation Inc
Entegris Inc
Original Assignee
University of Florida Research Foundation Inc
Sinmat Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US12/723,309 priority Critical patent/US20110221039A1/en
Application filed by University of Florida Research Foundation Inc, Sinmat Inc filed Critical University of Florida Research Foundation Inc
Assigned to UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC. reassignment UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SINGH, RAJIV K.
Assigned to SINMAT, INC. reassignment SINMAT, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ARJUNAN, ARUL CHAKKARAVARTHU, SINGH, DEEPIKA
Priority to PCT/US2011/028144 priority patent/WO2011112963A2/en
Priority to EP11754183.9A priority patent/EP2545582A4/en
Priority to JP2012557288A priority patent/JP2013535090A/en
Priority to KR1020127026675A priority patent/KR20120137411A/en
Priority to TW100108637A priority patent/TW201207903A/en
Publication of US20110221039A1 publication Critical patent/US20110221039A1/en
Priority to US13/872,821 priority patent/US9218954B2/en
Assigned to ENTEGRIS, INC. reassignment ENTEGRIS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SINMAT, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02647Lateral overgrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds

Definitions

  • Disclosed embodiments relate to methods for forming reduced defect density epitaxial layers on substrates, and epitaxial articles therefrom.
  • Epitaxial layers are used in a wide variety of devices, including semiconductor devices. In a significant percentage of these devices, a low defect density epitaxial layer is needed for proper device operation.
  • An epitaxial layer is defined as a film that is grown on a substrate having a substrate surface which acts as a seed crystal, wherein the deposited epitaxial film takes on a lattice structure and orientation based on that of the substrate surface.
  • Crystalline defects are known to include one dimensional, two dimensional and three dimensional defects, which are referred to as propagating defects during epitaxial growth since they propagate in epitaxial layers during epitaxial growth. Such crystalline defects can adversely affect the performance of the device.
  • One-dimensional defects include straight dislocations (edge or screw), dislocation loops, two dimensional defects include grain boundaries stacking faults, & twins, while three dimensional defects include precipitates & voids.
  • propagating defects can act as scattering centers for carriers, thus reducing carrier mobility which limits the performance (e.g., speed) of the semiconductor device.
  • Propagating defects can also act as centers of non-radiative recombination, affecting performance of light-emitting devices such as light emitting diodes (LED), optical amplifiers, and semiconductor diode lasers.
  • Propagating devices can multiply or transform to other form of defects during device operation and can kill the device or degrade the performance of device (e.g., current collapse or increase reverse leakage current).
  • GaN gallium nitride
  • SiC silicon carbide
  • final frontiers for semiconductors group III-nitride semiconductors
  • group III-nitride semiconductors such as GaN have capabilities including amplifying (with low distortion) high-frequency RF signals, and high temperature operability, and are thus ideally suited for a wide range of applications.
  • GaN is generally grown as an epitaxial layer on a substrate material, such as GaN, SiC, sapphire or Si.
  • a substrate material such as GaN, SiC, sapphire or Si.
  • Embodiments of the invention describe methods for forming reduced defect density epitaxial layers on a substrate, and epitaxial articles therefrom.
  • the reduced defect density epitaxial layers generally extend across the entire area of the epitaxial layer to provide a uniform microstructure.
  • the epitaxy can be either homoepitaxy (i.e., epitaxial layer having the same composition as the substrate surface) or heteroepitaxy (i.e., epitaxial layer having a different composition as compared to the substrate surface).
  • the epitaxial article generally includes a substrate including a substrate surface having a substrate surface composition including crystalline defect regions and non-defect regions.
  • the defect regions are recessed from the substrate surface.
  • a capping material fills the surface recess regions to provide capped defects that extend from a top of the defect regions to the substrate surface.
  • the capping material is compositionally different from the substrate surface composition.
  • An epitaxial layer over the substrate surface provides an average crystalline defect density in at least one area having a size ⁇ 0.1 ⁇ 2 that is ⁇ two times lower than an average crystalline defect density in that same area at or below the substrate surface.
  • FIG. 1 shows steps in an exemplary defect capping (DCAP) method for forming reduced defect density epitaxial layers on crystalline substrate surfaces, according to an embodiment of the invention.
  • DCAP defect capping
  • FIGS. 2A-F show successive cross sectional depictions for an exemplary DCAP process flow for forming a reduced defect density epitaxial layer on a substrate shown as GaN epitaxial layer on an epi substrate having a thin GaN layer thereon, according to an embodiment of the invention.
  • FIGS. 3A-F show successive cross sectional depictions for an exemplary DCAP process flow for forming a reduced defect density epitaxial layer on a substrate shown as a SiC epitaxial layer on a bulk SiC substrate, according to an embodiment of the invention.
  • FIGS. 4A-H show successive cross sectional depictions for an exemplary DCAP process flow for forming a reduced defect density GaN or AlGaN epitaxial layer on a SiC substrate, according to another embodiment of the invention.
  • Disclosed embodiments include methods for forming reduced defect density epitaxial layers and epitaxial articles therefrom.
  • Methodologies included delineating surface crystalline defects in the substrate surface and capping the delineated defects present in the substrate surface so that nucleation from the defect regions during subsequent epitaxial growth is generally prevented, or is at least restricted.
  • Defect delineation can also remove stressed regions, round the defects, and provide strain flexibility to reduce growth stresses in the epitaxial layer formed on the defect capped substrate. The growth stresses are believed to be reduced primarily because the defect delineated regions have ability to move laterally so that stresses are relaxed.
  • the defect density of the epitaxial layer grown is no longer significantly dependent on the substrate quality (e.g., its defect density) from the as received substrate from the substrate suppliers/vendors, lower cost bulk substrates (e.g., bulk silicon wafers) can generally be used, which can significantly reduce manufacturing costs.
  • Disclosed embodiments are widely applicable to a variety of materials and as described above apply to both homoepitaxy and heteroepitaxy.
  • Exemplary materials for use as substrate or epitaxial layers include, but are not limited to, Group IV, Group II-VI, Group III-V and Group IV-VI materials.
  • Non-limiting examples of homoepitaxy include silicon on silicon, GaN on GaN, SiC on SiC, and GaAs on GaAs.
  • the Group IV materials can comprise Group IV elemental semiconductors such as Diamond (C), Silicon (Si), Germanium (Ge).
  • the Group IV materials can also comprise Group IV compound semiconductors such as SiC, Silicon-germanium (SiGe) or Si—Ge—C.
  • the Group II-VI materials can comprise II-VI semiconductors such as Cadmium selenide (CdSe), Cadmium sulfide (CdS), Cadmium telluride (CdTe), Zinc oxide (ZnO), Zinc selenide (ZnSe), Zinc sulfide (ZnS), Zinc telluride (ZnTe) and II-VI ternary alloy semiconductors including Cadmium zinc telluride (CdZnTe, CZT), Mercury cadmium telluride (HgCdTe), Mercury zinc telluride (HgZnTe), Mercury zinc selenide (HgZnSe)).
  • II-VI semiconductors such as Cadmium selenide (CdSe), Cadmium sulfide (CdS), Cadmium telluride (CdTe), Zinc oxide (ZnO), Zinc selenide (ZnSe), Zinc sulfide (ZnS), Zinc telluride (ZnTe
  • the Group III-V materials can comprise III-V semiconductors including Aluminum antimonide (AlSb), Aluminium arsenide (AlAs), Aluminium nitride (AlN), Aluminium phosphide (AlP), Boron nitride (BN), Boron phosphide (BP), Boron arsenide (BAs), Gallium antimonide (GaSb), GaAs, GaN, Gallium phosphide (GaP), Indium antimonide (InSb), Indium arsenide (InAs), Indium nitride (InN), Indium phosphide (InP) and III-V ternary semiconductor alloys including Aluminium gallium arsenide (AlGaAs, Al x Ga 1-x As), Indium gallium arsenide (InGaAs, In x Ga 1-x As), Indium gallium phosphide (InGaP), Aluminium indium arsenide (AlInAs), Aluminium indium antimonide (A
  • the Group IV-VI materials can comprise IV-VI semiconductors including Lead selenide (PbSe), Lead sulfide (PbS), Lead telluride (PbTe), Tin sulfide (SnS), Tin telluride (SnTe) and IV-VI ternary semiconductors including lead tin telluride (PbSnTe), Thallium tin telluride (Tl 2 SnTe 5 ), Thallium germanium telluride (Tl 2 GeTe 5 )). Alloys of the materials described above are also all generally included.
  • IV-VI semiconductors including Lead selenide (PbSe), Lead sulfide (PbS), Lead telluride (PbTe), Tin sulfide (SnS), Tin telluride (SnTe) and IV-VI ternary semiconductors including lead tin telluride (PbSnTe), Thallium tin telluride (Tl 2 SnTe 5 ), Thallium german
  • a substrate comprising a surface having crystalline defect or amorphous regions and crystalline non-defect regions.
  • the substrate can be a bulk substrate, an epitaxial substrate or a coated substrate.
  • a bulk substrate refers to substrate of similar composition throughout with thickness at least 50 micrometers.
  • a coated substrate is bulk substrate coated with film thickness ranging from 5 ⁇ to 1 mm.
  • the films can comprise single or multiple layers.
  • An epitaxial substrate can be a bulk substrate with a epitaxial film thereon generally having a thickness of 5 Angstroms to 1 millimeters, such as grown over a commercially provided substrate.
  • the epitaxial substrate can comprise single or multiple layers.
  • Epitaxial substrates can also comprise a bulk substrate with one or more coated layers followed by epitaxial layers.
  • the underlying layer/layers may have an epitaxial or non-epitaxial orientation.
  • references to the “epitaxial layer” herein will generally refer to the reduced defect density epitaxial layer described in detail herein, while the underlying layer provided by an epitaxial substrate will generally be referred to herein as an “underlying epitaxial layer”.
  • the substrate and underlying epitaxial layer can be independently selected from group IV, II-VI, III-V, IV-VI materials, or binary ternary or quaternary alloys of group VI, II-VI and III-V materials or other materials which have an epitaxial relation relationship for forming epitaxial layer or thin underlying epitaxial layers such as those described above.
  • the substrate comprises silicon, SiC or SiGe, GaAs, GaP or Ge, diamond, sapphire, zinc oxide, III-Nitrides such as AlGaN, AlN, InN, or GaN
  • the underlying epitaxial layer can comprise a group III-nitride semiconductor, such as AlGaN, AlN, InN, GaN, or a Group II-VI compound or a Group IV material.
  • the substrate comprises SiC and the underlying epitaxial layer comprises SiC.
  • the substrate comprises diamond.
  • the crystalline defect or amorphous regions in the substrate surface are preferentially polished or etched relative to the crystalline non-defect regions to form a decorated substrate surface comprising surface recessed or dimpled regions, thus delineating defects.
  • the preferential polishing or etching comprises wet chemical etching.
  • the preferential polishing or etching comprises dry chemical etching, such plasma etching or reactive ion etching (RIE).
  • RIE reactive ion etching
  • Other preferential chemical etching processes can include Inductively Coupled Plasma (ICP) etching and chemically assisted ion beam etching.
  • the preferential polishing or etching comprises chemical mechanical polishing (CMP).
  • CMP involves moving the substrate relative to a polymeric pad which is supplied with a slurry.
  • the slurry is a mixture of chemicals and particles.
  • the particles are typically oxides of silicon, aluminum, zirconium or carbides or nitrides of silicon, boron, tantalum or diamond.
  • the chemicals typically comprise surfactants, oxidizers and pH control additives.
  • the typical pH ranges from 0.5 to 13.5 and oxidizing agents such as H 2 O 2 , oxones, ferricynates, per-compounds such as perchlorates, and permanganates are added.
  • the surfactants can be anionic, cationic or non ionic surfactants. Salts such as halides, sulfates, nitrates, can be used.
  • Typical polishing pressure can range from 0.1 to 20 psi, while the particle content can vary from 0.0 to 50%.
  • the particle size can generally vary from 2 nm to 100 micron.
  • the CMP process can be designed to remove material uniformly in the crystalline defect or amorphous regions and crystalline non-defect areas.
  • the CMP processes for delineating defects and will generally have high removal rates at defect or amorphous sites when compared to crystalline non-defect areas to generate surface recessed regions. This is because defect or amorphous areas can be more reactive with CMP slurry chemistry or may be softer for easier mechanical removal.
  • the polishing selectivity between defective regions and non-defective regions allows delineation of defects.
  • the selectivity ratio can be defined as the ratio of the polishing rate in non-defect regions and defect regions. Under the same polishing conditions this ratio should range from 0.0 to 0.999 for defect delineation.
  • the polish rate for each of the regions can vary from 1 A/hr up to 10 mm/hr. It is desirable to have a low polish rate ratio since it generally becomes easier to delineate the defects.
  • the polishing selectivity ratio can be below 0.98, such as below 0.90.
  • the CMP process for delineating defects will thus generally have high chemical or mechanical action for the defective regions as compared to non defective regions.
  • defect delineating CMP crystalline non-defect regions experience low polishing rates relative to crystalline defect regions.
  • the non-defect regions after defect delineating CMP are generally highly planar with low surface roughness generally being atomic scale roughness, which is defined herein as ⁇ 5 A rms roughness.
  • the recessed area can vary from less than 50% of the total area of the substrate to as low as 0.0001% of the total area of the substrate.
  • the depth of recessed area can vary for 5 ⁇ up to 100 ⁇ m.
  • the area of the each recessed area can vary from 0.1 ⁇ 2 to 10,000 ⁇ 2 .
  • the number of recessed structures can vary from 1/cm 2 to 10 14 /cm 2 depending on the defect density of the material.
  • Steps 103 and 104 described below in combination are essentially analogous to a damascene process (e.g., copper damascene) which together function to cap defects in that they involve a process to fill up apertures that comprise surface recess regions followed by removal of the overburden deposited material.
  • Step 103 comprises depositing a capping layer on the decorated substrate surface to cover the crystalline non-defect regions and to at least partially (and generally fully fill) the surface recess regions.
  • the capping layer material generally comprises an amorphous or polycrystalline material that is compositionally different from the substrate surface composition.
  • the deposition of the capping layer generally comprises a blanket (un-masked) deposition, and the capping layer after subsequent patterning described below provides a masking function for restricting growth of the epitaxial layer over the surface recess regions.
  • the typical thickness of the applied capping layer can match the surface recess regions depth, or it can be less or equal or more (5 A to 100 microns) as compared to the depth of the surface recess regions.
  • the capping layer generally comprises a blanket (i.e., unmasked) deposition, such as provided by CVD, LPCVD or PECVD processing, PVD, spin coating or generally any other physical, chemical, or electrochemical or electroless deposition method.
  • the blanket capping layer fills the surface recess regions and extends above the surface recess regions and is also deposited laterally thereto, which is then etched back or polished to define the capping layer and remove the capping layer in the overburden regions.
  • the capping layer can be defined as a layer from which the epitaxial film growth rate is at least 20% to 100% lower (100% lower which is equivalent to no growth) as compared to the growth rate over non-capped surface regions in which the non-defect regions are exposed. As described above, in one embodiment the reduced defect density epitaxial film does not nucleate from the defect capped regions.
  • the capping layer can comprise, for example, silica, silicon nitride or silicon oxynitride, metals, non-metals, ceramics, photo-resists, crystalline or amorphous materials.
  • the capping layer can also comprise a metal or metal alloy (e.g., by electrochemical or electroless deposition) such as comprising a refractory metal, polymer, ceramic, composite, glass, or generally any combination thereof.
  • Step 104 comprises patterning the capping layer by removing the capping layer over the crystalline non-defect regions to form exposed non-defect regions while retaining the capping layer within at least a portion of the surface recess regions.
  • the patterning comprises CMP.
  • CMP removes the overlay of capped material (overburden) from non-defective regions and leaves the capping material inside within the surface recess regions.
  • the CMP of the capping layer can also be carried out under wide variety of conditions.
  • the process can generally use any polymeric pad, with polishing pressure ranging from 0.1 to 20 psi.
  • the slurry composition can be varied as described above in the section on defect delineation.
  • the polish rate of the CMP can vary from 0.01 ⁇ /min to 10 ⁇ m/min.
  • the patterning comprises dry chemical etching, such as RIE or chemical etching.
  • Step 105 comprises selective epitaxy to form a reduced defect density epitaxial layer over the exposed crystalline non-defect regions, wherein the capping layer in the surface recess regions restricts, and generally prevents, nucleation during growth of the epitaxial layer over the surface recess regions.
  • the formation of the reduced defect density layer or epigrowth can be vertical growth or lateral growth, or combination of both and thereof. As the growth is taking place from non-defective areas, reduced epitaxial growth from such surfaces is generally provided.
  • method 100 can include CMP of the decorated substrate surface to provide an atomic scale finish, defined herein as being ⁇ 5 A rms surface roughness before the depositing step (step 103 ).
  • Another optional step comprises planarizing the epitaxial layer after step 105 using a process comprising CMP.
  • FIGS. 2A-F show successive cross sectional depictions for an exemplary DCAP homoepitaxy process flow for forming a reduced defect density epitaxial layer on a substrate shown as GaN epitaxial layer 115 on an epi substrate 120 comprising a thin GaN underlying epitaxial layer 102 on a base substrate layer 101 , according to an embodiment of the invention.
  • the thin GaN underlying epitaxial layer 102 includes a top surface 103 and includes crystalline defect or amorphous regions 111 shown as dislocations and crystalline non-defect regions 112 .
  • the dislocations 111 are shown extending the full thickness of the GaN underlying epitaxial layer 102 , but can extend over only a portion of the thickness.
  • FIG. 2B shows a cross sectional depiction following preferential polishing or etching which decorates the surface 103 of the GaN underlying epitaxial layer 102 by delineating defects to form the surface recess regions 113 shown.
  • FIG. 2C shows a cross sectional depiction following deposition of a capping layer material 108 which is shown filling the surface recess regions 113 .
  • FIG. 2D shows a cross sectional depiction following patterning of the capping layer 108 , such as by CMP processing to form capped defects 113 ( a ).
  • 2E shows a cross sectional depiction following selective epitaxy to form an epitaxial layer 115 , such as an epitaxial GaN layer, over the surface 103 of the thin GaN underlying epitaxial layer 102 .
  • epitaxial layer 115 lateral growth of epitaxial layer 115 during epitaxy allows epitaxial layer 115 to form over capped defects 113 ( a ) without growing therefrom.
  • FIG. 2F shows the epitaxial article 100 following CMP of the surface of the reduced defect density epitaxial layer 115 to provide an atomically smooth finish (i.e., ⁇ 5 A rms surface roughness).
  • Article 100 is shown including base substrate 101 , thin GaN underlying epitaxial layer 102 thereon that provides a substrate surface 103 for epitaxy.
  • Underlying epitaxial layer 102 is shown including crystalline defect regions 111 shown as dislocations and crystalline non-defect regions 112 .
  • the defect regions 111 are recessed from the substrate surface 103 by what had been surface recess regions 113 described above that are filled with a capping material 108 , such as silicon oxide, silicon oxynitride, silicon nitride, or other amorphous or polycrystalline materials, and shown as capped defects 113 ( a ).
  • a capping material 108 such as silicon oxide, silicon oxynitride, silicon nitride, or other amorphous or polycrystalline materials
  • the capping material 108 in capped defects 113 ( a ) extends from a top of the defect regions 111 (bottom of what had been surface recess regions 113 ) to the surface 103 of the GaN underlying epitaxial layer 102 .
  • the capping material 108 is compositionally different from the composition of the surface 103 of the GaN underlying epitaxial layer 102 in the non-defect regions.
  • the epitaxial layer 115 over the surface 103 of the GaN underlying epitaxial layer 102 provides an average crystalline defect density in at least one area having a size ⁇ 0.1 ⁇ 2 that is ⁇ two times lower than an average crystalline defect density in that area at or below the surface 103 of the GaN underlying epitaxial layer 102 .
  • the area of the reduced defect density epitaxial layer 115 is across an entire wafer (except the wafer edge, such as the edge exclusion region of the wafer).
  • the average crystalline defect density throughout the epitaxial layer 115 is ⁇ 10 8 cm ⁇ 2 , such as ⁇ 10 6 cm ⁇ 2 , which is generally at least two times lower, such as one to five orders of magnitude lower, than an average crystalline defect density in the area at or below the substrate surface (homoepitaxy) or the surface of the underlying epitaxial layer (heteroepitaxy).
  • the epitaxial layer 115 also generally has a uniform microstructure over its entire area. Uniform microstructure is defined herein as the defect density (measured by etch pit density) of epitaxial layer 115 over the full area of the wafer (other than the edge exclusion region) being ⁇ 10 9 cm ⁇ 2 .
  • the uniform microstructure provided by epitaxial layers described herein can be compared to the non-uniform microstructure of ELOG generated epitaxial layers described in the Background above that comprises regions of varying microstructural quality across the area of the wafer (i.e. laterally alternating high/low defectivity regions).
  • the high defectivity regions have a defect density ⁇ 10 12 cm ⁇ 2 that is generally at least 4 orders of magnitude higher as compared to the defect density in the low defectivity region.
  • FIGS. 3A-F show successive cross sectional depictions for an exemplary DCAP process flow including exemplary process steps for forming a reduced defect density SiC epitaxial layer 315 on a bulk SiC substrate 301 , according to an embodiment of the invention.
  • FIGS. 3A-F closely follow the sequence described above for FIGS. 2A-F .
  • the crystalline defects 111 are shown as dislocations that are delineated using a molten KOH etch.
  • the formation of a reduced defect density SiC epitaxial 315 layer can be through vertical growth or lateral growth, or by a combination of both vertical and lateral growth.
  • FIG. 3F shows an epitaxial SiC on SiC article, wherein the surface of the reduced defect density SiC epitaxial 315 layer has an atomically smooth finish, such as following CMP of the structure depicted in FIG. 3E .
  • the semiconductor substrates may include various elements therein and/or layers thereon. These can include barrier layers, other dielectric layers, device structures, active elements and passive elements including source regions, drain regions, bit lines, bases, emitters, collectors, conductive lines, conductive vias, and devices such as light emitting elements, diodes, or transistors.
  • embodiments of the invention can be used in a variety of processes including bipolar, CMOS, BiCMOS and MEMS.
  • Disclosed embodiments are further illustrated by the following specific examples, which should not be construed as limiting the scope or content of the disclosed embodiments in any way.
  • GaN Epitaxy on GaN Substrates Homoepitaxy on Underlying Layer
  • GaN wafers can be obtained from several different vendors.
  • the preferential etching or polishing process selectively etches the crystalline defect regions on the GaN wafers (step 102 ).
  • the etching also reduces the stress in the GaN substrate and also in the epitaxial film to be grown thereon.
  • GaN wafers can be etched using standard wet chemical techniques involving the use of solutions such as hot phosphoric acid or hydroxides including a KOH solution.
  • the effect of substrate temperature and the etching time for the process can be determined by routine experimentation.
  • the depth of the surface recessed or dimpled regions (etching pits) and its dimensions will generally depend on both process conditions (e.g., etching time and temperature) and the nature of the defects (e.g., dislocations).
  • Wet chemical etching may lead to roughening of the non-defect regions of the wafer.
  • the etch depth groove area/volume can be controlled by controlling the etching concentration, the temperature and/or
  • CMP can be performed on the preferentially etched substrate having a decorated substrate surface to achieve an atomic scale finish.
  • LPCVD or PECVD can be used to deposit a capping layer.
  • the capping layer can comprise materials such as silica and silicon nitride.
  • a capping layer CMP process can then follow to selectively remove the capping layer overburden (i.e. removing the capping layer over the non-defect regions to form exposed non-defect regions).
  • the recessed structure can also be made by CMP processing using silica based slurries.
  • the pH of the slurries can generally be between 0.1 to 13.5.
  • the particle content can vary from 0.0001% to 50% weight.
  • the polish rate can vary from 2 ⁇ /hr to 5 ⁇ m/hr.
  • Other particle such as ⁇ -alumina or other oxides can also generally be used.
  • the particles should typically have a hardness that is less than that of the substrate.
  • the polish selectivity ratio can generally be varied from less than 0.999 using a silica based process.
  • Special polishing pads (IC 1000 polishing pads manufactured by Rodel, Rodel, Inc., Phoenix, Ariz.) can be used for the CMP processing.
  • a relatively hard polishing pad ensures better surface planarity because it does not contort significantly on the wafer surface.
  • Other examples of polishing pads that can be used include POLITEX pads from Rohm & Hass, D-100 pads from Cabot Microelectronics. Polymeric pads of various hardness (Shore D hardness 5 or higher) can also be used.
  • Silica comprising slurries under acidic or basic pH (0.1 to 13.5) can be used to ensure the formation of the surface ledges/terraces during the GaN polishing process.
  • Ledges or terraces can be defined as atomic bilayer steps structures formed during crystal growth or dissolution process according to terrace-ledge-kink model.
  • an alkaline slurry (pH 7.1 to 14) can also be used.
  • the polishing induced damage, atomic scale morphology of the surface and the cleanliness can be determined using several methods including AFM, XPS and TOF-SIMS measurements.
  • AFM measurements can be used to determine the rms surface roughness and the presence of single atomic steps in the GaN surface.
  • XPS measurements can be used to determine the nature of the surface modification (surface layer Ga—O-formation) of GaN.
  • TOF-SIMS can be used to determine the presence of contamination layers/film on the GaN surface.
  • TEM and double crystal XRD measurements can be conducted to determine any sub-surface damage.
  • Single crystal GaN comprising films can be grown using a variety of techniques, such as molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD) system or HVPE (hydride vapor phase epitaxial). These techniques provide a wide range of abilities that are currently being used by industry to produce device quality material for the compound semiconductor industry.
  • the GaN epitaxial layer film thickness can be 0.1 to 100 ⁇ m, such as approximately 2 to 4 ⁇ m in a particular embodiment.
  • the epitaxial layers can be polished (e.g., CMP) to reduce surface roughness.
  • CMP can ensure that the grown epitaxial GaN films will have an atomically smooth surface finish with surface roughness less than 5 ⁇ rms, such as measured by AFM over less than an area 5 ⁇ m ⁇ 5 ⁇ m.
  • SiC substrates can be obtained from several different vendors.
  • the SiC substrates e.g., 1 cm ⁇ 1 cm
  • the SiC substrates can be preferentially etched using standard wet chemical techniques, such as a molten KOH solution. This etch will preferentially etch the defect regions on the SiC wafer surface.
  • the density of the recessed or dimpled regions i.e. etching pits
  • the etch depth groove area/volume can be controlled by etching concentration, temperature and time. As described above, any surface roughness following the preferential etching can be substantially eliminated by a CMP process to achieve atomic scale finish, as well as to remove overetching to reduce pit depth.
  • a capping layer deposition e.g., evaporation or sputtering; PVD, LPCVD or PECVD
  • CMP can be used for defect capping.
  • the capping layer can comprise carbon, TaC or any other material that can be used as the capping layer depending on the temperature of the growth process and growth technique (chemical vapor transport or high temperature chemical vapor transport used for growth).
  • the capping layer following capping layer deposition, the capping layer generally polished using CMP to remove it from the non-defect regions of the substrate surface. The polishing can ensure (a) a minimum of defects the previously defect-free regions, and (b) planarization of masked defective regions.
  • IC 1000 pads manufactured by Rodel Shore D hardness greater than 5 can be used for the CMP process.
  • Silica slurries under acidic or basic pH as described above can be used to ensure the formation of the surface ledges during the SiC polishing process.
  • an alkaline slurry or acidic slurry can also be used depending on the capping layer material.
  • the polishing induced damage, atomic scale morphology of the surface and the cleanliness can be determined using several methods including AFM, XPS and TOF-SIMS measurements.
  • AFM measurements can be can be used to determine the rms surface roughness and the presence of atomic bilayer steps in the SiC surface.
  • XPS measurements can determine the nature of the surface modification (surface layer Si—O-formation) of SiC.
  • TOF-SIMS can determine the presence of contamination layers/film on the SiC surface.
  • TEM and double crystal XRD measurements can be used to determine any sub-surface damage in the wafers.
  • SiC epitaxial layers can be grown using several techniques, including chemical vapor deposition, high-temperature chemical vapor deposition or physical vapor transport. These three techniques provide a wide range of abilities that are currently being used by industry to produce device quality material for the compound semiconductor industry.
  • the SiC film thickness will generally be in the range of approximately 0.01 to 100 ⁇ m, but can be higher or lower than this range.
  • the epitaxial film can be evaluated by standard material characterization techniques such as KOH etch test, TEM, SEM and X-ray diffraction.
  • the SiC epitaxial layer can be polished (e.g., CMP) to reduce surface roughness. This process will generally ensure that the grown epitaxial SiC films have an atomically smooth surface finish.
  • the process can be used to form low defect homo epitaxial films of generally all crystalline materials particularly, elemental semiconductor group IV, II-VI, III-V and IV-VI semiconductors, and their alloys thereof (examples include Si on Si or Ge on Ge or SiGe or SiGe, GaN on GaN, AlN on AlN, AlGaN on AlGaN, InN on InN, InGaN or InGaN, InAlGaN on In AlGaN, HgCdTe/Cd and thereof).
  • FIGS. 4A-H shows successive cross sectional depictions for an exemplary DCAP process flow including exemplary process steps for forming a low defect density GaN epitaxial layer 415 on a SiC substrate 401 , according to another embodiment. of the invention.
  • SiC substrates are obtainable from several different vendors, but generally include a high include high defect densities, including defects including edge, screw, mixed or gross structural defects (e.g., micropipes, superscrew dislocations, planar defects (triangular or polygonal) comets, stacking faults, twins, inversion domain boundaries and inclusions).
  • SiC substrate 401 e.g., 1 cm ⁇ 1 cm
  • SiC substrate 401 can be preferentially etched using standard wet chemical techniques, such as molten KOH solution to provide the cross section depiction having surface recesses 113 shown in FIG. 4B .
  • This etch will preferentially etch the defect regions 111 on the SiC wafer surface to form surface recess regions 113 .
  • the density of the surface recessed or dimpled regions can be determined by the density of the defects in the wafer. Furthermore, the depth of etching pits and its dimensions will generally depend on both process conditions (etching time and temperature) and nature of defect (e.g., dislocations).
  • the etch depth groove area/volume can be controlled by etching concentration, temperature and time. As described above, any surface roughness following the preferential etching can be substantially eliminated by a CMP process to achieve atomic scale finish, as well as to remove over etching to reduce pit depth, with FIG. 4C showing a cross section depiction following CMP.
  • FIGS. 4D-4F show resulting cross section depictions following deposition of a nucleation layer 404 such as AlN, followed by deposition of a capping layer 108 , and then CMP removal of the overburden capping layer, respectively.
  • the nucleation layer 404 such as the AlN layer shown in FIG. 4D , can be grown using a variety of techniques, such as MBE or MOCVD.
  • Epitaxial layer 415 comprising single crystal-like GaN or AlGaN films can then be grown using a variety of techniques with the resulting cross section depiction shown in FIG. 4G , such as by MBE, HYPE, MOCVD, or any growth technique or combination of techniques for epitaxial film formation over the underlying layer. These two techniques provide a wide range of abilities that are currently being used by industry to produce device quality material for the compound semiconductor industry.
  • the thickness of the epitaxial GaN or AlGaN film can be approximately 2 to 4 ⁇ m.
  • the epitaxial layer 415 can be polished (e.g., CMP) to reduce surface roughness with the resulting cross section depiction of the article shown in FIG. 4H . This process will ensure that the grown epitaxial GaN or AlGaN layer 415 will have an atomically smooth surface finish.
  • slurry chemistries to produce scratch-free GaN surfaces with formation of atomic terraces can be used.
  • chemistries which increase chemical reactivity will also enhance the ability to form terraced structures on the surface.
  • the process can be used for any hetero-epitaxy of crystalline materials in particular to semiconductor and compound semiconductor materials and their alloys example Si on Ge, Ge on Si, SiGe on Si, GaN on SiC, AlGaN on GaN, GaN on AlN, InN on GaN, InGaAlN on GaN and alloys thereof.

Abstract

An epitaxial article includes a substrate having a substrate surface having a substrate surface composition including crystalline defect or amorphous regions and crystalline non-defect regions. The crystalline defect or amorphous regions are recessed from the substrate surface by surface recess regions. A capping material fills the surface recess regions to provide capped defects that extend from a top of the defect regions to the substrate surface. The capping material is compositionally different from the substrate surface composition. An epitaxial layer over the substrate surface provides an average crystalline defect density in at least one area having a size ≧0.5 μm2 that is ≧ two times lower than an average crystalline defect density in that area at or below the substrate surface.

Description

    FIELD
  • Disclosed embodiments relate to methods for forming reduced defect density epitaxial layers on substrates, and epitaxial articles therefrom.
  • BACKGROUND
  • Epitaxial layers are used in a wide variety of devices, including semiconductor devices. In a significant percentage of these devices, a low defect density epitaxial layer is needed for proper device operation. An epitaxial layer is defined as a film that is grown on a substrate having a substrate surface which acts as a seed crystal, wherein the deposited epitaxial film takes on a lattice structure and orientation based on that of the substrate surface.
  • Crystalline defects are known to include one dimensional, two dimensional and three dimensional defects, which are referred to as propagating defects during epitaxial growth since they propagate in epitaxial layers during epitaxial growth. Such crystalline defects can adversely affect the performance of the device. One-dimensional defects include straight dislocations (edge or screw), dislocation loops, two dimensional defects include grain boundaries stacking faults, & twins, while three dimensional defects include precipitates & voids. In the case of semiconductor devices, during their operation propagating defects can act as scattering centers for carriers, thus reducing carrier mobility which limits the performance (e.g., speed) of the semiconductor device. Propagating defects can also act as centers of non-radiative recombination, affecting performance of light-emitting devices such as light emitting diodes (LED), optical amplifiers, and semiconductor diode lasers. Propagating devices can multiply or transform to other form of defects during device operation and can kill the device or degrade the performance of device (e.g., current collapse or increase reverse leakage current).
  • Regarding semiconductor integrated circuits (ICs) or semiconductor power devices, wide band gap semiconductors such as gallium nitride (GaN), aluminum nitride, indium nitride and silicon carbide (SiC) along with diamond are sometimes referred as “final frontiers for semiconductors”. For example, group III-nitride semiconductors such as GaN have capabilities including amplifying (with low distortion) high-frequency RF signals, and high temperature operability, and are thus ideally suited for a wide range of applications. GaN is generally grown as an epitaxial layer on a substrate material, such as GaN, SiC, sapphire or Si. One of the most important challenges for rapid development of GaN based RF devices is the ability to control the defect density during GaN epitaxial film growth.
  • As known in the art, high densities of defects are generally present on the growth template substrates that propagate during GaN film growth process. Although several GaN film growth techniques, such as epitaxial lateral overgrowth (ELOG) process have been developed, the defect density in at least portions of the GaN layer is still very high compared to conventional silicon substrates. Although processes such as ELOG in which the substrate is covered with a patterned SiN or SiO2 masking layer and then selective epitaxial growth occurs from exposed areas on the substrate can significantly reduce the defect density locally, such selective growth processes create regions of varying microstructural quality across the area of the wafer (e.g., high/low defectivity regions) which hinders scalable development of GaN-based devices. There is thus a need to develop new methods and epitaxial articles therefrom that have high quality (i.e. low defect density) across the full area of the epitaxial layer, such as across the full area of the wafer.
  • SUMMARY
  • Embodiments of the invention describe methods for forming reduced defect density epitaxial layers on a substrate, and epitaxial articles therefrom. The reduced defect density epitaxial layers generally extend across the entire area of the epitaxial layer to provide a uniform microstructure. The epitaxy can be either homoepitaxy (i.e., epitaxial layer having the same composition as the substrate surface) or heteroepitaxy (i.e., epitaxial layer having a different composition as compared to the substrate surface).
  • The epitaxial article generally includes a substrate including a substrate surface having a substrate surface composition including crystalline defect regions and non-defect regions. The defect regions are recessed from the substrate surface. A capping material fills the surface recess regions to provide capped defects that extend from a top of the defect regions to the substrate surface. The capping material is compositionally different from the substrate surface composition. An epitaxial layer over the substrate surface provides an average crystalline defect density in at least one area having a size ≧0.1μ2 that is ≧ two times lower than an average crystalline defect density in that same area at or below the substrate surface.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows steps in an exemplary defect capping (DCAP) method for forming reduced defect density epitaxial layers on crystalline substrate surfaces, according to an embodiment of the invention.
  • FIGS. 2A-F show successive cross sectional depictions for an exemplary DCAP process flow for forming a reduced defect density epitaxial layer on a substrate shown as GaN epitaxial layer on an epi substrate having a thin GaN layer thereon, according to an embodiment of the invention.
  • FIGS. 3A-F show successive cross sectional depictions for an exemplary DCAP process flow for forming a reduced defect density epitaxial layer on a substrate shown as a SiC epitaxial layer on a bulk SiC substrate, according to an embodiment of the invention.
  • FIGS. 4A-H show successive cross sectional depictions for an exemplary DCAP process flow for forming a reduced defect density GaN or AlGaN epitaxial layer on a SiC substrate, according to another embodiment of the invention.
  • DETAILED DESCRIPTION
  • Disclosed embodiments are described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the disclosed embodiments. Several aspects are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the disclosed embodiments. One having ordinary skill in the relevant art, however, will readily recognize that the disclosed embodiments can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the disclosed embodiments. The disclosed embodiments are not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement a methodology in accordance with disclosed embodiments.
  • Disclosed embodiments include methods for forming reduced defect density epitaxial layers and epitaxial articles therefrom. Methodologies included delineating surface crystalline defects in the substrate surface and capping the delineated defects present in the substrate surface so that nucleation from the defect regions during subsequent epitaxial growth is generally prevented, or is at least restricted. Defect delineation can also remove stressed regions, round the defects, and provide strain flexibility to reduce growth stresses in the epitaxial layer formed on the defect capped substrate. The growth stresses are believed to be reduced primarily because the defect delineated regions have ability to move laterally so that stresses are relaxed. Based on disclosed embodiments, since the defect density of the epitaxial layer grown is no longer significantly dependent on the substrate quality (e.g., its defect density) from the as received substrate from the substrate suppliers/vendors, lower cost bulk substrates (e.g., bulk silicon wafers) can generally be used, which can significantly reduce manufacturing costs.
  • Disclosed embodiments are widely applicable to a variety of materials and as described above apply to both homoepitaxy and heteroepitaxy. Exemplary materials for use as substrate or epitaxial layers include, but are not limited to, Group IV, Group II-VI, Group III-V and Group IV-VI materials.
  • Non-limiting examples of homoepitaxy include silicon on silicon, GaN on GaN, SiC on SiC, and GaAs on GaAs. Non-limiting examples of heteroepitaxy include SiGe on Si, GaN on sapphire, aluminum gallium indium phosphide (AlGaInP) on gallium arsenide (GaAs), and GaxInyAlzN on Gan, where x,y,z can vary from 0 to 1.0 and typically x+y+z=1.0.
  • The Group IV materials can comprise Group IV elemental semiconductors such as Diamond (C), Silicon (Si), Germanium (Ge). The Group IV materials can also comprise Group IV compound semiconductors such as SiC, Silicon-germanium (SiGe) or Si—Ge—C.
  • The Group II-VI materials can comprise II-VI semiconductors such as Cadmium selenide (CdSe), Cadmium sulfide (CdS), Cadmium telluride (CdTe), Zinc oxide (ZnO), Zinc selenide (ZnSe), Zinc sulfide (ZnS), Zinc telluride (ZnTe) and II-VI ternary alloy semiconductors including Cadmium zinc telluride (CdZnTe, CZT), Mercury cadmium telluride (HgCdTe), Mercury zinc telluride (HgZnTe), Mercury zinc selenide (HgZnSe)).
  • The Group III-V materials can comprise III-V semiconductors including Aluminum antimonide (AlSb), Aluminium arsenide (AlAs), Aluminium nitride (AlN), Aluminium phosphide (AlP), Boron nitride (BN), Boron phosphide (BP), Boron arsenide (BAs), Gallium antimonide (GaSb), GaAs, GaN, Gallium phosphide (GaP), Indium antimonide (InSb), Indium arsenide (InAs), Indium nitride (InN), Indium phosphide (InP) and III-V ternary semiconductor alloys including Aluminium gallium arsenide (AlGaAs, AlxGa1-xAs), Indium gallium arsenide (InGaAs, InxGa1-xAs), Indium gallium phosphide (InGaP), Aluminium indium arsenide (AlInAs), Aluminium indium antimonide (AlInSb), Gallium arsenide nitride (GaAsN), Gallium arsenide phosphide (GaAsP), Aluminium gallium nitride (AlGaN), Aluminium gallium phosphide (AlGaP), Indium gallium nitride (InGaN), Indium arsenide antimonide (InAsSb), Indium gallium antimonide (InGaSb), III-V quaternary semiconductor alloys including Aluminium gallium indium phosphide (AlGaInP, also InAlGaP, InGaAlP, AlInGaP), Aluminium gallium arsenide phosphide (AlGaAsP), Indium gallium arsenide phosphide (InGaAsP), Aluminium indium arsenide phosphide (AlInAsP), Aluminium gallium arsenide nitride (AlGaAsN), Indium gallium arsenide nitride (InGaAsN), Indium aluminum arsenide nitride (InAlAsN), Gallium arsenide antimonide nitride (GaAsSbN) and III-V quinary semiconductor alloys including Gallium indium nitride arsenide antimonide (GaInNAsSb), Gallium indium arsenide antimonide phosphide (GaInAsSbP).
  • The Group IV-VI materials can comprise IV-VI semiconductors including Lead selenide (PbSe), Lead sulfide (PbS), Lead telluride (PbTe), Tin sulfide (SnS), Tin telluride (SnTe) and IV-VI ternary semiconductors including lead tin telluride (PbSnTe), Thallium tin telluride (Tl2SnTe5), Thallium germanium telluride (Tl2GeTe5)). Alloys of the materials described above are also all generally included.
  • Referring now to FIG. 1, a DCAP method 100 for forming reduced defect density epitaxial layers on crystalline substrate surfaces according to an embodiment of the invention is shown. In step 101, a substrate is provided comprising a surface having crystalline defect or amorphous regions and crystalline non-defect regions. The substrate can be a bulk substrate, an epitaxial substrate or a coated substrate. A bulk substrate refers to substrate of similar composition throughout with thickness at least 50 micrometers. A coated substrate is bulk substrate coated with film thickness ranging from 5 Å to 1 mm. The films can comprise single or multiple layers. An epitaxial substrate can be a bulk substrate with a epitaxial film thereon generally having a thickness of 5 Angstroms to 1 millimeters, such as grown over a commercially provided substrate. The epitaxial substrate can comprise single or multiple layers. Epitaxial substrates can also comprise a bulk substrate with one or more coated layers followed by epitaxial layers.
  • The underlying layer/layers may have an epitaxial or non-epitaxial orientation. To distinguish the epitaxial layers in disclosed embodiments where the reduced defect density epitaxial layer is grown over an substrate that typically includes a thin epitaxial layer thereon, references to the “epitaxial layer” herein will generally refer to the reduced defect density epitaxial layer described in detail herein, while the underlying layer provided by an epitaxial substrate will generally be referred to herein as an “underlying epitaxial layer”.
  • As described above, the substrate and underlying epitaxial layer (if present) can be independently selected from group IV, II-VI, III-V, IV-VI materials, or binary ternary or quaternary alloys of group VI, II-VI and III-V materials or other materials which have an epitaxial relation relationship for forming epitaxial layer or thin underlying epitaxial layers such as those described above. For example, in a particular embodiment the substrate comprises silicon, SiC or SiGe, GaAs, GaP or Ge, diamond, sapphire, zinc oxide, III-Nitrides such as AlGaN, AlN, InN, or GaN, and the underlying epitaxial layer can comprise a group III-nitride semiconductor, such as AlGaN, AlN, InN, GaN, or a Group II-VI compound or a Group IV material. In other particular embodiments, the substrate comprises SiC and the underlying epitaxial layer comprises SiC. In yet another particular embodiment, the substrate comprises diamond.
  • In step 102, the crystalline defect or amorphous regions in the substrate surface are preferentially polished or etched relative to the crystalline non-defect regions to form a decorated substrate surface comprising surface recessed or dimpled regions, thus delineating defects. In one embodiment, the preferential polishing or etching comprises wet chemical etching. In another embodiment, the preferential polishing or etching comprises dry chemical etching, such plasma etching or reactive ion etching (RIE). Other preferential chemical etching processes can include Inductively Coupled Plasma (ICP) etching and chemically assisted ion beam etching. In yet another embodiment, the preferential polishing or etching comprises chemical mechanical polishing (CMP).
  • CMP involves moving the substrate relative to a polymeric pad which is supplied with a slurry. The slurry is a mixture of chemicals and particles. The particles are typically oxides of silicon, aluminum, zirconium or carbides or nitrides of silicon, boron, tantalum or diamond. The chemicals typically comprise surfactants, oxidizers and pH control additives. The typical pH ranges from 0.5 to 13.5 and oxidizing agents such as H2O2, oxones, ferricynates, per-compounds such as perchlorates, and permanganates are added. The surfactants can be anionic, cationic or non ionic surfactants. Salts such as halides, sulfates, nitrates, can be used. Typical polishing pressure can range from 0.1 to 20 psi, while the particle content can vary from 0.0 to 50%. The particle size can generally vary from 2 nm to 100 micron.
  • The CMP process can be designed to remove material uniformly in the crystalline defect or amorphous regions and crystalline non-defect areas. The CMP processes for delineating defects and will generally have high removal rates at defect or amorphous sites when compared to crystalline non-defect areas to generate surface recessed regions. This is because defect or amorphous areas can be more reactive with CMP slurry chemistry or may be softer for easier mechanical removal. The polishing selectivity between defective regions and non-defective regions allows delineation of defects. The selectivity ratio can be defined as the ratio of the polishing rate in non-defect regions and defect regions. Under the same polishing conditions this ratio should range from 0.0 to 0.999 for defect delineation. The polish rate for each of the regions can vary from 1 A/hr up to 10 mm/hr. It is desirable to have a low polish rate ratio since it generally becomes easier to delineate the defects. The polishing selectivity ratio can be below 0.98, such as below 0.90.
  • The CMP process for delineating defects will thus generally have high chemical or mechanical action for the defective regions as compared to non defective regions. In the case of defect delineating CMP, crystalline non-defect regions experience low polishing rates relative to crystalline defect regions. The non-defect regions after defect delineating CMP are generally highly planar with low surface roughness generally being atomic scale roughness, which is defined herein as <5 A rms roughness. The recessed area can vary from less than 50% of the total area of the substrate to as low as 0.0001% of the total area of the substrate. The depth of recessed area can vary for 5 Å up to 100 μm. The area of the each recessed area can vary from 0.1μ2 to 10,000μ2. The number of recessed structures can vary from 1/cm2 to 1014/cm2 depending on the defect density of the material.
  • Steps 103 and 104 described below in combination are essentially analogous to a damascene process (e.g., copper damascene) which together function to cap defects in that they involve a process to fill up apertures that comprise surface recess regions followed by removal of the overburden deposited material. Step 103 comprises depositing a capping layer on the decorated substrate surface to cover the crystalline non-defect regions and to at least partially (and generally fully fill) the surface recess regions. The capping layer material generally comprises an amorphous or polycrystalline material that is compositionally different from the substrate surface composition. The deposition of the capping layer generally comprises a blanket (un-masked) deposition, and the capping layer after subsequent patterning described below provides a masking function for restricting growth of the epitaxial layer over the surface recess regions.
  • The typical thickness of the applied capping layer can match the surface recess regions depth, or it can be less or equal or more (5 A to 100 microns) as compared to the depth of the surface recess regions. The capping layer generally comprises a blanket (i.e., unmasked) deposition, such as provided by CVD, LPCVD or PECVD processing, PVD, spin coating or generally any other physical, chemical, or electrochemical or electroless deposition method. In a typical process, the blanket capping layer fills the surface recess regions and extends above the surface recess regions and is also deposited laterally thereto, which is then etched back or polished to define the capping layer and remove the capping layer in the overburden regions.
  • The capping layer can be defined as a layer from which the epitaxial film growth rate is at least 20% to 100% lower (100% lower which is equivalent to no growth) as compared to the growth rate over non-capped surface regions in which the non-defect regions are exposed. As described above, in one embodiment the reduced defect density epitaxial film does not nucleate from the defect capped regions. The capping layer can comprise, for example, silica, silicon nitride or silicon oxynitride, metals, non-metals, ceramics, photo-resists, crystalline or amorphous materials. The capping layer can also comprise a metal or metal alloy (e.g., by electrochemical or electroless deposition) such as comprising a refractory metal, polymer, ceramic, composite, glass, or generally any combination thereof.
  • Step 104 comprises patterning the capping layer by removing the capping layer over the crystalline non-defect regions to form exposed non-defect regions while retaining the capping layer within at least a portion of the surface recess regions. In one embodiment, the patterning comprises CMP. CMP removes the overlay of capped material (overburden) from non-defective regions and leaves the capping material inside within the surface recess regions. The CMP of the capping layer can also be carried out under wide variety of conditions. The process can generally use any polymeric pad, with polishing pressure ranging from 0.1 to 20 psi. The slurry composition can be varied as described above in the section on defect delineation. The polish rate of the CMP can vary from 0.01 Å/min to 10 μm/min. In another embodiment, the patterning comprises dry chemical etching, such as RIE or chemical etching.
  • Step 105 comprises selective epitaxy to form a reduced defect density epitaxial layer over the exposed crystalline non-defect regions, wherein the capping layer in the surface recess regions restricts, and generally prevents, nucleation during growth of the epitaxial layer over the surface recess regions. The formation of the reduced defect density layer or epigrowth can be vertical growth or lateral growth, or combination of both and thereof. As the growth is taking place from non-defective areas, reduced epitaxial growth from such surfaces is generally provided.
  • Optionally, method 100 can include CMP of the decorated substrate surface to provide an atomic scale finish, defined herein as being <5 A rms surface roughness before the depositing step (step 103). Another optional step comprises planarizing the epitaxial layer after step 105 using a process comprising CMP.
  • FIGS. 2A-F show successive cross sectional depictions for an exemplary DCAP homoepitaxy process flow for forming a reduced defect density epitaxial layer on a substrate shown as GaN epitaxial layer 115 on an epi substrate 120 comprising a thin GaN underlying epitaxial layer 102 on a base substrate layer 101, according to an embodiment of the invention. As shown in FIG. 2A, the thin GaN underlying epitaxial layer 102 includes a top surface 103 and includes crystalline defect or amorphous regions 111 shown as dislocations and crystalline non-defect regions 112. The dislocations 111 are shown extending the full thickness of the GaN underlying epitaxial layer 102, but can extend over only a portion of the thickness. FIG. 2B shows a cross sectional depiction following preferential polishing or etching which decorates the surface 103 of the GaN underlying epitaxial layer 102 by delineating defects to form the surface recess regions 113 shown. FIG. 2C shows a cross sectional depiction following deposition of a capping layer material 108 which is shown filling the surface recess regions 113. FIG. 2D shows a cross sectional depiction following patterning of the capping layer 108, such as by CMP processing to form capped defects 113(a). FIG. 2E shows a cross sectional depiction following selective epitaxy to form an epitaxial layer 115, such as an epitaxial GaN layer, over the surface 103 of the thin GaN underlying epitaxial layer 102. Lateral growth of epitaxial layer 115 during epitaxy allows epitaxial layer 115 to form over capped defects 113(a) without growing therefrom.
  • FIG. 2F shows the epitaxial article 100 following CMP of the surface of the reduced defect density epitaxial layer 115 to provide an atomically smooth finish (i.e., <5 A rms surface roughness). Article 100 is shown including base substrate 101, thin GaN underlying epitaxial layer 102 thereon that provides a substrate surface 103 for epitaxy. Underlying epitaxial layer 102 is shown including crystalline defect regions 111 shown as dislocations and crystalline non-defect regions 112. The defect regions 111 are recessed from the substrate surface 103 by what had been surface recess regions 113 described above that are filled with a capping material 108, such as silicon oxide, silicon oxynitride, silicon nitride, or other amorphous or polycrystalline materials, and shown as capped defects 113(a).
  • The capping material 108 in capped defects 113(a) extends from a top of the defect regions 111 (bottom of what had been surface recess regions 113) to the surface 103 of the GaN underlying epitaxial layer 102. The capping material 108 is compositionally different from the composition of the surface 103 of the GaN underlying epitaxial layer 102 in the non-defect regions. The epitaxial layer 115 over the surface 103 of the GaN underlying epitaxial layer 102 provides an average crystalline defect density in at least one area having a size ≧0.1μ2 that is ≧ two times lower than an average crystalline defect density in that area at or below the surface 103 of the GaN underlying epitaxial layer 102. In a typical embodiment, the area of the reduced defect density epitaxial layer 115 is across an entire wafer (except the wafer edge, such as the edge exclusion region of the wafer).
  • In a typical embodiment, the average crystalline defect density throughout the epitaxial layer 115 is ≦108 cm−2, such as ≦106 cm−2, which is generally at least two times lower, such as one to five orders of magnitude lower, than an average crystalline defect density in the area at or below the substrate surface (homoepitaxy) or the surface of the underlying epitaxial layer (heteroepitaxy). The epitaxial layer 115 also generally has a uniform microstructure over its entire area. Uniform microstructure is defined herein as the defect density (measured by etch pit density) of epitaxial layer 115 over the full area of the wafer (other than the edge exclusion region) being ≦109 cm−2. The uniform microstructure provided by epitaxial layers described herein can be compared to the non-uniform microstructure of ELOG generated epitaxial layers described in the Background above that comprises regions of varying microstructural quality across the area of the wafer (i.e. laterally alternating high/low defectivity regions). For ELOG generated epitaxial layers, the high defectivity regions have a defect density ≧1012 cm−2 that is generally at least 4 orders of magnitude higher as compared to the defect density in the low defectivity region.
  • FIGS. 3A-F show successive cross sectional depictions for an exemplary DCAP process flow including exemplary process steps for forming a reduced defect density SiC epitaxial layer 315 on a bulk SiC substrate 301, according to an embodiment of the invention. FIGS. 3A-F closely follow the sequence described above for FIGS. 2A-F. The crystalline defects 111 are shown as dislocations that are delineated using a molten KOH etch. The formation of a reduced defect density SiC epitaxial 315 layer can be through vertical growth or lateral growth, or by a combination of both vertical and lateral growth. FIG. 3F shows an epitaxial SiC on SiC article, wherein the surface of the reduced defect density SiC epitaxial 315 layer has an atomically smooth finish, such as following CMP of the structure depicted in FIG. 3E.
  • Disclosed embodiments can be integrated into a variety of process flows to form a variety of devices and related products. The semiconductor substrates may include various elements therein and/or layers thereon. These can include barrier layers, other dielectric layers, device structures, active elements and passive elements including source regions, drain regions, bit lines, bases, emitters, collectors, conductive lines, conductive vias, and devices such as light emitting elements, diodes, or transistors. Moreover, embodiments of the invention can be used in a variety of processes including bipolar, CMOS, BiCMOS and MEMS.
  • EXAMPLES
  • Disclosed embodiments are further illustrated by the following specific examples, which should not be construed as limiting the scope or content of the disclosed embodiments in any way.
  • Example 1 GaN Epitaxy on GaN Substrates (Homoepitaxy on Underlying Layer)
  • GaN wafers can be obtained from several different vendors. The preferential etching or polishing process selectively etches the crystalline defect regions on the GaN wafers (step 102). The etching also reduces the stress in the GaN substrate and also in the epitaxial film to be grown thereon. GaN wafers can be etched using standard wet chemical techniques involving the use of solutions such as hot phosphoric acid or hydroxides including a KOH solution. The effect of substrate temperature and the etching time for the process can be determined by routine experimentation. The depth of the surface recessed or dimpled regions (etching pits) and its dimensions will generally depend on both process conditions (e.g., etching time and temperature) and the nature of the defects (e.g., dislocations). Wet chemical etching may lead to roughening of the non-defect regions of the wafer. The etch depth groove area/volume can be controlled by controlling the etching concentration, the temperature and/or the etch time.
  • Added surface roughness if generated by the wet chemical etching can be substantially eliminated by a subsequent CMP process. For example, CMP can be performed on the preferentially etched substrate having a decorated substrate surface to achieve an atomic scale finish. LPCVD or PECVD can be used to deposit a capping layer. As noted above, the capping layer can comprise materials such as silica and silicon nitride. A capping layer CMP process can then follow to selectively remove the capping layer overburden (i.e. removing the capping layer over the non-defect regions to form exposed non-defect regions).
  • The recessed structure can also be made by CMP processing using silica based slurries. The pH of the slurries can generally be between 0.1 to 13.5. The particle content can vary from 0.0001% to 50% weight. The polish rate can vary from 2 Å/hr to 5 μm/hr. Other particle such as γ-alumina or other oxides can also generally be used. The particles should typically have a hardness that is less than that of the substrate. The polish selectivity ratio can generally be varied from less than 0.999 using a silica based process.
  • Special polishing pads (IC 1000 polishing pads manufactured by Rodel, Rodel, Inc., Phoenix, Ariz.) can be used for the CMP processing. A relatively hard polishing pad ensures better surface planarity because it does not contort significantly on the wafer surface. Other examples of polishing pads that can be used include POLITEX pads from Rohm & Hass, D-100 pads from Cabot Microelectronics. Polymeric pads of various hardness (Shore D hardness 5 or higher) can also be used.
  • Silica comprising slurries under acidic or basic pH (0.1 to 13.5) can be used to ensure the formation of the surface ledges/terraces during the GaN polishing process. Ledges or terraces can be defined as atomic bilayer steps structures formed during crystal growth or dissolution process according to terrace-ledge-kink model. For polishing the capping layer, such as silica or silicon nitride, an alkaline slurry (pH 7.1 to 14) can also be used.
  • The polishing induced damage, atomic scale morphology of the surface and the cleanliness can be determined using several methods including AFM, XPS and TOF-SIMS measurements. AFM measurements can be used to determine the rms surface roughness and the presence of single atomic steps in the GaN surface. XPS measurements can be used to determine the nature of the surface modification (surface layer Ga—O-formation) of GaN. TOF-SIMS can be used to determine the presence of contamination layers/film on the GaN surface. TEM and double crystal XRD measurements can be conducted to determine any sub-surface damage.
  • Single crystal GaN comprising films can be grown using a variety of techniques, such as molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD) system or HVPE (hydride vapor phase epitaxial). These techniques provide a wide range of abilities that are currently being used by industry to produce device quality material for the compound semiconductor industry. The GaN epitaxial layer film thickness can be 0.1 to 100 μm, such as approximately 2 to 4 μm in a particular embodiment.
  • As described above, the epitaxial layers can be polished (e.g., CMP) to reduce surface roughness. CMP can ensure that the grown epitaxial GaN films will have an atomically smooth surface finish with surface roughness less than 5 Å rms, such as measured by AFM over less than an area 5 μm×5 μm.
  • The use of different slurry chemistries to produce substantially scratch-free GaN surfaces with formation of atomic terraces can be used. By increasing the surface reaction with specific chemistries has been found by the inventors to enhance the formation of terraces described above. In principle, chemistries which increase chemical reactivity will also enhance the ability to form terraced structures on the surface.
  • Example 2 SiC Epitaxy on SiC Substrates (Homoepitaxy on Substrate; Analogous to FIGS. 3A-F Described Above)
  • SiC substrates can be obtained from several different vendors. The SiC substrates (e.g., 1 cm×1 cm) can be preferentially etched using standard wet chemical techniques, such as a molten KOH solution. This etch will preferentially etch the defect regions on the SiC wafer surface. The density of the recessed or dimpled regions (i.e. etching pits) can be determined by the density of the defects in the wafer. Furthermore, the depth of etching pits and its dimensions will generally depend on both process conditions (etching time and temperature) and nature of defect (e.g., dislocations). The etch depth groove area/volume can be controlled by etching concentration, temperature and time. As described above, any surface roughness following the preferential etching can be substantially eliminated by a CMP process to achieve atomic scale finish, as well as to remove overetching to reduce pit depth.
  • A capping layer deposition (e.g., evaporation or sputtering; PVD, LPCVD or PECVD) followed by CMP can be used for defect capping. The capping layer can comprise carbon, TaC or any other material that can be used as the capping layer depending on the temperature of the growth process and growth technique (chemical vapor transport or high temperature chemical vapor transport used for growth). As described above, following capping layer deposition, the capping layer generally polished using CMP to remove it from the non-defect regions of the substrate surface. The polishing can ensure (a) a minimum of defects the previously defect-free regions, and (b) planarization of masked defective regions.
  • Analogous to GaN processing described above, special hard polishing pads (IC 1000 pads manufactured by Rodel Shore D hardness greater than 5) can be used for the CMP process. Silica slurries under acidic or basic pH as described above can be used to ensure the formation of the surface ledges during the SiC polishing process. For capping layer polishing, an alkaline slurry or acidic slurry can also be used depending on the capping layer material. The polishing induced damage, atomic scale morphology of the surface and the cleanliness can be determined using several methods including AFM, XPS and TOF-SIMS measurements. AFM measurements can be can be used to determine the rms surface roughness and the presence of atomic bilayer steps in the SiC surface. XPS measurements can determine the nature of the surface modification (surface layer Si—O-formation) of SiC. TOF-SIMS can determine the presence of contamination layers/film on the SiC surface. TEM and double crystal XRD measurements can be used to determine any sub-surface damage in the wafers.
  • SiC epitaxial layers can be grown using several techniques, including chemical vapor deposition, high-temperature chemical vapor deposition or physical vapor transport. These three techniques provide a wide range of abilities that are currently being used by industry to produce device quality material for the compound semiconductor industry. The SiC film thickness will generally be in the range of approximately 0.01 to 100 μm, but can be higher or lower than this range. The epitaxial film can be evaluated by standard material characterization techniques such as KOH etch test, TEM, SEM and X-ray diffraction.
  • As described above, the SiC epitaxial layer can be polished (e.g., CMP) to reduce surface roughness. This process will generally ensure that the grown epitaxial SiC films have an atomically smooth surface finish.
  • Similarly the process can be used to form low defect homo epitaxial films of generally all crystalline materials particularly, elemental semiconductor group IV, II-VI, III-V and IV-VI semiconductors, and their alloys thereof (examples include Si on Si or Ge on Ge or SiGe or SiGe, GaN on GaN, AlN on AlN, AlGaN on AlGaN, InN on InN, InGaN or InGaN, InAlGaN on In AlGaN, HgCdTe/Cd and thereof).
  • Example 3 GaN Epitaxy on SiC Substrates (Hetero-Epitaxy Example)
  • FIGS. 4A-H shows successive cross sectional depictions for an exemplary DCAP process flow including exemplary process steps for forming a low defect density GaN epitaxial layer 415 on a SiC substrate 401, according to another embodiment. of the invention. SiC substrates are obtainable from several different vendors, but generally include a high include high defect densities, including defects including edge, screw, mixed or gross structural defects (e.g., micropipes, superscrew dislocations, planar defects (triangular or polygonal) comets, stacking faults, twins, inversion domain boundaries and inclusions). The crystalline defect regions 111 shown as dislocations in FIGS. 4A-H for the SiC substrate 401 (e.g., 1 cm×1 cm) can be preferentially etched using standard wet chemical techniques, such as molten KOH solution to provide the cross section depiction having surface recesses 113 shown in FIG. 4B. This etch will preferentially etch the defect regions 111 on the SiC wafer surface to form surface recess regions 113.
  • The density of the surface recessed or dimpled regions (i.e. etching pits) can be determined by the density of the defects in the wafer. Furthermore, the depth of etching pits and its dimensions will generally depend on both process conditions (etching time and temperature) and nature of defect (e.g., dislocations). The etch depth groove area/volume can be controlled by etching concentration, temperature and time. As described above, any surface roughness following the preferential etching can be substantially eliminated by a CMP process to achieve atomic scale finish, as well as to remove over etching to reduce pit depth, with FIG. 4C showing a cross section depiction following CMP.
  • FIGS. 4D-4F show resulting cross section depictions following deposition of a nucleation layer 404 such as AlN, followed by deposition of a capping layer 108, and then CMP removal of the overburden capping layer, respectively. The nucleation layer 404, such as the AlN layer shown in FIG. 4D, can be grown using a variety of techniques, such as MBE or MOCVD.
  • Epitaxial layer 415 comprising single crystal-like GaN or AlGaN films can then be grown using a variety of techniques with the resulting cross section depiction shown in FIG. 4G, such as by MBE, HYPE, MOCVD, or any growth technique or combination of techniques for epitaxial film formation over the underlying layer. These two techniques provide a wide range of abilities that are currently being used by industry to produce device quality material for the compound semiconductor industry. The thickness of the epitaxial GaN or AlGaN film can be approximately 2 to 4 μm.
  • As described above, the epitaxial layer 415 can be polished (e.g., CMP) to reduce surface roughness with the resulting cross section depiction of the article shown in FIG. 4H. This process will ensure that the grown epitaxial GaN or AlGaN layer 415 will have an atomically smooth surface finish.
  • The use of different slurry chemistries to produce scratch-free GaN surfaces with formation of atomic terraces can be used. By increasing the surface reaction with specific chemistries has been found by the inventors to enhance the formation of terraces. In principle, chemistries which increase chemical reactivity will also enhance the ability to form terraced structures on the surface. Similarly, the process can be used for any hetero-epitaxy of crystalline materials in particular to semiconductor and compound semiconductor materials and their alloys example Si on Ge, Ge on Si, SiGe on Si, GaN on SiC, AlGaN on GaN, GaN on AlN, InN on GaN, InGaAlN on GaN and alloys thereof.
  • While various embodiments of the invention have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the disclosed embodiments. Thus, the breadth and scope of embodiments of the invention should not be limited by any of the above explicitly described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents.
  • Although the embodiments of invention have been illustrated and described with respect to one or more implementations, equivalent alterations and modifications will occur to others skilled in the art upon the reading and understanding of this specification and the annexed drawings. In addition, while a particular feature may have been disclosed with respect to only one of several implementations, such feature may be combined with one or more other features of the other implementations as may be desired and advantageous for any given or particular application.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting to embodiments of the invention. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Furthermore, to the extent that the terms “including,” “includes,” “having,” “has,” “with,” or variants thereof are used in either the detailed description and/or the claims, such terms are intended to be inclusive in a manner similar to the term “comprising.”
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which embodiments of the invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.

Claims (23)

1. A method for forming an epitaxial layer on a substrate comprising a substrate surface having crystalline defect or amorphous regions and crystalline non-defect regions, comprising:
preferential polishing or etching said crystalline defect or amorphous regions relative to said crystalline non-defect regions to form a decorated substrate surface comprising surface recess regions;
depositing a capping layer on said decorated substrate surface to cover said crystalline non-defect regions and to at least partially fill said surface recess regions;
patterning said capping layer by removing said capping layer over said crystalline non-defect regions to form exposed non-defect regions while retaining said capping layer in at least a portion of said surface recess regions, and
selective epitaxy to form said epitaxial layer, wherein said capping layer in said surface recess regions restricts epitaxial growth of said epitaxial layer over said surface recess regions.
2. The method of claim 1, further comprising chemical mechanical polishing (CMP) after said selective epitaxy to a top surface of said epitaxial layer to provide atomic level smoothness.
3. The method of claim 1, wherein said preferential polishing or etching comprises wet chemical etching.
4. The method of claim 1, wherein said preferential polishing or etching comprises dry chemical etching.
5. The method of claim 1, wherein said preferential polishing or etching comprises chemical mechanical polishing (CMP).
6. The method of claim 1, further comprising chemical mechanical polishing (CMP) said decorated substrate surface to provide an atomic scale finish before said depositing.
7. The method of claim 1, wherein said depositing comprises a blanket deposition, and said capping layer provides a masking function for restricting said epitaxial growth of said epitaxial layer over said surface recess regions.
8. The method of claim 7, wherein said depositing comprises e physical, chemical or vapor deposition, or electrochemical or electroless deposition.
9. The method of claim 1, wherein said patterning comprises chemical mechanical polishing (CMP).
10. The method of claim 1, wherein said epitaxial layer is a homoepitaxial layer.
11. The method of claim 1 wherein said epitaxial layer is a heteroepitaxial layer.
12. The method of claim 1, wherein said substrate comprises silicon having a 10 Angstrom to 1 mm thick first Group III-nitride semiconductor layer thereon and said epitaxial layer comprises a second Group III-nitride semiconductor layer.
13. The method of claim 1, wherein said substrate comprises Si, SiC, SiGe, Si—Ge—C, diamond, GaN, AlN, sapphire, CdTe, GaAs, or Si with a epitaxial layer II-VI layer or an epitaxial Group III-nitride layer thereon.
14. An epitaxial article, comprising:
a substrate comprising a substrate surface having a substrate surface composition including crystalline defect or amorphous regions and crystalline non-defect regions, wherein said crystalline defect or amorphous regions are recessed from said substrate surface by surface recess regions;
a capping material filling said surface recess regions to provide capped defects that extend from a top of said crystalline defect or amorphous regions to said substrate surface, wherein said capping material is compositionally different from said substrate surface composition, and
an epitaxial layer over said substrate surface, wherein said epitaxial layer provides an average crystalline defect density in at least one area having a size ≧0.1 μm2 that is ≧ two times lower than an average crystalline defect density in said area at or below said substrate surface.
15. The epitaxial article of claim 14, wherein said epitaxial layer comprises a homo-epitaxial layer.
16. The epitaxial article of claim 14, wherein said epitaxial layer comprises a hetero-epitaxial layer.
17. The epitaxial article of claim 14, wherein said average crystalline defect density throughout said epitaxial layer is ≦108 cm−2.
18. The epitaxial article of claim 14, wherein said epitaxial layer comprises a group IV, II-VI, III-V material, or a binary ternary or quaternary alloy of said group II-VI material or said III-V material.
19. The epitaxial article of claim 14, wherein a surface of said epitaxial layer has an atomic scale finish with a surface roughness less than 5 Å.
20. The epitaxial article of claim 14, wherein said substrate comprises an epi substrate comprising silicon having a 10 A to 1 mm thick first Group III-nitride semiconductor layer thereon and said epitaxial layer comprises a second Group III-nitride semiconductor layer.
21. The epitaxial article of claim 20, wherein said first and said second Group III-nitride semiconductor layers are independently selected from AlGaN, AlN, InN, and GaN.
22. The epitaxial article of claim 14, wherein said substrate comprises SiC and said epitaxial layer comprises SiC.
23. The epitaxial article of claim 14, wherein said substrate comprises SiGe, Si—Ge—C, SiC, GaAs, or CdTe, AlN, GaP, or sapphire.
US12/723,309 2010-03-12 2010-03-12 Defect capping for reduced defect density epitaxial articles Abandoned US20110221039A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US12/723,309 US20110221039A1 (en) 2010-03-12 2010-03-12 Defect capping for reduced defect density epitaxial articles
PCT/US2011/028144 WO2011112963A2 (en) 2010-03-12 2011-03-11 Defect capping for reduced defect density epitaxial articles
EP11754183.9A EP2545582A4 (en) 2010-03-12 2011-03-11 Defect capping for reduced defect density epitaxial articles
JP2012557288A JP2013535090A (en) 2010-03-12 2011-03-11 Defect capping for low defect density epitaxial structures.
KR1020127026675A KR20120137411A (en) 2010-03-12 2011-03-11 Defect capping for reduced defect density epitaxial articles
TW100108637A TW201207903A (en) 2010-03-12 2011-03-14 Defect capping for reduced defect density epitaxial articles
US13/872,821 US9218954B2 (en) 2010-03-12 2013-04-29 Defect capping method for reduced defect density epitaxial articles

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/723,309 US20110221039A1 (en) 2010-03-12 2010-03-12 Defect capping for reduced defect density epitaxial articles

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/872,821 Division US9218954B2 (en) 2010-03-12 2013-04-29 Defect capping method for reduced defect density epitaxial articles

Publications (1)

Publication Number Publication Date
US20110221039A1 true US20110221039A1 (en) 2011-09-15

Family

ID=44559159

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/723,309 Abandoned US20110221039A1 (en) 2010-03-12 2010-03-12 Defect capping for reduced defect density epitaxial articles
US13/872,821 Active 2030-11-04 US9218954B2 (en) 2010-03-12 2013-04-29 Defect capping method for reduced defect density epitaxial articles

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/872,821 Active 2030-11-04 US9218954B2 (en) 2010-03-12 2013-04-29 Defect capping method for reduced defect density epitaxial articles

Country Status (6)

Country Link
US (2) US20110221039A1 (en)
EP (1) EP2545582A4 (en)
JP (1) JP2013535090A (en)
KR (1) KR20120137411A (en)
TW (1) TW201207903A (en)
WO (1) WO2011112963A2 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120056201A1 (en) * 2009-05-11 2012-03-08 Sumitomo Electric Industries, Ltd. Insulated gate bipolar transistor
US20130126999A1 (en) * 2011-11-22 2013-05-23 General Electric Company Radiation detectors and methods of fabricating radiation detectors
US20130143396A1 (en) * 2011-11-23 2013-06-06 University Of South Carolina Pretreatment Method for Reduction and/or Elimination of Basal Plane Dislocations Close to Epilayer/Substrate Interface in Growth of SiC Epitaxial
JP2014027006A (en) * 2012-07-24 2014-02-06 Disco Abrasive Syst Ltd Processing method of wafer
US20140138796A1 (en) * 2010-12-23 2014-05-22 Soitec Strain relaxation using metal materials and related structures
US20140370695A1 (en) * 2010-12-27 2014-12-18 Soitec Method for fabricating a semiconductor device
US20150233018A1 (en) * 2013-09-06 2015-08-20 Sumitomo Electric Industries, Ltd. Silicon carbide epitaxial substrate and method of manufacturing silicon carbide epitaxial substrate
CN104979164A (en) * 2014-04-14 2015-10-14 格罗方德半导体公司 Defect-free Relaxed Covering Layer On Semiconductor Substrate With Lattice Mismatch
US20160064284A1 (en) * 2014-08-27 2016-03-03 International Business Machines Corporation Method for fabricating a semiconductor structure
US9318314B2 (en) 2012-03-30 2016-04-19 Saint-Gobain Cristaux Et Dectecteurs Method of forming a freestanding semiconductor wafer
US9368344B2 (en) 2008-10-30 2016-06-14 Soitec Semiconductor structures, devices and engineered substrates including layers of semiconductor material having reduced lattice strain
US9422640B2 (en) 2013-03-26 2016-08-23 Mitsubishi Electric Corporation Single-crystal 4H-SiC substrate
EP2930751A4 (en) * 2013-03-27 2016-09-14 Ngk Insulators Ltd Handle substrate for compound substrate for use with semiconductor
US9502230B2 (en) 2012-12-12 2016-11-22 Showa Denko K.K. Method for producing SiC substrate
US20170110333A1 (en) * 2015-10-14 2017-04-20 International Business Machines Corporation Group iii arsenide material smoothing and chemical mechanical planarization processes
US20170110334A1 (en) * 2015-10-14 2017-04-20 International Business Machines Corporation Germanium smoothing and chemical mechanical planarization processes
US9644288B2 (en) 2011-11-23 2017-05-09 University Of South Carolina Pretreatment method for reduction and/or elimination of basal plane dislocations close to epilayer/substrate interface in growth of SiC epitaxial films
US9735010B1 (en) 2016-05-27 2017-08-15 International Business Machines Corporation Fabrication of semiconductor fin structures
US9916985B2 (en) 2015-10-14 2018-03-13 International Business Machines Corporation Indium phosphide smoothing and chemical mechanical planarization processes
FR3060837A1 (en) * 2016-12-15 2018-06-22 Commissariat Energie Atomique METHOD FOR MANUFACTURING A DEVICE COMPRISING A LAYER OF III-N MATERIAL WITH SURFACE DEFECTS
US10249492B2 (en) 2016-05-27 2019-04-02 International Business Machines Corporation Fabrication of compound semiconductor structures
US10453947B1 (en) * 2018-06-12 2019-10-22 Vanguard International Semiconductor Corporation Semiconductor structure and high electron mobility transistor with a substrate having a pit, and methods for fabricating semiconductor structure
CN110752251A (en) * 2018-07-24 2020-02-04 兆远科技股份有限公司 Composite substrate and method for manufacturing the same

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013247251A (en) * 2012-05-25 2013-12-09 National Institute Of Advanced Industrial & Technology Silicon carbide semiconductor device manufacturing method
TWI505331B (en) * 2012-06-19 2015-10-21 Hermes Epitek Corp Epitaxial growth process and structure
US10134589B2 (en) * 2016-06-24 2018-11-20 QROMIS, Inc. Polycrystalline ceramic substrate and method of manufacture
US11773734B2 (en) * 2017-09-07 2023-10-03 General Electric Company Liquid bond coatings for barrier coatings
KR102450776B1 (en) * 2017-10-27 2022-10-05 삼성전자주식회사 Laser processing method, substrate dicing method, and substrate processing apparatus for performing the same
WO2019165137A1 (en) * 2018-02-23 2019-08-29 The Texas A&M University System Fabrication of electronic devices using sacrificial seed layers
JP2021118262A (en) * 2020-01-27 2021-08-10 株式会社東芝 Semiconductor device, method for manufacturing semiconductor device, and device for manufacturing semiconductor device
CN111755566B (en) * 2020-06-15 2022-03-11 中国电子科技集团公司第十一研究所 Pretreatment method of silicon-based cadmium telluride composite substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165874A (en) * 1997-07-03 2000-12-26 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method for growth of crystal surfaces and growth of heteroepitaxial single crystal films thereon
US6764074B2 (en) * 2001-12-21 2004-07-20 K-Bang, Llc Compact hockey arena
US20070259464A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Dislocation-specific dielectric mask deposition and lateral epitaxial overgrowth to reduce dislocation density of nitride films
US20100184279A1 (en) * 2009-01-21 2010-07-22 Dong-Sing Wuu Method of Making an Epitaxial Structure Having Low Defect Density

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2638302A1 (en) * 1976-08-25 1978-03-02 Wacker Chemitronic DETERGENT FOR III / V SEMICONDUCTORS
US6784074B2 (en) * 2001-05-09 2004-08-31 Nsc-Nanosemiconductor Gmbh Defect-free semiconductor templates for epitaxial growth and method of making same
US7091524B2 (en) * 2003-03-25 2006-08-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP2008024554A (en) * 2006-07-21 2008-02-07 Toyota Motor Corp Method for producing single crystal
US8236593B2 (en) * 2007-05-14 2012-08-07 Soitec Methods for improving the quality of epitaxially-grown semiconductor materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165874A (en) * 1997-07-03 2000-12-26 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method for growth of crystal surfaces and growth of heteroepitaxial single crystal films thereon
US6764074B2 (en) * 2001-12-21 2004-07-20 K-Bang, Llc Compact hockey arena
US20070259464A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Dislocation-specific dielectric mask deposition and lateral epitaxial overgrowth to reduce dislocation density of nitride films
US20100184279A1 (en) * 2009-01-21 2010-07-22 Dong-Sing Wuu Method of Making an Epitaxial Structure Having Low Defect Density

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9368344B2 (en) 2008-10-30 2016-06-14 Soitec Semiconductor structures, devices and engineered substrates including layers of semiconductor material having reduced lattice strain
US20120056201A1 (en) * 2009-05-11 2012-03-08 Sumitomo Electric Industries, Ltd. Insulated gate bipolar transistor
US9312339B2 (en) * 2010-12-23 2016-04-12 Soitec Strain relaxation using metal materials and related structures
US20140138796A1 (en) * 2010-12-23 2014-05-22 Soitec Strain relaxation using metal materials and related structures
US20140370695A1 (en) * 2010-12-27 2014-12-18 Soitec Method for fabricating a semiconductor device
US20130126999A1 (en) * 2011-11-22 2013-05-23 General Electric Company Radiation detectors and methods of fabricating radiation detectors
US9000389B2 (en) * 2011-11-22 2015-04-07 General Electric Company Radiation detectors and methods of fabricating radiation detectors
US20130143396A1 (en) * 2011-11-23 2013-06-06 University Of South Carolina Pretreatment Method for Reduction and/or Elimination of Basal Plane Dislocations Close to Epilayer/Substrate Interface in Growth of SiC Epitaxial
US9644287B2 (en) 2011-11-23 2017-05-09 University Of South Carolina Pretreatment method for reduction and/or elimination of basal plane dislocations close to epilayer/substrate interface in growth of SiC epitaxial films
US8900979B2 (en) * 2011-11-23 2014-12-02 University Of South Carolina Pretreatment method for reduction and/or elimination of basal plane dislocations close to epilayer/substrate interface in growth of SiC epitaxial films
US9644288B2 (en) 2011-11-23 2017-05-09 University Of South Carolina Pretreatment method for reduction and/or elimination of basal plane dislocations close to epilayer/substrate interface in growth of SiC epitaxial films
US9318314B2 (en) 2012-03-30 2016-04-19 Saint-Gobain Cristaux Et Dectecteurs Method of forming a freestanding semiconductor wafer
JP2014027006A (en) * 2012-07-24 2014-02-06 Disco Abrasive Syst Ltd Processing method of wafer
US9502230B2 (en) 2012-12-12 2016-11-22 Showa Denko K.K. Method for producing SiC substrate
US9903048B2 (en) 2013-03-26 2018-02-27 Mitsubishi Electric Corporation Single-crystal 4H-SiC substrate
US9422640B2 (en) 2013-03-26 2016-08-23 Mitsubishi Electric Corporation Single-crystal 4H-SiC substrate
US9752254B2 (en) 2013-03-26 2017-09-05 Mitsubishi Electric Corporation Method for manufacturing a single-crystal 4H—SiC substrate
EP2930751A4 (en) * 2013-03-27 2016-09-14 Ngk Insulators Ltd Handle substrate for compound substrate for use with semiconductor
US20150233018A1 (en) * 2013-09-06 2015-08-20 Sumitomo Electric Industries, Ltd. Silicon carbide epitaxial substrate and method of manufacturing silicon carbide epitaxial substrate
CN104979164A (en) * 2014-04-14 2015-10-14 格罗方德半导体公司 Defect-free Relaxed Covering Layer On Semiconductor Substrate With Lattice Mismatch
US9640394B2 (en) * 2014-08-27 2017-05-02 International Business Machines Corporation Method for fabricating a semiconductor structure
US20160064284A1 (en) * 2014-08-27 2016-03-03 International Business Machines Corporation Method for fabricating a semiconductor structure
US10262866B2 (en) 2015-10-14 2019-04-16 International Business Machines Corporation Indium phosphide smoothing and chemical mechanical planarization processes
US20170110334A1 (en) * 2015-10-14 2017-04-20 International Business Machines Corporation Germanium smoothing and chemical mechanical planarization processes
US9646842B1 (en) * 2015-10-14 2017-05-09 International Business Machines Corporation Germanium smoothing and chemical mechanical planarization processes
US9646841B1 (en) * 2015-10-14 2017-05-09 International Business Machines Corporation Group III arsenide material smoothing and chemical mechanical planarization processes
US20170110333A1 (en) * 2015-10-14 2017-04-20 International Business Machines Corporation Group iii arsenide material smoothing and chemical mechanical planarization processes
US9890300B2 (en) * 2015-10-14 2018-02-13 International Business Machines Corporation Germanium smoothing and chemical mechanical planarization processes
US9916985B2 (en) 2015-10-14 2018-03-13 International Business Machines Corporation Indium phosphide smoothing and chemical mechanical planarization processes
US9735010B1 (en) 2016-05-27 2017-08-15 International Business Machines Corporation Fabrication of semiconductor fin structures
US10249492B2 (en) 2016-05-27 2019-04-02 International Business Machines Corporation Fabrication of compound semiconductor structures
US10424478B2 (en) 2016-05-27 2019-09-24 International Business Machines Corporation Fabrication of semiconductor fin structures
US10529562B2 (en) 2016-05-27 2020-01-07 International Business Machines Corporation Fabrication of compound semiconductor structures
FR3060837A1 (en) * 2016-12-15 2018-06-22 Commissariat Energie Atomique METHOD FOR MANUFACTURING A DEVICE COMPRISING A LAYER OF III-N MATERIAL WITH SURFACE DEFECTS
US10453947B1 (en) * 2018-06-12 2019-10-22 Vanguard International Semiconductor Corporation Semiconductor structure and high electron mobility transistor with a substrate having a pit, and methods for fabricating semiconductor structure
CN110752251A (en) * 2018-07-24 2020-02-04 兆远科技股份有限公司 Composite substrate and method for manufacturing the same

Also Published As

Publication number Publication date
EP2545582A2 (en) 2013-01-16
TW201207903A (en) 2012-02-16
WO2011112963A2 (en) 2011-09-15
JP2013535090A (en) 2013-09-09
US9218954B2 (en) 2015-12-22
US20130237041A1 (en) 2013-09-12
KR20120137411A (en) 2012-12-20
EP2545582A4 (en) 2014-07-09
WO2011112963A3 (en) 2012-01-12

Similar Documents

Publication Publication Date Title
US9218954B2 (en) Defect capping method for reduced defect density epitaxial articles
US7972711B2 (en) Large area, uniformly low dislocation density GaN substrate and process for making the same
US6403451B1 (en) Methods of fabricating gallium nitride semiconductor layers on substrates including non-gallium nitride posts
JP5328931B2 (en) Low defect density free-standing gallium nitride substrate manufacturing method and device manufactured thereby
CN101743618A (en) Epitaxy method and the template of growing by this method
US7361522B2 (en) Growing lower defect semiconductor crystals on highly lattice-mismatched substrates
WO2001059819A1 (en) Methods of fabricating gallium nitride semiconductor layers on substrates including non-gallium nitride posts, and gallium nitride semiconductor structures fabricated thereby
US20190249333A1 (en) Low-dislocation bulk gan crystal and method of fabricating same
US9318314B2 (en) Method of forming a freestanding semiconductor wafer
Chen et al. AFM application in III-nitride materials and devices
US7033438B2 (en) Growth of a single-crystal region of a III-V compound on a single-crystal silicon substrate
US20210005444A1 (en) Method for manufacturing a silicon on nitride substrate
Kok et al. Regrowth of GaN on Strain-relief porous GaN template fabricated by Anodized Alumina Oxide mask
Li et al. Metalorganic chemical vapour deposition (MOCVD) growth of GaN on foundry compatible 200 mm Si

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNIVERSITY OF FLORIDA RESEARCH FOUNDATION, INC., F

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SINGH, RAJIV K.;REEL/FRAME:024131/0704

Effective date: 20100312

Owner name: SINMAT, INC., FLORIDA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ARJUNAN, ARUL CHAKKARAVARTHU;SINGH, DEEPIKA;REEL/FRAME:024131/0845

Effective date: 20100312

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SINMAT, INC.;REEL/FRAME:052388/0520

Effective date: 20200110