US20110222840A1 - Heating Configuration For Use in Thermal Processing Chambers - Google Patents

Heating Configuration For Use in Thermal Processing Chambers Download PDF

Info

Publication number
US20110222840A1
US20110222840A1 US13/112,542 US201113112542A US2011222840A1 US 20110222840 A1 US20110222840 A1 US 20110222840A1 US 201113112542 A US201113112542 A US 201113112542A US 2011222840 A1 US2011222840 A1 US 2011222840A1
Authority
US
United States
Prior art keywords
wafer
set forth
semiconductor substrate
chamber
tuning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/112,542
Inventor
Zion Koren
Conor Patrick O' Carroll
Shuen Chun Choy
Paul Janis Timans
Rudy Santo Tomas Cardema
James Tsuneo Taoka
Arieh A. Strod
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US13/112,542 priority Critical patent/US20110222840A1/en
Publication of US20110222840A1 publication Critical patent/US20110222840A1/en
Priority to US14/197,287 priority patent/US20140246422A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber

Definitions

  • a thermal processing chamber as used herein refers to a device that rapidly heats objects, such as semiconductor wafers.
  • Such devices typically include a substrate holder for holding a semiconductor wafer and a light source that emits light energy for heating the wafer.
  • the semiconductor wafers are heated under controlled conditions according to a preset temperature regime.
  • thermal processing chambers also typically include temperature sensing devices, such as pyrometers, that sense the radiation being emitted by the semiconductor wafer at a selected band of wavelengths. By sensing the thermal radiation being emitted by the wafer, the temperature of the wafer can be calculated with reasonable accuracy.
  • thermal processing chambers can also contain thermocouples for monitoring the temperature of the wafers.
  • Thermocouples measure the temperature of objects by direct contact.
  • semiconductor heating processes require a wafer to be heated to high temperatures so that various chemical and physical reactions can take place as the wafer is fabricated into a device.
  • rapid thermal processing which is one type of processing
  • semiconductor wafers are typically heated by an array of lights to temperatures, for instance, from about 400° C. to about 1,200° C., for times which are typically less than a few minutes.
  • one main goal is to heat the wafers as uniformly as possible.
  • Temperature gradients can be created within the wafer due to various factors. For instance, due to the increased surface area to volume ratio, the edges of semiconductor wafers tend to have a cooling rate and a heating rate that are different than the center of the wafer. The energy absorption characteristics of wafers can also vary from location to location. Additionally, when gases are circulated in the chamber, the gases can create cooler areas on the wafer due to convection.
  • the present invention is generally directed to an apparatus for heat treating semiconductor wafers.
  • the apparatus includes a thermal processing chamber adapted to contain a semiconductor wafer.
  • a substrate holder can be contained within the chamber upon which the wafer is held.
  • a heating device is placed in communication with the thermal processing chamber which emits thermal light energy onto the wafer held on the substrate holder.
  • the heating device can include an assembly of light energy sources which are positioned, for instance, to heat different zones of the wafer. The light energy sources form an irradiance distribution across a surface of the wafer.
  • the light energy sources used in the present invention are linear lamps positioned above the wafer, below the wafer, or above and below the wafer.
  • Linear lamps are elongated lamps that are typically oriented horizontally with respect to the wafer being heated.
  • the lamps can be any shape, such as circular, for most applications, the lamps have a long rod-like shape that extend the length of the wafer being heated, such as from one end of the thermal processing chamber to the other.
  • a series of rod-like lamps positioned parallel to each other can be located over the wafer.
  • the semiconductor wafer can be rotated.
  • the light energy sources form radial heating zones on the wafer which aid in heating the wafer uniformly and provide good temporal control during the heating cycle.
  • the heating device further includes at least one tuning device positioned amongst the linear lamps.
  • the tuning device is configured to change the irradiance distribution of the linear lamps in a manner for more uniformly heating the semiconductor wafer.
  • the tuning devices proved localized temperature control on the wafer.
  • the present invention allows the processing system to achieve a better temperature uniformity across the wafer or a better realization of a desired temperature profile.
  • the system can also be used to radially fine tune the whole wafer for further improving temperature uniformity.
  • the tuning device used in the present invention can be any suitable lamp or lamp configuration that is capable of directing a focused light beam onto a certain location of a substrate.
  • the tuning device can be a laser.
  • the laser can emit p-polarized light onto the wafer.
  • the laser can be adjusted so that the angle of incidence corresponds to where reflectivity of the light at the particular wavelength is at a minimum.
  • the angle of incidence can be generally less than 90°, and particularly from about 40° to about 85°.
  • arc lamps can also be used as the tuning device.
  • Arc lamps are well suited to emitting light that can be focused onto a particular portion of the wafer.
  • an arc lamp can be coupled to a light pipe and at least one focusing lens for directing light being emitted by the arc lamp onto a particular location.
  • the system of the present invention can include as many tuning devices as are required for uniformly heating wafers.
  • the number of tuning devices incorporated into a particularly system will generally depend upon numerous factors, including the configuration of the light energy sources.
  • the apparatus of the present invention can include at least one temperature sensing device which senses the temperature of the wafer at a plurality of locations.
  • the temperature sensing device can be a plurality of pyrometers, one pyrometer with multiple viewing ports, or one or more thermocouples.
  • the temperature sensing devices can be in communication with a controller, such as a microprocessor, which determines the temperature of the wafer.
  • the controller in turn, can be in communication with the power supply of the linear lamps for controlling the amount of heat being emitted by the light energy sources in response to the temperature of the wafer.
  • the controller can be configured, for instance, to control the amount of light energy being emitted by each linear lamp or can control different groups of the light energy sources.
  • the controller can be configured to also control the amount of light energy that is being emitted by a tuning device installed in accordance with the present invention.
  • the controller can be used to control the tuning device independent of the linear lamps.
  • the controller can also be configured to be capable of automatically moving the support structure upon which the tuning device is mounted in order to change and adjust the location of where the light energy being emitted by the tuning device contacts the wafer.
  • FIG. 1 is a cross-sectional view of one embodiment of a thermal processing chamber that may be used in accordance with the present invention
  • FIG. 2 is a cross-sectional perspective view of one embodiment of a heating device that may be used in thermal processing chambers made in accordance with the present invention
  • FIG. 3 is a cross-sectional perspective view of the heating device illustrated in FIG. 2 ;
  • FIG. 4 is a perspective view of the heating device illustrated in FIG. 2 ;
  • FIG. 5 is a bottom view of the heating device illustrated in FIG. 2 ;
  • FIG. 6 is a side view of one embodiment of a tuning device made in accordance with the present invention.
  • a rapid thermal processing apparatus uses intense light to heat a semiconductor wafer as part of the manufacturing process of integrated circuits. Exposure to light energy causes a rapid increase in the temperature of a semiconductor wafer and allows processing times to be relatively short. In rapid thermal processing systems, it is important to radiate the wafer with very high intensity light in a very uniform and controlled fashion. As stated above, the difficulty with current devices is that the requirements for the intensity of the radiated light and the ability to heat wafers uniformly are very difficult to achieve.
  • the present invention is directed to an apparatus and method for heating semiconductor wafers uniformly and at a controlled rate.
  • the apparatus includes a thermal processing chamber in communication with a heating device that is used to heat treat semiconductor wafers contained in the chamber.
  • the heating device contains a plurality of lamps that are positioned at preselected locations for heating the wafers. The lamps emit light energy and form a irradiance distribution over the surface of the wafer.
  • linear lamp refers to a lamp that is designed to emit most of its energy through the longest dimension of the lamp. For instance, in most embodiments, linear lamps emit the majority of their energy through the side of the lamp. Thus, when heating semiconductor wafers, the lamps are typically horizontally oriented over and/or below the wafers.
  • linear lamps are designed to emit energy out of an end of the lamp for heating the wafers.
  • the present inventors have discovered that using linear lamps provide various advantages over vertical lamps. For instance, when using linear lamps, a much smaller number of lamps are required to be incorporated into the heating device, since a linear lamp can heat a much larger area than a vertical lamp. Because less lamps are required, the system generally becomes more reliable and easier to maintain. Further, the linear lamps provide good heating uniformity and make it much easier to heat a wafer from both sides of the wafer.
  • the wafer is rotated with respect to the plurality of lamps. In this manner, the lamps form radial heating zones on the wafer.
  • the energy supplied to each heating zone can be controlled while the wafer is being heated.
  • the temperature at different locations of the wafer is monitored. Based upon the temperature sensed at the different locations, the energy being emitted by the lamps is selectively controlled.
  • the heating device in communication with the thermal processing chamber further contains tuning devices which are designed to modify the irradiance distribution of the heating lamps for more uniformly heating the semiconductor wafer.
  • the tuning devices allow fine adjustments to be made to the wafer irradiance distribution pattern in order to heat the wafer under a more controlled temperature regime and more uniformly.
  • the tuning device can be, in one embodiment, a localized and focused source of light energy that can be directed onto a particular location on the wafer.
  • the tuning device can be an active localized source such as a tungsten halogen bulb in an optical configuration, an arc lamp, or a laser diode with relatively high power.
  • System 10 includes a processing chamber 12 adapted to receive substrates such as a wafer 14 for conducting various processes. As shown, wafer 14 is positioned on a substrate holder 15 made from a thermal insulating material such as quartz. Chamber 12 is designed to heat wafer 14 at very rapid rates and under carefully controlled conditions. Chamber 12 can be made from various materials, including metals and ceramics. For instance, chamber 12 can be made from stainless steel or quartz.
  • chamber 12 When chamber 12 is made from a heat conductive material, preferably the is chamber includes a cooling system.
  • chamber 12 includes a cooling conduit 16 wrapped around the perimeter of the chamber.
  • Conduit 16 is adapted to circulate a cooling fluid, such as water, which is used to maintain the walls of chamber 12 at a constant temperature.
  • Chamber 12 can also include a gas inlet 18 and a gas outlet 20 for introducing a gas into the chamber and/or for maintaining the chamber within a preset pressure range.
  • a gas can be introduced into chamber 12 through gas inlet 18 for reaction with wafer 14 . Once processed, the gas can then be evacuated from the chamber using gas outlet 20 .
  • an inert gas can be fed to chamber 12 through gas inlet 18 for preventing any unwanted or undesirable side reactions from occurring within the chamber.
  • gas inlet 18 and gas outlet 20 can be used to pressurize chamber 12 .
  • a vacuum can also be created in chamber 12 when desired, using gas outlet 20 or an additional larger outlet positioned beneath the level of the wafer.
  • substrate holder 15 can be adapted to rotate wafer 14 using a wafer rotation mechanism 21 .
  • Rotating the wafer promotes greater temperature uniformity over the surface of the wafer and promotes enhanced contact between wafer 14 and any gases introduced into the chamber.
  • chamber 12 is also adapted to process optical parts, films, fibers, ribbons, and other substrates having any particular shape.
  • a heat source or heating device generally 22 is included in communication with chamber 12 for heating wafer 14 during processing.
  • Heating device 22 includes a plurality of linear lamps 24 , such as tungsten-halogen lamps. As shown in FIG. 1 , lamps 24 are horizontally aligned above wafer 14 . It should be understood, however, that lamps 24 may be placed at any particular location such as only below the wafer or above and below the wafer. Further, additional lamps To could be included within system 10 if desired.
  • linear lamps 24 as a heat source is generally preferred. For instance, lamps have much higher heating and cooling rates than other heating devices, such as electrical elements or conventional furnaces. Lamps 24 create a rapid isothermal processing system that provide instantaneous energy, typically requiring a very short and well controlled start up period. The flow of energy from lamps 24 can also be abruptly stopped at any time. As shown in the figure, lamps 24 are equipped with a gradual power controller 25 that can be used to increase or decrease the light energy being emitted by any of the lamps.
  • the lamps can be associated with a reflector or a set of reflectors.
  • the heating device 22 includes a reflector plate 36 positioned above the linear lamps 24 .
  • Reflector plate 36 can be made from any material suitable for reflecting light energy and can have any suitable shape that will assist in directing the light energy toward the wafer 14 .
  • thermal processing chamber 12 includes plurality of radiation sensing devices generally 27 .
  • Radiation sensing devices 27 include a plurality of optical fibers or light pipes 28 which are, in turn, in communication with a plurality of corresponding light detectors 30 .
  • Optical fibers 28 are configured to receive thermal energy being emitted by wafer 14 at a particular wavelength. The amount of sensed radiation is then communicated to light detectors 30 which generate a usable voltage signal for determining the temperature of the wafer which can be calculated based, in part, on Planck's Law.
  • each optical fiber 28 in combination with a light detector 30 comprises a pyrometer.
  • the optical fibers 28 are routed to a single but multiplexing radiation sensing device.
  • thermal processing chamber 12 can contain one or a plurality of s radiation sensing devices.
  • thermal processing chamber 12 contains a plurality of radiation sensing devices that measure the temperature of the wafer at different locations. Knowing the temperature of the wafer at different locations can then be used to control the amount of heat being applied to the wafer as will be described in more detail hereinafter. The amount of heat applied to various zones of the wafer can also be controlled in an open loop fashion. In this configuration the ratios between the various heating zones can be pre-determined after manual optimization.
  • System 10 further includes a window 32 which separates lamps 24 from the chamber.
  • Window 32 serves to isolate lamps 24 from wafer 14 and prevent contamination of the chamber.
  • Window 32 as shown in FIG. 1 can be a window positioned between chamber 12 and heat source 22 .
  • each lamp 24 can be covered by a separate window casing.
  • thermocouples may be incorporated into the system for monitoring the temperature of the wafer at a single location or at a plurality of locations.
  • the thermocouples can be placed in direct contact with the wafer or can be placed adjacent the wafer from which the temperature can be extrapolated.
  • System 10 further includes a system controller 50 which can be, for instance, a microprocessor.
  • Controller 50 receives voltage signals from light detectors 30 that represent the radiation amounts being sampled at the various locations. Based on the signals received, controller 50 is configured to calculate the temperature of wafer 14 at different locations.
  • System controller 50 as shown in FIG. 1 can also be in communication with lamp power controller 25 .
  • controller 50 can determine the temperature of wafer 14 , and, based on this information, control the amount of thermal energy being emitted by lamps 24 . In this manner, instantaneous adjustments can be made regarding the conditions within reactor 12 for processing wafer 14 within carefully controlled limits.
  • controller 50 can also be used to automatically control other elements within the system. For instance, controller 50 can be used to control the flow rate of gases entering chamber 12 through gas inlet 18 . As shown, controller 50 can further be used to control the rate at which wafer 14 is rotated within the chamber.
  • heating device 22 includes a plurality of light energy sources, such as linear lamps 24 that are secured to a mounting base 34 .
  • the linear lamps 24 each have a length that extends approximately the width of the thermal processing chamber.
  • the linear lamps 24 are placed in a parallel configuration and are horizontally oriented with respect to a wafer being heated.
  • the semiconductor wafer 14 is shown in phantom for providing a visual comparison between the linear lamps 24 and the diameter of the wafer.
  • heating device 22 further includes tuning devices 40 which, in this embodiment, are generally positioned in between the linear lamps 24 .
  • Tuning devices 40 are designed to emit controlled and focused amounts of light energy onto particular locations of a semiconductor wafer being heated.
  • the tuning devices are provided in order to make fine adjustments to the irradiance distribution produced by lamps 24 in order to more precisely heat the wafers.
  • tuning devices 40 can be used to emit controlled amounts of light energy between the radial heating zones located on the wafer.
  • Tuning devices 40 as shown in FIGS. 2 through 4 are active localized sources of focused light energy.
  • the tuning devices can be, for instance, laser diodes having a relatively high power.
  • the tuning source can contribute from about 1% to about 30% of the local power density irradiating the wafer at the selected position.
  • tuning devices 40 can be a lamp, such as a tungsten halogen lamp or arc lamp, in operative association with one or more focusing lenses or reflectors.
  • tuning devices 40 include a light energy source coupled to a light pipe or a fiberoptic 78 and a focusing lens 80 .
  • Light pipe 78 and focusing lense 80 serve to direct the light energy onto a particular location of the wafer. These elements, however, may not be necessary in all applications.
  • a tunable laser means can also be used as a laser source.
  • the wavelength of light emitted by the tunable laser device can be adjustable. Consequently, the wavelength of the tuning source can be adapted or adjusted to the texture or state of the illuminated wafer region, which can include structures in the lateral direction and/or structures of layers of different dielectric constants. More particularly, the wavelength of the tuning source can be adjusted in order to maximize absorption.
  • tuning devices 40 that may be used in a system of the present invention can vary depending upon the particular application. For most applications, however, tuning devices will be positioned so as to heat the outer edges of the wafer. As shown in FIG. 5 , many other tuning devices 40 can also be included in the system.
  • heating device 22 is preferably in communication with a system controller 50 as shown in FIG. 1 .
  • system controller 50 can be designed to vary the amount of light energy being emitted by lamps 24 and by tuning devices 40 .
  • tuning device can be controlled as a function of the wafer temperature or the temperature of another part of the wafer processing system. These parameters can be controlled as a function of other parameters relevant to the processing of wafers, like e.g. process time, conditions of the processed gas such as temperature, pressure or composition, or ramp rate which refers to the rate at which the wafer is heated or cooled.
  • Other parameters of the tuning device that can be controlled include e.g.
  • pulse parameters such as time, duty-factor or frequency, pulse-shape, frequency-time characteristics if the device is used in a pulse mode, the spacial position of the device relative to the wafer, the state of polarization, the size and angle of the illuminated area on the wafer, coherence in time and space, and parameters of any optical devices such as apertures, filters, lenses of various kind, mirrors which e.g. at feast partly but not necessarily surround the light source of the tuning device.
  • tuning device 40 includes a light energy source 42 which transmits light to a wafer 14 in a thermal processing chamber through a window 32 .
  • Light energy source 42 is positioned above heating device 22 , which includes a plurality of linear lamps 24 .
  • light energy source 42 is an arc lamp that includes a cathode spaced from an anode. During operation, the cathode emits electrons that travel across the lamp arc gap and strike the anode.
  • Arc lamps typically emit ultra violet light, infrared light, and visible light.
  • the emitted spectrum of the arc lamps can be controlled by current density.
  • the current is density can be controlled by power supply and adjusting the voltage or internal resistance of the supply. The current density, however, can also be controlled by external magnetic fields. If the arc lamps are used in a pulsed mode, a high current density is achievable, resulting in very intense emitted UV radiation.
  • the power level of the lamp can vary depending upon the particular application. Power levels from 125 watts to 1500 watts are available. Each of these power levels is actually a power range, with nominal power near the maximum. For most applications, however, the lamp should have a power level of from about 180 watts to about 320 watts.
  • arc lamp 42 is surrounded by a reflector 70 .
  • Reflector 70 preferably has a pure polycrystalline alumina body that is glazed with a high temperature material to give the reflector a specular surface.
  • the reflector can be coated with a silver alloy for a visible lamp or an aluminum coating for a UV lamp and/or dielectric coating.
  • Reflector 70 surrounds the light energy source and can have various shapes.
  • reflector 70 can be parabolic or elliptical.
  • a parabolic reflector will create a collimated output beam, while an elliptical reflector will create a focused output.
  • an elliptical reflector is used, because of its slightly better collection efficiencies and slightly shorter arc gap, while parabolic reflectors are usually used with focusing lenses.
  • arc lamp 42 is cooled.
  • the lamp can be cooled using forced air, free convention, conduction, or can be water cooled.
  • Lamp window 72 can be made from, for instance, a ground and polished single-crystal sapphire.
  • Light pipe 78 is preferably made from a material that is well adapted for transmitting light and that is not substantially thermally conductive, such as quartz.
  • the system includes a first focusing lens 80 , a second focusing lens 82 , and a third focusing lens 84 .
  • Focusing lens 84 is positioned on the opposite side of window 32 within the thermal processing chamber in which the wafer is held. Focusing lenses 80 , 82 and 84 are designed to facilitate transmission of light energy being emitted by arc lamp 42 and to focus the light onto a particular location of the wafer.
  • focusing lenses 80 and 82 comprise a condensing lens set.
  • the number and combination of lenses can vary depending on the application. For instance, the number of lenses can be reduced with careful lens design, such as by using an aspherical lens. Alternatively or in combination also Fresnel-Zone-Plates or other refractive and/or diffractive means and/or reflective optics (e.g. an elliptical reflector) can be used to get the desired illumination on the wafer.
  • Fresnel-Zone-Plates or other refractive and/or diffractive means and/or reflective optics e.g. an elliptical reflector
  • Tuning device 40 as shown in FIG. 6 can be used to heat various locations of the wafer. In one embodiment, however, it has been found that this configuration is particularly well adapted to heating the outer edges of the wafer, where the wafer tends to lose heat due to radiation and convection during heating cycles. In particular, it has been found that the system illustrated in FIG. 6 is particularly well adapted to heating the outer 3 to 5 millimeters of the wafer. It should be understood, however, that tuning device 40 can be positioned to heat other locations on the wafer.
  • the configuration illustrated in FIG. 6 represents one embodiment of a single tuning device using an arc lamp. It should be understood that more than one tuning device may be used in a single system. Further, the location of the tuning device can vary. For instance, in an alternative embodiment, the tuning device can be below or on the side of the heater. Further, in one embodiment, wafer 14 can be heated from the top and the bottom with the tuning devices. For example, the wafer can be heated from the bottom using a separate heating to device containing various tuning devices. Further, the tuning device can be arranged in a linear position with respect to the wafer or can assume an angular position with respect to the wafer.
  • the tuning device of the present invention can also be a laser. Lasers can be used alone or in combination with arc lamps.
  • lasers emit light at a particular wavelength. Because lasers emit a narrow spectral band of radiation, however, it may be beneficial in some applications to couple the light emission from the laser with the absorption properties of the wafer being heated. For instance, a wafer can be coated with a material or a thin-film stack that may be highly reflective at the wavelength at which the laser operates, which reduces the efficiency at which the wafer is heated by the laser. In accordance with the present invention, however, various techniques can be used to increase absorption efficiencies when using lasers.
  • several different types of lasers can be used in the heating device that each emit light at different wavelengths.
  • a second laser operating at a different wavelength can be used to heat the wafer.
  • the radiation from the different lasers may be optically combined before contacting the wafer.
  • several beams of light from the different lasers could illuminate a selected area of the wafer.
  • several beams of light could irradiate the same wafer radius as the wafer is rotated.
  • the position of each laser can be arranged so as to maximize absorption. More specifically, absorption can be maximized by adjusting the plane of polarization and the angle of incidence of light being emitted by the laser with respect to the surface of the wafer.
  • the reflectivity of most surfaces is a function of the angle of incidence.
  • changing the angle of incidence of the laser light contacting the wafer can increase absorption.
  • the laser sources can all be angled so as to maximize absorption for a particular wafer.
  • the angle of incidence of each laser source can be different so as to ensure that at least one to of the tuning sources will have a high degree of absorption during the heating process.
  • the angle of incidence can also be a parameter which is controlled by the system controller 50 as a function of another parameter in the system, such as those mentioned above.
  • the laser beams of light should be placed in the p-polarization plane with respect to the wafer surface.
  • the p-polarization plane is where in the electric field vector of the incident radiation lies in the plane of incidence.
  • the plane of incidence is the plane containing the incident beam and the normal to the wafer surface.
  • reflectivity of many materials, including silicon can become small for angles of incidence of less than 90°.
  • reflectivity which can be a function of temperature, is very low such as almost zero near a critical angle i.e. the Brewster angle.
  • the Brewster angle is approximately 75°. Since laser beams are often inherently polarized, altering the angle of incidence when using lasers can be particularly effective in accordance with the present invention.
  • the angle of incidence when using a laser, can be from about 40° to about 85° and particularly from about 60° to about 85° when heating a silicon wafer.
  • any suitable type of laser can be used in the present invention.
  • a laser diode is used.
  • Laser diodes efficiently convert electricity into laser radiation and are available in high power ratings. For example, high power devices, delivering continuous power of greater than 10 watts are currently commercially available, with emission wavelengths between 400 nm and 4000 nm.
  • the above described lasers can be combined with beam delivery optics that reshape the emitted beam and direct it to the wafer.
  • the laser can be coupled with fiber optics for guiding the light onto a particular location of the wafer.
  • the tuning device can include a plurality of light pipes, such as optical fibers.
  • the light energy of the tuning device can be distributed with the light pipes to at least two local areas within the chamber and/or the wafer.
  • the local areas are separated from each other and are illuminated by the same tuning device.
  • the areas can overlap or can be essentially identical, meaning that the two light pipes illuminate the same area.
  • This tuning device configuration can be used for illuminating the wafer at the same region from the top and the bottom using a single tuning device, such as having e.g. the same spectral conditions for the top and the bottom illumination.
  • the tuning device can also include a system of apertures or aperture plates having a predetermined pattern of apertures.
  • certain light pipes can be selected from the plurality of light pipes.
  • a laser, arc lamp or halogen lamp can be placed in communication with a plurality of light pipes.
  • One of the light pipes can then be selected for transferring the light energy to the wafer.
  • the particular light pipes selected can control the intensity of the energy transported through the pipe, having the advantage that no power control of the light source itself is necessary.
  • Such a control can be difficult for certain lasers (e.g. super radiating systems like a spark pumped nitrogen laser) or arc lamps (e.g. in pulsed mode with very high current density).
  • the intensity of the light emitted from the tuning source can be controlled using polarization filters.
  • the tuning devices can be simply turning the tuning devices on and off independent of the primary heating lamps for controlling the irradiance distribution.
  • the electomagnetic power of the tuning devices can be delivered to the specified regions of the wafer from either above or below the wafer, or from both sides.
  • the latter case gives the advantage of reducing the possibility that there is poor power coupling because of the presence of a reflective coating on one side of the wafer.
  • This concept can be applied equally well to the arc lamps or the laser sources, and it can be implemented by either having separate sources built into the chamber above and below the wafer, or through the use of light pipes as described above e.g. fibers that transmit the energy from the tuning device in these locations.
  • the tuning devices could also irradiate the wafer edge from the side. This is especially useful in cases where a slip-free ring (i.e.
  • a heating ring used to heat the edges of a wafer is not present in the system.
  • Light sources to be built into the side of the chamber which would not interfere with the mechanical layout of the linear lamp rays so much, also can be used as tuning devices. Irradiation from the side can fall directly on the edge of the wafer, but it is also possible for the illumination to cause light to fall on the top and bottom surfaces of the wafer over a region close to the edge. This light can be incident at a rather large angle of incidence, and in this configuration it is quite convenient to achieve angles of incidence close to the critical angle for silicone (approximately 75° to the normal), where power coupling is strong, and coating effects could be minimized.

Abstract

An apparatus for heat treating semiconductor wafers is disclosed. The apparatus includes a heating device which contains an assembly linear lamps for emitting light energy onto a wafer. The linear lamps can be placed in various configurations. In accordance with the present invention, tuning devices which are used to adjust the overall irradiance distribution of the light energy sources are included in the heating device. The tuning devices can be, for instance, are lamps or lasers.

Description

    BACKGROUND OF THE INVENTION
  • A thermal processing chamber as used herein refers to a device that rapidly heats objects, such as semiconductor wafers. Such devices typically include a substrate holder for holding a semiconductor wafer and a light source that emits light energy for heating the wafer. During heat treatment, the semiconductor wafers are heated under controlled conditions according to a preset temperature regime. For monitoring the temperature of the semiconductor wafer during heat treatment, thermal processing chambers also typically include temperature sensing devices, such as pyrometers, that sense the radiation being emitted by the semiconductor wafer at a selected band of wavelengths. By sensing the thermal radiation being emitted by the wafer, the temperature of the wafer can be calculated with reasonable accuracy.
  • In alternative embodiments, instead of or in addition to using radiation sensing devices, thermal processing chambers can also contain thermocouples for monitoring the temperature of the wafers. Thermocouples measure the temperature of objects by direct contact.
  • Many semiconductor heating processes require a wafer to be heated to high temperatures so that various chemical and physical reactions can take place as the wafer is fabricated into a device. During rapid thermal processing, which is one type of processing, semiconductor wafers are typically heated by an array of lights to temperatures, for instance, from about 400° C. to about 1,200° C., for times which are typically less than a few minutes. During these processes, one main goal is to heat the wafers as uniformly as possible.
  • Problems have been experienced in the past, however, in being able to maintain a constant temperature throughout the wafer and in being able to control the rate at which the wafer is heated. If the wafer is heated nonuniformly, various unwanted stresses can develop in the wafer. Not being able to heat the wafers uniformly also limits the ability to uniformly deposit films on the wafers, to uniformly etch the wafers, beside limiting the ability to perform various other chemical and physical processes on the wafers.
  • Temperature gradients can be created within the wafer due to various factors. For instance, due to the increased surface area to volume ratio, the edges of semiconductor wafers tend to have a cooling rate and a heating rate that are different than the center of the wafer. The energy absorption characteristics of wafers can also vary from location to location. Additionally, when gases are circulated in the chamber, the gases can create cooler areas on the wafer due to convection.
  • In the past, various lamp configurations have been proposed in order to overcome the above described deficiencies and improve the ability to heat wafers more uniformly and to control the temperature of the wafers at various locations. These systems, however, have become increasingly complex and expensive to produce. For instance, some systems can contain well over 100 lamps.
  • As such, a need currently exists for an improved thermal processing chamber that is capable of uniformly heating semiconductor wafers in a relatively simple manner without being as complex as many prior art systems. A need also exists for an improved rapid thermal processing chamber for heating semiconductor wafers that is equipped with controls for varying the amount of energy that is applied to the wafer at different locations based upon the characteristics and properties of the wafer. Such controls are especially necessary due to the increasing demands that are being placed upon the preciseness at which the semiconductor wafers are heat treated and at which semiconductor devices are fabricated.
  • SUMMARY OF THE INVENTION
  • The present invention is generally directed to an apparatus for heat treating semiconductor wafers. The apparatus includes a thermal processing chamber adapted to contain a semiconductor wafer. For instance, a substrate holder can be contained within the chamber upon which the wafer is held. A heating device is placed in communication with the thermal processing chamber which emits thermal light energy onto the wafer held on the substrate holder. The heating device can include an assembly of light energy sources which are positioned, for instance, to heat different zones of the wafer. The light energy sources form an irradiance distribution across a surface of the wafer.
  • In particular, the light energy sources used in the present invention are linear lamps positioned above the wafer, below the wafer, or above and below the wafer. Linear lamps are elongated lamps that are typically oriented horizontally with respect to the wafer being heated. Although the lamps can be any shape, such as circular, for most applications, the lamps have a long rod-like shape that extend the length of the wafer being heated, such as from one end of the thermal processing chamber to the other. For example, a series of rod-like lamps positioned parallel to each other, can be located over the wafer.
  • During the heating process, the semiconductor wafer can be rotated. In this manner, the light energy sources form radial heating zones on the wafer which aid in heating the wafer uniformly and provide good temporal control during the heating cycle.
  • In accordance with the present invention, the heating device further includes at least one tuning device positioned amongst the linear lamps. The tuning device is configured to change the irradiance distribution of the linear lamps in a manner for more uniformly heating the semiconductor wafer.
  • The tuning devices proved localized temperature control on the wafer. Through the combination of linear lamps and tuning sources, the present invention allows the processing system to achieve a better temperature uniformity across the wafer or a better realization of a desired temperature profile. In one embodiment, the system can also be used to radially fine tune the whole wafer for further improving temperature uniformity.
  • The tuning device used in the present invention can be any suitable lamp or lamp configuration that is capable of directing a focused light beam onto a certain location of a substrate. For instance, in one embodiment, the tuning device can be a laser. The laser can emit p-polarized light onto the wafer. In order to maximize absorption, the laser can be adjusted so that the angle of incidence corresponds to where reflectivity of the light at the particular wavelength is at a minimum. For example, when processing silicon wafers, the angle of incidence can be generally less than 90°, and particularly from about 40° to about 85°.
  • Further, since most lasers emit light at a particular wavelength, in an alternative embodiment of the present invention, multiple lasers can be used that emit light at different wavelengths. By using different types of lasers, problems associated with reflectivity can be minimized.
  • Besides lasers, arc lamps can also be used as the tuning device. Arc lamps are well suited to emitting light that can be focused onto a particular portion of the wafer. When used in the system of the present invention, an arc lamp can be coupled to a light pipe and at least one focusing lens for directing light being emitted by the arc lamp onto a particular location.
  • The system of the present invention can include as many tuning devices as are required for uniformly heating wafers. The number of tuning devices incorporated into a particularly system will generally depend upon numerous factors, including the configuration of the light energy sources.
  • In order to control the amount of light energy that is emitted by the plurality of light energy sources, the apparatus of the present invention can include at least one temperature sensing device which senses the temperature of the wafer at a plurality of locations. For instance, the temperature sensing device can be a plurality of pyrometers, one pyrometer with multiple viewing ports, or one or more thermocouples. The temperature sensing devices can be in communication with a controller, such as a microprocessor, which determines the temperature of the wafer. The controller, in turn, can be in communication with the power supply of the linear lamps for controlling the amount of heat being emitted by the light energy sources in response to the temperature of the wafer. The controller can be configured, for instance, to control the amount of light energy being emitted by each linear lamp or can control different groups of the light energy sources.
  • In one embodiment, the controller can be configured to also control the amount of light energy that is being emitted by a tuning device installed in accordance with the present invention. In particular, the controller can be used to control the tuning device independent of the linear lamps. Further, the controller can also be configured to be capable of automatically moving the support structure upon which the tuning device is mounted in order to change and adjust the location of where the light energy being emitted by the tuning device contacts the wafer.
  • Other features and aspects of the present invention are discussed in greater detail below.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A full and enabling disclosure of the present invention, including the best mode thereof, directed to one of ordinary skill in the art, is set forth more particularly in the remainder of the specification, which makes reference to the appended figures in which:
  • FIG. 1 is a cross-sectional view of one embodiment of a thermal processing chamber that may be used in accordance with the present invention;
  • FIG. 2 is a cross-sectional perspective view of one embodiment of a heating device that may be used in thermal processing chambers made in accordance with the present invention;
  • FIG. 3 is a cross-sectional perspective view of the heating device illustrated in FIG. 2;
  • FIG. 4 is a perspective view of the heating device illustrated in FIG. 2;
  • FIG. 5 is a bottom view of the heating device illustrated in FIG. 2; and
  • FIG. 6 is a side view of one embodiment of a tuning device made in accordance with the present invention.
  • Repeat use of reference characters in the present specification and drawings is intended to represent same or analogous features or elements of the invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • It is to be understood by one of ordinary skill in the art that the present discussion is a description of exemplary embodiments only, and is not intended as limiting the broader aspects of the present invention, which broader aspects are embodied in the exemplary construction.
  • A rapid thermal processing apparatus uses intense light to heat a semiconductor wafer as part of the manufacturing process of integrated circuits. Exposure to light energy causes a rapid increase in the temperature of a semiconductor wafer and allows processing times to be relatively short. In rapid thermal processing systems, it is important to radiate the wafer with very high intensity light in a very uniform and controlled fashion. As stated above, the difficulty with current devices is that the requirements for the intensity of the radiated light and the ability to heat wafers uniformly are very difficult to achieve.
  • In general, the present invention is directed to an apparatus and method for heating semiconductor wafers uniformly and at a controlled rate. The apparatus includes a thermal processing chamber in communication with a heating device that is used to heat treat semiconductor wafers contained in the chamber. The heating device contains a plurality of lamps that are positioned at preselected locations for heating the wafers. The lamps emit light energy and form a irradiance distribution over the surface of the wafer.
  • The heating device and processing chamber of the present invention are particularly designed to operate with linear lamps. As used herein, a “linear lamp” refers to a lamp that is designed to emit most of its energy through the longest dimension of the lamp. For instance, in most embodiments, linear lamps emit the majority of their energy through the side of the lamp. Thus, when heating semiconductor wafers, the lamps are typically horizontally oriented over and/or below the wafers.
  • Recently, as opposed to using linear lamps, many thermal processing chambers have been made with vertically oriented lamps. These lamps are designed to emit energy out of an end of the lamp for heating the wafers. The present inventors have discovered that using linear lamps provide various advantages over vertical lamps. For instance, when using linear lamps, a much smaller number of lamps are required to be incorporated into the heating device, since a linear lamp can heat a much larger area than a vertical lamp. Because less lamps are required, the system generally becomes more reliable and easier to maintain. Further, the linear lamps provide good heating uniformity and make it much easier to heat a wafer from both sides of the wafer.
  • During heating, the wafer is rotated with respect to the plurality of lamps. In this manner, the lamps form radial heating zones on the wafer. The energy supplied to each heating zone can be controlled while the wafer is being heated.
  • In one embodiment, the temperature at different locations of the wafer is monitored. Based upon the temperature sensed at the different locations, the energy being emitted by the lamps is selectively controlled.
  • In accordance with the present invention, the heating device in communication with the thermal processing chamber further contains tuning devices which are designed to modify the irradiance distribution of the heating lamps for more uniformly heating the semiconductor wafer. The tuning devices allow fine adjustments to be made to the wafer irradiance distribution pattern in order to heat the wafer under a more controlled temperature regime and more uniformly. The tuning device can be, in one embodiment, a localized and focused source of light energy that can be directed onto a particular location on the wafer.
  • The tuning device can be an active localized source such as a tungsten halogen bulb in an optical configuration, an arc lamp, or a laser diode with relatively high power.
  • Referring to FIG. 1, a system generally 10 made in accordance with the present invention for heat treating a wafer made from a semiconductive material, such as silicon, is illustrated. System 10 includes a processing chamber 12 adapted to receive substrates such as a wafer 14 for conducting various processes. As shown, wafer 14 is positioned on a substrate holder 15 made from a thermal insulating material such as quartz. Chamber 12 is designed to heat wafer 14 at very rapid rates and under carefully controlled conditions. Chamber 12 can be made from various materials, including metals and ceramics. For instance, chamber 12 can be made from stainless steel or quartz.
  • When chamber 12 is made from a heat conductive material, preferably the is chamber includes a cooling system. For instance, as shown in FIG. 1, chamber 12 includes a cooling conduit 16 wrapped around the perimeter of the chamber. Conduit 16 is adapted to circulate a cooling fluid, such as water, which is used to maintain the walls of chamber 12 at a constant temperature.
  • Chamber 12 can also include a gas inlet 18 and a gas outlet 20 for introducing a gas into the chamber and/or for maintaining the chamber within a preset pressure range. For instance, a gas can be introduced into chamber 12 through gas inlet 18 for reaction with wafer 14. Once processed, the gas can then be evacuated from the chamber using gas outlet 20.
  • Alternatively, an inert gas can be fed to chamber 12 through gas inlet 18 for preventing any unwanted or undesirable side reactions from occurring within the chamber. In a further embodiment, gas inlet 18 and gas outlet 20 can be used to pressurize chamber 12. A vacuum can also be created in chamber 12 when desired, using gas outlet 20 or an additional larger outlet positioned beneath the level of the wafer.
  • During processing, substrate holder 15, in one embodiment, can be adapted to rotate wafer 14 using a wafer rotation mechanism 21. Rotating the wafer promotes greater temperature uniformity over the surface of the wafer and promotes enhanced contact between wafer 14 and any gases introduced into the chamber. It should be understood, however, that besides wafers, chamber 12 is also adapted to process optical parts, films, fibers, ribbons, and other substrates having any particular shape.
  • A heat source or heating device generally 22 is included in communication with chamber 12 for heating wafer 14 during processing. Heating device 22 includes a plurality of linear lamps 24, such as tungsten-halogen lamps. As shown in FIG. 1, lamps 24 are horizontally aligned above wafer 14. It should be understood, however, that lamps 24 may be placed at any particular location such as only below the wafer or above and below the wafer. Further, additional lamps To could be included within system 10 if desired.
  • The use of linear lamps 24 as a heat source is generally preferred. For instance, lamps have much higher heating and cooling rates than other heating devices, such as electrical elements or conventional furnaces. Lamps 24 create a rapid isothermal processing system that provide instantaneous energy, typically requiring a very short and well controlled start up period. The flow of energy from lamps 24 can also be abruptly stopped at any time. As shown in the figure, lamps 24 are equipped with a gradual power controller 25 that can be used to increase or decrease the light energy being emitted by any of the lamps.
  • In order to assist in directing the light energy being emitted by lamps 24 onto wafer 14, the lamps can be associated with a reflector or a set of reflectors. For instance, as shown in FIG. 1, the heating device 22 includes a reflector plate 36 positioned above the linear lamps 24. Reflector plate 36 can be made from any material suitable for reflecting light energy and can have any suitable shape that will assist in directing the light energy toward the wafer 14.
  • In order to monitor the temperature of wafer 14 during the heating process, in this embodiment, thermal processing chamber 12 includes plurality of radiation sensing devices generally 27. Radiation sensing devices 27 include a plurality of optical fibers or light pipes 28 which are, in turn, in communication with a plurality of corresponding light detectors 30. Optical fibers 28 are configured to receive thermal energy being emitted by wafer 14 at a particular wavelength. The amount of sensed radiation is then communicated to light detectors 30 which generate a usable voltage signal for determining the temperature of the wafer which can be calculated based, in part, on Planck's Law. In one embodiment, each optical fiber 28 in combination with a light detector 30 comprises a pyrometer. In another embodiment, the optical fibers 28 are routed to a single but multiplexing radiation sensing device.
  • In general, thermal processing chamber 12 can contain one or a plurality of s radiation sensing devices. In a preferred embodiment, as shown in FIG. 1, thermal processing chamber 12 contains a plurality of radiation sensing devices that measure the temperature of the wafer at different locations. Knowing the temperature of the wafer at different locations can then be used to control the amount of heat being applied to the wafer as will be described in more detail hereinafter. The amount of heat applied to various zones of the wafer can also be controlled in an open loop fashion. In this configuration the ratios between the various heating zones can be pre-determined after manual optimization.
  • System 10 further includes a window 32 which separates lamps 24 from the chamber. Window 32 serves to isolate lamps 24 from wafer 14 and prevent contamination of the chamber. Window 32 as shown in FIG. 1 can be a window positioned between chamber 12 and heat source 22. In an alternative embodiment, each lamp 24 can be covered by a separate window casing.
  • Besides using radiation sensing devices, other temperature sensing devices may be used in the system of the present invention. For instance, one or more thermocouples may be incorporated into the system for monitoring the temperature of the wafer at a single location or at a plurality of locations. The thermocouples can be placed in direct contact with the wafer or can be placed adjacent the wafer from which the temperature can be extrapolated.
  • System 10 further includes a system controller 50 which can be, for instance, a microprocessor. Controller 50 receives voltage signals from light detectors 30 that represent the radiation amounts being sampled at the various locations. Based on the signals received, controller 50 is configured to calculate the temperature of wafer 14 at different locations.
  • System controller 50 as shown in FIG. 1 can also be in communication with lamp power controller 25. In this arrangement, controller 50 can determine the temperature of wafer 14, and, based on this information, control the amount of thermal energy being emitted by lamps 24. In this manner, instantaneous adjustments can be made regarding the conditions within reactor 12 for processing wafer 14 within carefully controlled limits.
  • In one embodiment, controller 50 can also be used to automatically control other elements within the system. For instance, controller 50 can be used to control the flow rate of gases entering chamber 12 through gas inlet 18. As shown, controller 50 can further be used to control the rate at which wafer 14 is rotated within the chamber.
  • As described above, the present invention is generally directed to a particular heating configuration that is used within thermal processing chamber 12. Referring to FIGS. 2 through 5, one embodiment of a heating device 22 that can be used in combination with thermal processing chamber 12 in accordance with the present invention is illustrated. As shown, heating device 22 includes a plurality of light energy sources, such as linear lamps 24 that are secured to a mounting base 34. The linear lamps 24 each have a length that extends approximately the width of the thermal processing chamber. The linear lamps 24 are placed in a parallel configuration and are horizontally oriented with respect to a wafer being heated. For example, referring to FIG. 5, the semiconductor wafer 14 is shown in phantom for providing a visual comparison between the linear lamps 24 and the diameter of the wafer.
  • In accordance with the present invention, in order to heat a wafer more uniformly, heating device 22 further includes tuning devices 40 which, in this embodiment, are generally positioned in between the linear lamps 24. Tuning devices 40 are designed to emit controlled and focused amounts of light energy onto particular locations of a semiconductor wafer being heated. The tuning devices are provided in order to make fine adjustments to the irradiance distribution produced by lamps 24 in order to more precisely heat the wafers. For example, tuning devices 40 can be used to emit controlled amounts of light energy between the radial heating zones located on the wafer.
  • Tuning devices 40 as shown in FIGS. 2 through 4 are active localized sources of focused light energy. The tuning devices can be, for instance, laser diodes having a relatively high power. For instance, the tuning source can contribute from about 1% to about 30% of the local power density irradiating the wafer at the selected position. In an alternative embodiment, tuning devices 40 can be a lamp, such as a tungsten halogen lamp or arc lamp, in operative association with one or more focusing lenses or reflectors.
  • In FIGS. 2 through 4, tuning devices 40 include a light energy source coupled to a light pipe or a fiberoptic 78 and a focusing lens 80. Light pipe 78 and focusing lense 80 serve to direct the light energy onto a particular location of the wafer. These elements, however, may not be necessary in all applications.
  • Instead of or in addition to conventional laser diodes, a tunable laser means can also be used as a laser source. The wavelength of light emitted by the tunable laser device can be adjustable. Consequently, the wavelength of the tuning source can be adapted or adjusted to the texture or state of the illuminated wafer region, which can include structures in the lateral direction and/or structures of layers of different dielectric constants. More particularly, the wavelength of the tuning source can be adjusted in order to maximize absorption.
  • The number of tuning devices 40 that may be used in a system of the present invention can vary depending upon the particular application. For most applications, however, tuning devices will be positioned so as to heat the outer edges of the wafer. As shown in FIG. 5, many other tuning devices 40 can also be included in the system.
  • During operation, heating device 22 is preferably in communication with a system controller 50 as shown in FIG. 1. Based upon the temperature of the wafer being heated, system controller 50 can be designed to vary the amount of light energy being emitted by lamps 24 and by tuning devices 40.
  • Besides light energy intensity, other parameters of the tuning device can be controlled as a function of the wafer temperature or the temperature of another part of the wafer processing system. These parameters can be controlled as a function of other parameters relevant to the processing of wafers, like e.g. process time, conditions of the processed gas such as temperature, pressure or composition, or ramp rate which refers to the rate at which the wafer is heated or cooled. Other parameters of the tuning device that can be controlled include e.g. the emitted spectrum, pulse parameters such as time, duty-factor or frequency, pulse-shape, frequency-time characteristics if the device is used in a pulse mode, the spacial position of the device relative to the wafer, the state of polarization, the size and angle of the illuminated area on the wafer, coherence in time and space, and parameters of any optical devices such as apertures, filters, lenses of various kind, mirrors which e.g. at feast partly but not necessarily surround the light source of the tuning device.
  • Referring to FIG. 6, one embodiment of a tuning device made in accordance with the present invention is illustrated. In this embodiment, like reference numerals have been used in order to indicate similar elements as shown in the other figures. As shown, tuning device 40 includes a light energy source 42 which transmits light to a wafer 14 in a thermal processing chamber through a window 32. Light energy source 42 is positioned above heating device 22, which includes a plurality of linear lamps 24.
  • In this embodiment, light energy source 42 is an arc lamp that includes a cathode spaced from an anode. During operation, the cathode emits electrons that travel across the lamp arc gap and strike the anode. Arc lamps typically emit ultra violet light, infrared light, and visible light. In one embodiment, the emitted spectrum of the arc lamps can be controlled by current density. The current is density can be controlled by power supply and adjusting the voltage or internal resistance of the supply. The current density, however, can also be controlled by external magnetic fields. If the arc lamps are used in a pulsed mode, a high current density is achievable, resulting in very intense emitted UV radiation.
  • The power level of the lamp can vary depending upon the particular application. Power levels from 125 watts to 1500 watts are available. Each of these power levels is actually a power range, with nominal power near the maximum. For most applications, however, the lamp should have a power level of from about 180 watts to about 320 watts.
  • As illustrated, arc lamp 42 is surrounded by a reflector 70. Reflector 70 preferably has a pure polycrystalline alumina body that is glazed with a high temperature material to give the reflector a specular surface. For instance, the reflector can be coated with a silver alloy for a visible lamp or an aluminum coating for a UV lamp and/or dielectric coating.
  • Reflector 70 surrounds the light energy source and can have various shapes. For instance, reflector 70 can be parabolic or elliptical. A parabolic reflector will create a collimated output beam, while an elliptical reflector will create a focused output. For most applications, preferably an elliptical reflector is used, because of its slightly better collection efficiencies and slightly shorter arc gap, while parabolic reflectors are usually used with focusing lenses.
  • During operation, preferably arc lamp 42 is cooled. For example the lamp can be cooled using forced air, free convention, conduction, or can be water cooled.
  • The cathode assembly and the anode assembly of arc lamp 42 are sealed within the reflector by a lamp window 72. Lamp window 72 can be made from, for instance, a ground and polished single-crystal sapphire.
  • As shown in the Figure, light emitted by arc lamp 42 is directed through a pair of apertures or “stops” 74 and 76 to block stray light. The reflector 70 focuses the light energy into a light pipe 78. One or more lenses are then used to focus the light out of the pipe and onto the wafer surface. Light pipe 78 is preferably made from a material that is well adapted for transmitting light and that is not substantially thermally conductive, such as quartz.
  • From light pipe 78, the light is passed through several focusing lenses prior is contacting a semi-conductor wafer 14. For instance, as shown in the embodiment illustrated in FIG. 6, the system includes a first focusing lens 80, a second focusing lens 82, and a third focusing lens 84. Focusing lens 84 is positioned on the opposite side of window 32 within the thermal processing chamber in which the wafer is held. Focusing lenses 80, 82 and 84 are designed to facilitate transmission of light energy being emitted by arc lamp 42 and to focus the light onto a particular location of the wafer. In this embodiment, focusing lenses 80 and 82 comprise a condensing lens set. It should be understood, however, that the number and combination of lenses can vary depending on the application. For instance, the number of lenses can be reduced with careful lens design, such as by using an aspherical lens. Alternatively or in combination also Fresnel-Zone-Plates or other refractive and/or diffractive means and/or reflective optics (e.g. an elliptical reflector) can be used to get the desired illumination on the wafer.
  • Tuning device 40 as shown in FIG. 6 can be used to heat various locations of the wafer. In one embodiment, however, it has been found that this configuration is particularly well adapted to heating the outer edges of the wafer, where the wafer tends to lose heat due to radiation and convection during heating cycles. In particular, it has been found that the system illustrated in FIG. 6 is particularly well adapted to heating the outer 3 to 5 millimeters of the wafer. It should be understood, however, that tuning device 40 can be positioned to heat other locations on the wafer.
  • The configuration illustrated in FIG. 6 represents one embodiment of a single tuning device using an arc lamp. It should be understood that more than one tuning device may be used in a single system. Further, the location of the tuning device can vary. For instance, in an alternative embodiment, the tuning device can be below or on the side of the heater. Further, in one embodiment, wafer 14 can be heated from the top and the bottom with the tuning devices. For example, the wafer can be heated from the bottom using a separate heating to device containing various tuning devices. Further, the tuning device can be arranged in a linear position with respect to the wafer or can assume an angular position with respect to the wafer.
  • Besides arc lamps as shown in FIG. 6, the tuning device of the present invention can also be a laser. Lasers can be used alone or in combination with arc lamps.
  • In general, lasers emit light at a particular wavelength. Because lasers emit a narrow spectral band of radiation, however, it may be beneficial in some applications to couple the light emission from the laser with the absorption properties of the wafer being heated. For instance, a wafer can be coated with a material or a thin-film stack that may be highly reflective at the wavelength at which the laser operates, which reduces the efficiency at which the wafer is heated by the laser. In accordance with the present invention, however, various techniques can be used to increase absorption efficiencies when using lasers.
  • For example, in one embodiment, several different types of lasers can be used in the heating device that each emit light at different wavelengths. Thus, when a particular substrate is highly reflective at the wavelength at which one laser operates, a second laser operating at a different wavelength can be used to heat the wafer. The radiation from the different lasers may be optically combined before contacting the wafer. Alternatively, several beams of light from the different lasers could illuminate a selected area of the wafer. In still another alternative embodiment, several beams of light could irradiate the same wafer radius as the wafer is rotated.
  • In addition to using multiple lasers at different wavelengths, the position of each laser can be arranged so as to maximize absorption. More specifically, absorption can be maximized by adjusting the plane of polarization and the angle of incidence of light being emitted by the laser with respect to the surface of the wafer.
  • For instance, the reflectivity of most surfaces is a function of the angle of incidence. Thus, changing the angle of incidence of the laser light contacting the wafer can increase absorption. In this embodiment, the laser sources can all be angled so as to maximize absorption for a particular wafer. Alternatively, the angle of incidence of each laser source can be different so as to ensure that at least one to of the tuning sources will have a high degree of absorption during the heating process. The angle of incidence can also be a parameter which is controlled by the system controller 50 as a function of another parameter in the system, such as those mentioned above.
  • For most applications, when adjusting the angle of incidence, the laser beams of light should be placed in the p-polarization plane with respect to the wafer surface. The p-polarization plane is where in the electric field vector of the incident radiation lies in the plane of incidence. The plane of incidence is the plane containing the incident beam and the normal to the wafer surface. For light with this polarization, the reflectivity of many materials, including silicon, can become small for angles of incidence of less than 90°. In particular, reflectivity, which can be a function of temperature, is very low such as almost zero near a critical angle i.e. the Brewster angle. For silicon, the Brewster angle is approximately 75°. Since laser beams are often inherently polarized, altering the angle of incidence when using lasers can be particularly effective in accordance with the present invention.
  • For example, in one embodiment, when using a laser, the angle of incidence can be from about 40° to about 85° and particularly from about 60° to about 85° when heating a silicon wafer.
  • In general, any suitable type of laser can be used in the present invention. In one embodiment, a laser diode is used. Laser diodes efficiently convert electricity into laser radiation and are available in high power ratings. For example, high power devices, delivering continuous power of greater than 10 watts are currently commercially available, with emission wavelengths between 400 nm and 4000 nm. The above described lasers can be combined with beam delivery optics that reshape the emitted beam and direct it to the wafer. For example, the laser can be coupled with fiber optics for guiding the light onto a particular location of the wafer.
  • In an alternative embodiment, or in combination with the above embodiments, the tuning device can include a plurality of light pipes, such as optical fibers. The light energy of the tuning device can be distributed with the light pipes to at least two local areas within the chamber and/or the wafer. Preferably, the local areas are separated from each other and are illuminated by the same tuning device. The areas, however, can overlap or can be essentially identical, meaning that the two light pipes illuminate the same area. This tuning device configuration can be used for illuminating the wafer at the same region from the top and the bottom using a single tuning device, such as having e.g. the same spectral conditions for the top and the bottom illumination.
  • When using a plurality of light pipes for a single tuning device, the tuning device can also include a system of apertures or aperture plates having a predetermined pattern of apertures. In this embodiment, certain light pipes can be selected from the plurality of light pipes. For example, a laser, arc lamp or halogen lamp can be placed in communication with a plurality of light pipes. One of the light pipes can then be selected for transferring the light energy to the wafer. The particular light pipes selected can control the intensity of the energy transported through the pipe, having the advantage that no power control of the light source itself is necessary. Such a control can be difficult for certain lasers (e.g. super radiating systems like a spark pumped nitrogen laser) or arc lamps (e.g. in pulsed mode with very high current density).
  • Alternatively, instead of using a plurality of light pipes in conjunction with the above-mentioned apertures, the intensity of the light emitted from the tuning source can be controlled using polarization filters. Further, there is also the possibility of simply turning the tuning devices on and off independent of the primary heating lamps for controlling the irradiance distribution.
  • So as mentioned, the electomagnetic power of the tuning devices can be delivered to the specified regions of the wafer from either above or below the wafer, or from both sides. The latter case gives the advantage of reducing the possibility that there is poor power coupling because of the presence of a reflective coating on one side of the wafer. This concept can be applied equally well to the arc lamps or the laser sources, and it can be implemented by either having separate sources built into the chamber above and below the wafer, or through the use of light pipes as described above e.g. fibers that transmit the energy from the tuning device in these locations. The tuning devices could also irradiate the wafer edge from the side. This is especially useful in cases where a slip-free ring (i.e. a heating ring used to heat the edges of a wafer) is not present in the system. Light sources to be built into the side of the chamber, which would not interfere with the mechanical layout of the linear lamp rays so much, also can be used as tuning devices. Irradiation from the side can fall directly on the edge of the wafer, but it is also possible for the illumination to cause light to fall on the top and bottom surfaces of the wafer over a region close to the edge. This light can be incident at a rather large angle of incidence, and in this configuration it is quite convenient to achieve angles of incidence close to the critical angle for silicone (approximately 75° to the normal), where power coupling is strong, and coating effects could be minimized.
  • These and other modifications and variations to the present invention may be practiced by those of ordinary skill in the art, without departing from the spirit and scope of the present invention, which is more particularly set forth in the appended claims. In addition, it should be understood that aspects of the various embodiments may be interchanged both in whole or in part. Furthermore, those of ordinary skill in the art will appreciate that the foregoing description is by way of example only, and is not intended to limit the invention so further described in such appended claims.

Claims (21)

1-25. (canceled)
26. An apparatus for heat treating semiconductor substrates, the apparatus comprising:
a thermal processing chamber adapted to contain a semiconductor substrate;
a first radiant heating device configured to heat a semiconductor substrate in the chamber during processing; and
a second heating device comprising at least one tuning device built into a side of the chamber that emits radiant light energy.
27. The apparatus set forth in claim 26, wherein the tuning device is configured to irradiate a semiconductor substrate contained in the chamber.
28. The apparatus as set forth in claim 27, wherein the tuning device is positioned such that the device irradiates a semiconductor substrate at an angle of incidence that is at or near the Brewster angle for a material comprising the semiconductor substrate.
29. The apparatus set forth in claim 28,
wherein the semiconductor substrate comprises silicon; and
wherein the angle of incidence is about 75 degrees.
30. The apparatus set forth in claim 26, wherein the at least one tuning device is positioned so that at feast some light energy impinges on an edge region of a semiconductor substrate contained in the chamber.
31. The apparatus set forth in claim 30, wherein the at least one tuning device comprises a laser and is configured so that the light energy impinging on the edge of the semiconductor substrate impinges at an angle of incidence of about 75 degrees and is in a p-polarized or near a p-polarized state.
32. The apparatus as set forth in claim 26, wherein the tuning device emits a laser beam that delivers power of greater than 10 watts.
33. The apparatus as set forth in claim 28, wherein the tuning device emits a laser beam that delivers power of greater than 10 watts.
34. The apparatus as set forth in claim 26, further comprising a wafer support configured to support a semiconductor substrate in the thermal processing chamber.
35. The apparatus as set forth in claim 34, wherein the wafer support is further configured to rotate a semiconductor substrate in the thermal processing chamber.
36. An apparatus as set forth in claim 26, wherein the apparatus includes a plurality of tuning devices built into the side of the chamber.
37. An apparatus as set forth in claim 26, wherein the radiant heating device comprises a plurality of linear lamps.
38. An apparatus as set forth in claim 26, wherein the at least one tuning device comprises an arc lamp.
39. An apparatus as set forth in claim 26, further comprising a temperature sensing device for sensing a temperature of a semiconductor substrate contained within the thermal processing chamber, the apparatus further comprising a controller in communication with the temperature sensing device, the controller configured to control the at least one tuning device based upon information received from the temperature sensing device.
40. An apparatus for heat treating semiconductor substrates, the apparatus comprising:
a thermal processing chamber adapted to contain a semiconductor substrate;
a first radiant heating device configured to heat a semiconductor substrate in the chamber during processing;
a slip-free ring positioned within the thermal processing chamber; and
a second heating device comprising at least one tuning device built into a side of the chamber that emits radiant light energy.
41. The apparatus as set forth in claim 40, wherein the tuning device emits a laser beam that delivers power of greater than 10 watts.
42. The apparatus as set forth in claim 40, further comprising a wafer support configured to support and rotate a wafer in the thermal processing chamber.
43. An apparatus as set forth in claim 40, wherein the radiant heating device comprises a plurality of linear lamps.
44. An apparatus as set forth in claim 40, wherein the at least one tuning device comprises an arc lamp.
45. An apparatus as set forth in claim 40, further comprising a temperature sensing device for sensing a temperature of a semiconductor substrate contained within the thermal processing chamber, the apparatus further comprising a controller in communication with the temperature sensing device, the controller configured to control the at least one tuning device based upon information received from the temperature sensing device.
US13/112,542 2000-12-21 2011-05-20 Heating Configuration For Use in Thermal Processing Chambers Abandoned US20110222840A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/112,542 US20110222840A1 (en) 2000-12-21 2011-05-20 Heating Configuration For Use in Thermal Processing Chambers
US14/197,287 US20140246422A1 (en) 2000-12-21 2014-03-05 Heating Configuration for Use in Thermal Processing Chambers

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/747,522 US6970644B2 (en) 2000-12-21 2000-12-21 Heating configuration for use in thermal processing chambers
US11/132,539 US7269343B2 (en) 2000-12-21 2005-05-19 Heating configuration for use in thermal processing chambers
US11/853,414 US7949237B2 (en) 2000-12-21 2007-09-11 Heating configuration for use in thermal processing chambers
US13/112,542 US20110222840A1 (en) 2000-12-21 2011-05-20 Heating Configuration For Use in Thermal Processing Chambers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/853,414 Division US7949237B2 (en) 2000-12-21 2007-09-11 Heating configuration for use in thermal processing chambers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/197,287 Continuation US20140246422A1 (en) 2000-12-21 2014-03-05 Heating Configuration for Use in Thermal Processing Chambers

Publications (1)

Publication Number Publication Date
US20110222840A1 true US20110222840A1 (en) 2011-09-15

Family

ID=25005424

Family Applications (5)

Application Number Title Priority Date Filing Date
US09/747,522 Expired - Lifetime US6970644B2 (en) 2000-12-21 2000-12-21 Heating configuration for use in thermal processing chambers
US11/132,539 Expired - Lifetime US7269343B2 (en) 2000-12-21 2005-05-19 Heating configuration for use in thermal processing chambers
US11/853,414 Expired - Fee Related US7949237B2 (en) 2000-12-21 2007-09-11 Heating configuration for use in thermal processing chambers
US13/112,542 Abandoned US20110222840A1 (en) 2000-12-21 2011-05-20 Heating Configuration For Use in Thermal Processing Chambers
US14/197,287 Abandoned US20140246422A1 (en) 2000-12-21 2014-03-05 Heating Configuration for Use in Thermal Processing Chambers

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US09/747,522 Expired - Lifetime US6970644B2 (en) 2000-12-21 2000-12-21 Heating configuration for use in thermal processing chambers
US11/132,539 Expired - Lifetime US7269343B2 (en) 2000-12-21 2005-05-19 Heating configuration for use in thermal processing chambers
US11/853,414 Expired - Fee Related US7949237B2 (en) 2000-12-21 2007-09-11 Heating configuration for use in thermal processing chambers

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/197,287 Abandoned US20140246422A1 (en) 2000-12-21 2014-03-05 Heating Configuration for Use in Thermal Processing Chambers

Country Status (3)

Country Link
US (5) US6970644B2 (en)
TW (1) TW538480B (en)
WO (1) WO2002050875A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110212596A1 (en) * 2008-08-01 2011-09-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US20120070136A1 (en) * 2010-08-09 2012-03-22 Applied Materials, Inc. Transparent Reflector Plate For Rapid Thermal Processing Chamber
US20130323936A1 (en) * 2012-05-30 2013-12-05 Lawrence Livermore National Security, Llc Apparatus and methods for rapid thermal processing
RU2669549C2 (en) * 2014-01-17 2018-10-11 Конинклейке Филипс Н.В. Heating system comprising semiconductor light sources

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69937255T2 (en) * 1998-11-20 2008-07-03 Steag RTP Systems, Inc., San Jose QUICK-HEATING AND COOLING DEVICE FOR SEMICONDUCTOR WAFER
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US7734439B2 (en) * 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
JP2004146782A (en) 2002-08-29 2004-05-20 Advanced Lcd Technologies Development Center Co Ltd In-situ monitoring method in crystallization state
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
TWI239607B (en) * 2002-12-13 2005-09-11 Sanyo Electric Co Method for making a semiconductor device
CN1729554B (en) * 2002-12-20 2014-05-07 马特森技术有限公司 Methods and systems for supporting a workpiece and for heat-treating the workpiece
KR100483834B1 (en) * 2003-01-06 2005-04-20 삼성전자주식회사 Rapid thermal processing apparatus including a revolution typed heating unit
JP4070658B2 (en) 2003-04-17 2008-04-02 三洋電機株式会社 Manufacturing method of semiconductor device
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US7220936B2 (en) * 2004-07-30 2007-05-22 Ut-Battelle, Llc Pulse thermal processing of functional materials using directed plasma arc
JP4925571B2 (en) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド Method for determining thermal properties of substrate and method for determining heat treatment conditions
WO2006101558A2 (en) * 2004-12-21 2006-09-28 Imago Scientific Instruments Corporation Laser atom probes
US20060160365A1 (en) * 2005-01-14 2006-07-20 Cheng-Ming Wang Water-cooling apparatus for semiconductor thermal processing
WO2007030941A1 (en) * 2005-09-14 2007-03-22 Mattson Technology Canada, Inc. Repeatable heat-treating methods and apparatus
JP2007110064A (en) * 2005-09-14 2007-04-26 Ishikawajima Harima Heavy Ind Co Ltd Laser annealing method and device thereof
US7494272B2 (en) * 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US20080033114A1 (en) * 2006-07-28 2008-02-07 Sanjay Srinivasan Alkyl acrylate copolymer VI modifiers and uses thereof
JP5214153B2 (en) * 2007-02-09 2013-06-19 大日本スクリーン製造株式会社 Heat treatment equipment
WO2008131513A1 (en) 2007-05-01 2008-11-06 Mattson Technology Canada, Inc. Irradiance pulse heat-treating methods and apparatus
US7744274B1 (en) * 2007-06-20 2010-06-29 Ultratech, Inc. Methods and apparatus for temperature measurement and control on a remote substrate surface
US8314368B2 (en) * 2008-02-22 2012-11-20 Applied Materials, Inc. Silver reflectors for semiconductor processing chambers
KR101749044B1 (en) * 2008-05-02 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 System for non radial temperature control for rotating substrates
US7985945B2 (en) * 2008-05-09 2011-07-26 Applied Materials, Inc. Method for reducing stray light in a rapid thermal processing chamber by polarization
CN102089873A (en) 2008-05-16 2011-06-08 加拿大马特森技术有限公司 Workpiece breakage prevention method and apparatus
US8452166B2 (en) * 2008-07-01 2013-05-28 Applied Materials, Inc. Apparatus and method for measuring radiation energy during thermal processing
JP2013542549A (en) 2010-05-07 2013-11-21 プレスコ アイピー エルエルシー Irradiation control by corner cube
US8580693B2 (en) * 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
FI126956B (en) 2010-10-14 2017-08-31 Janesko Oy Method and gauge for measuring temperature
FI125815B (en) * 2010-10-14 2016-02-29 Janesko Oy Measurement detector for temperature measurement
KR101733179B1 (en) 2010-10-15 2017-05-08 맛선 테크놀러지, 인코포레이티드 Methods, apparatus and media for determining a shape of an irradiance pulse to which a workpiece is to be exposed
US8950470B2 (en) 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US8097085B2 (en) * 2011-01-28 2012-01-17 Poole Ventura, Inc. Thermal diffusion chamber
JP5762841B2 (en) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 Semiconductor manufacturing equipment
WO2013066652A1 (en) * 2011-11-03 2013-05-10 Applied Materials, Inc. Rapid thermal processing chamber
CN103177997B (en) * 2011-12-22 2016-08-31 中芯国际集成电路制造(北京)有限公司 For processing the reaction unit of wafer, electrostatic chuck and wafer temperature control method
CN103177996B (en) * 2011-12-22 2017-03-15 中芯国际集成电路制造(北京)有限公司 For processing reaction unit, electrostatic chuck and the wafer temperature control method of wafer
US8926806B2 (en) 2012-01-23 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding design for metal gap fill
US9449825B2 (en) * 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
KR101326108B1 (en) * 2012-03-09 2013-11-06 에이피시스템 주식회사 Heater block and heat treatment apparatus having the same
US9475151B1 (en) * 2012-10-30 2016-10-25 Western Digital (Fremont), Llc Method and apparatus for attaching a laser diode and a slider in an energy assisted magnetic recording head
CN103862169B (en) * 2012-12-12 2016-08-10 中芯国际集成电路制造(上海)有限公司 Laser annealing apparatus and method
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
TWI565480B (en) * 2014-03-14 2017-01-11 國立陽明大學 Liposomal lupeol acetate and the use thereof in preparing drugs for inhibiting osteoclast formation
WO2016002036A1 (en) * 2014-07-03 2016-01-07 新日鐵住金株式会社 Laser machining device
DE102014114220A1 (en) * 2014-09-30 2016-03-31 Osram Opto Semiconductors Gmbh Process for growing semiconductor layers and substrates for growing semiconductor layers
EP3360155B1 (en) * 2015-10-09 2022-10-05 Applied Materials, Inc. Diode laser for wafer heating for epi processes
US10283637B2 (en) 2016-07-18 2019-05-07 Taiwan Semiconductor Manufacturing Co, Ltd. Individually-tunable heat reflectors in an EPI-growth system
JP6847199B2 (en) * 2016-07-22 2021-03-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Heat modulator to improve epi-uniformity adjustment
CN110088882B (en) 2016-12-14 2023-05-26 玛特森技术公司 Atomic layer etching process using plasma in combination with rapid thermal activation process
WO2019038870A1 (en) * 2017-08-24 2019-02-28 三菱重工業株式会社 Infrared heating device
KR102380710B1 (en) 2017-10-30 2022-03-29 어플라이드 머티어리얼스, 인코포레이티드 Multizone spot heating in EPI
US10818839B2 (en) * 2018-03-15 2020-10-27 Samsung Electronics Co., Ltd. Apparatus for and method of fabricating semiconductor devices
KR102577456B1 (en) * 2018-03-20 2023-09-12 매슨 테크놀로지 인크 Support plate for localized heating in thermal processing systems
JP7011980B2 (en) * 2018-06-20 2022-01-27 株式会社Screenホールディングス Heat treatment equipment
US10739257B2 (en) * 2018-10-02 2020-08-11 Axetris Ag Method and system for the relative referencing of a target gas in an optical measuring system for laser spectroscopy
CN112272865B (en) * 2019-03-14 2022-05-27 玛特森技术公司 Thermal processing system with temperature non-uniformity control
US11648594B2 (en) * 2019-09-03 2023-05-16 Samsung Electronics Co., Ltd. Wafer cleaning apparatus and wafer cleaning method using the same
US20220322492A1 (en) * 2021-04-06 2022-10-06 Applied Materials, Inc. Epitaxial deposition chamber
CN116487287A (en) * 2022-01-17 2023-07-25 长鑫存储技术有限公司 Heat treatment equipment, method and device
CN114686974A (en) * 2022-03-30 2022-07-01 上海埃延半导体有限公司 Reactor for substrate epitaxy

Citations (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2318533A (en) * 1940-12-06 1943-05-04 Western Electric Co Apparatus for heating material
US3200018A (en) * 1962-01-29 1965-08-10 Hughes Aircraft Co Controlled epitaxial crystal growth by focusing electromagnetic radiation
US3427435A (en) * 1967-06-02 1969-02-11 Webb James E High speed infrared furnace
US3879257A (en) * 1973-04-30 1975-04-22 Scott Paper Co Absorbent unitary laminate-like fibrous webs and method for producing them
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4166001A (en) * 1974-06-21 1979-08-28 Kimberly-Clark Corporation Multiple layer formation process for creped tissue
US4316074A (en) * 1978-12-20 1982-02-16 Quantronix Corporation Method and apparatus for laser irradiating semiconductor material
US4318752A (en) * 1980-05-16 1982-03-09 Bell Telephone Laboratories, Incorporated Heterojunction semiconductor laser fabrication utilizing laser radiation
US4344818A (en) * 1981-05-04 1982-08-17 Kimberly-Clark Corporation Air/water hybrid former
US4375993A (en) * 1980-04-09 1983-03-08 Fujitsu Limited Method of producing a semiconductor device by simultaneous multiple laser annealing
US4468279A (en) * 1982-08-16 1984-08-28 Avco Everett Research Laboratory, Inc. Method for laser melting of silicon
US4571486A (en) * 1983-03-16 1986-02-18 Ushio Denki Kabushiki Kaisha Heating method of semiconductor wafer
US4672740A (en) * 1983-08-31 1987-06-16 Fujitsu Limited Beam annealed silicide film on semiconductor substrate
US4719122A (en) * 1985-04-08 1988-01-12 Semiconductor Energy Laboratory Co., Ltd. CVD method and apparatus for forming a film
US4755654A (en) * 1987-03-26 1988-07-05 Crowley John L Semiconductor wafer heating chamber
US4761538A (en) * 1985-11-21 1988-08-02 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for controlling the temperature of a radiantly heated object
US4820906A (en) * 1987-03-13 1989-04-11 Peak Systems, Inc. Long arc lamp for semiconductor heating
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5222112A (en) * 1990-12-27 1993-06-22 Hitachi, Ltd. X-ray pattern masking by a reflective reduction projection optical system
US5278938A (en) * 1990-08-27 1994-01-11 Sierra Research And Technology, Inc. Infrared heater array for IC soldering reflective members
US5304357A (en) * 1991-05-15 1994-04-19 Ricoh Co. Ltd. Apparatus for zone melting recrystallization of thin semiconductor film
US5317656A (en) * 1991-05-17 1994-05-31 Texas Instruments Incorporated Fiber optic network for multi-point emissivity-compensated semiconductor wafer pyrometry
US5317492A (en) * 1990-01-19 1994-05-31 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5326171A (en) * 1988-04-27 1994-07-05 A G Processing Technologies, Inc. Pyrometer apparatus and method
US5399523A (en) * 1991-03-26 1995-03-21 Siemens Aktiengesellscaft Method for the rapid thermal processing of a semiconductor wafer by irradiation
US5418885A (en) * 1992-12-29 1995-05-23 North Carolina State University Three-zone rapid thermal processing system utilizing wafer edge heating means
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5504831A (en) * 1993-11-10 1996-04-02 Micron Semiconductor, Inc. System for compensating against wafer edge heat loss in rapid thermal processing
US5523262A (en) * 1992-03-17 1996-06-04 Intevac, Inc. Rapid thermal annealing using thermally conductive overcoat
US5532457A (en) * 1994-06-22 1996-07-02 International Business Machines Corporation Modified quartz plate to provide non-uniform light source
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5612251A (en) * 1993-05-27 1997-03-18 Samsung Electronics Co., Ltd. Manufacturing method and device for a polycrystalline silicon
US5762713A (en) * 1995-11-28 1998-06-09 Texas Instruments Incorporated RTP lamp design for oxidation and annealing
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US5874711A (en) * 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US5882479A (en) * 1994-04-01 1999-03-16 Fort James Corporation Soft single-ply tissue having very low sidedness
US5886320A (en) * 1996-09-03 1999-03-23 International Business Machines Corporation Laser ablation with transmission matching for promoting energy coupling to a film stack
US5893952A (en) * 1996-07-11 1999-04-13 Lsi Logic Corporation Apparatus for rapid thermal processing of a wafer
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5911890A (en) * 1997-02-25 1999-06-15 Lsp Technologies, Inc. Oblique angle laser shock processing
US5913974A (en) * 1996-03-07 1999-06-22 Shin-Etsu Handotai Co., Ltd. Heat treating method of a semiconductor single crystal substrate
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US6017418A (en) * 1996-12-23 2000-01-25 Fort James Corporation Hydrophilic, humectant, soft, pliable, absorbent paper and method for its manufacture
US6019850A (en) * 1994-12-01 2000-02-01 Frey; Jeffrey Apparatus for making a semiconductor device in a continuous manner
US6027244A (en) * 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6033761A (en) * 1996-12-23 2000-03-07 Fort James Corporation Soft, bulky single-ply tissue having low sidedness and method for its manufacture
US6033523A (en) * 1997-03-31 2000-03-07 Fort James Corporation Method of making soft bulky single ply tissue
US6034357A (en) * 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6056434A (en) * 1998-03-12 2000-05-02 Steag Rtp Systems, Inc. Apparatus and method for determining the temperature of objects in thermal processing chambers
US6075922A (en) * 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US6080965A (en) * 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6200023B1 (en) * 1999-03-15 2001-03-13 Steag Rtp Systems, Inc. Method for determining the temperature in a thermal processing chamber
US6204484B1 (en) * 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US6210484B1 (en) * 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6222990B1 (en) * 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6252203B1 (en) * 1995-10-20 2001-06-26 The Regents Of The University Of California Lamp system for uniform semiconductor wafer heating
US6258614B1 (en) * 1995-01-17 2001-07-10 Lumileds Lighting, U.S., Llc Method for manufacturing a semiconductor light-emitting device
US20020017618A1 (en) * 1999-01-06 2002-02-14 Aron Gat Heating device for heating semiconductor wafers in thermal processing chambers
US20020027716A1 (en) * 2000-09-01 2002-03-07 Koichiro Tanaka Method of processing beam, laser irradiation apparatus, and method of manufacturing semiconductor device
US6359263B2 (en) * 1999-09-03 2002-03-19 Steag Rtp Systems, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6403923B1 (en) * 1999-09-03 2002-06-11 Mattson Technology, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
US6514876B1 (en) * 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
US20030031793A1 (en) * 2001-03-20 2003-02-13 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6559424B2 (en) * 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US20030124820A1 (en) * 2001-04-12 2003-07-03 Johnsgard Kristian E. Systems and methods for epitaxially depositing films on a semiconductor substrate
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US6693257B1 (en) * 1999-11-29 2004-02-17 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing a semiconductor device
US20040035847A1 (en) * 1998-11-20 2004-02-26 Arnon Gat Fast heating and cooling apparatus for semiconductor wafers
US20040058557A1 (en) * 2002-09-20 2004-03-25 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US20040063290A1 (en) * 2002-09-30 2004-04-01 Applied Materials, Inc. Thermal flux annealing influence of buried species
US6727474B2 (en) * 1998-12-10 2004-04-27 Mattson Technology, Inc. Rapid thermal processing chamber for processing multiple wafers
US20040084427A1 (en) * 2002-11-06 2004-05-06 Somit Talwar Laser scanning apparatus and methods for thermal processing
US20040084437A1 (en) * 2002-11-05 2004-05-06 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US20050006916A1 (en) * 2003-06-27 2005-01-13 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US6879777B2 (en) * 2002-10-03 2005-04-12 Asm America, Inc. Localized heating of substrates using optics
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US7038173B2 (en) * 2002-02-07 2006-05-02 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3903342A (en) * 1973-04-30 1975-09-02 Scott Paper Co Soft, absorbent, unitary, laminate-like fibrous web with delaminating strength and method for producing it
US3903347A (en) * 1973-12-26 1975-09-02 United Aircraft Corp Boron filament having a titanium carbide coated substrate
US4225382A (en) * 1979-05-24 1980-09-30 The Procter & Gamble Company Method of making ply-separable paper
US4234356A (en) 1979-06-01 1980-11-18 Bell Telephone Laboratories, Incorporated Dual wavelength optical annealing of materials
US4547651A (en) * 1981-05-28 1985-10-15 Mitsubishi Denki Kabushiki Kaisha Laser machining apparatus
DE3126953C2 (en) * 1981-07-08 1983-07-21 Arnold, Peter, Dr., 8000 München Process for the thermal treatment of the surface of workpieces by means of a linearly polarized laser beam
JPS58164219A (en) * 1982-03-25 1983-09-29 Agency Of Ind Science & Technol Manufacture of laminated semiconductor device
GB2130009B (en) 1982-11-12 1986-04-03 Rca Corp Polycrystalline silicon layers for semiconductor devices
US4550684A (en) * 1983-08-11 1985-11-05 Genus, Inc. Cooled optical window for semiconductor wafer heating
US4615765A (en) 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
US4780590A (en) * 1985-11-21 1988-10-25 Penn Research Corporation Laser furnace and method for zone refining of semiconductor wafers
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
GB2211210A (en) * 1987-10-16 1989-06-28 Philips Electronic Associated A method of modifying a surface of a body using electromagnetic radiation
JPH02258689A (en) 1989-03-31 1990-10-19 Canon Inc Method for forming crystalline thin film
US5255286A (en) * 1991-05-17 1993-10-19 Texas Instruments Incorporated Multi-point pyrometry with real-time surface emissivity compensation
JP2973611B2 (en) 1991-06-26 1999-11-08 キヤノン株式会社 Photoexcitation process apparatus and semiconductor device manufacturing method using the same
JPH05243166A (en) * 1992-02-26 1993-09-21 Nec Corp Semiconductor substrate vapor growth device
US5268989A (en) * 1992-04-16 1993-12-07 Texas Instruments Incorporated Multi zone illuminator with embeded process control sensors and light interference elimination circuit
US5445675A (en) * 1992-07-09 1995-08-29 Tel-Varian Limited Semiconductor processing apparatus
US5253324A (en) * 1992-09-29 1993-10-12 North Carolina State University Conical rapid thermal processing apparatus
DE4306398A1 (en) 1993-03-02 1994-09-08 Leybold Ag Device for heating a substrate
US5345534A (en) * 1993-03-29 1994-09-06 Texas Instruments Incorporated Semiconductor wafer heater with infrared lamp module with light blocking means
JP3021227B2 (en) 1993-04-01 2000-03-15 花王株式会社 Absorbent paper and absorbent article comprising the same
US5607551A (en) * 1993-06-24 1997-03-04 Kimberly-Clark Corporation Soft tissue
US5444815A (en) * 1993-12-16 1995-08-22 Texas Instruments Incorporated Multi-zone lamp interference correction system
JP3824675B2 (en) * 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ Crystal manufacturing equipment
US5958185A (en) * 1995-11-07 1999-09-28 Vinson; Kenneth Douglas Soft filled tissue paper with biased surface properties
US5751896A (en) * 1996-02-22 1998-05-12 Micron Technology, Inc. Method and apparatus to compensate for non-uniform film growth during chemical vapor deposition
JPH09320961A (en) 1996-05-31 1997-12-12 Nec Corp Semiconductor manufacturing apparatus and manufacture of thin film transistor
US6072160A (en) 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5820942A (en) * 1996-12-20 1998-10-13 Ag Associates Process for depositing a material on a substrate using light energy
US5980637A (en) * 1996-12-20 1999-11-09 Steag Rtp Systems, Inc. System for depositing a material on a substrate using light energy
US5954982A (en) * 1997-02-12 1999-09-21 Nikon Corporation Method and apparatus for efficiently heating semiconductor wafers or reticles
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US5970382A (en) * 1998-01-26 1999-10-19 Ag Associates Process for forming coatings on semiconductor devices
JP3177961B2 (en) 1998-04-14 2001-06-18 日本電気株式会社 Pattern forming method and apparatus by atomic beam holography
US6153053A (en) * 1998-04-15 2000-11-28 Fort James Corporation Soft, bulky single-ply absorbent paper having a serpentine configuration and methods for its manufacture
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6281141B1 (en) * 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6293696B1 (en) * 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
US6303411B1 (en) * 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
DE19923400A1 (en) * 1999-05-21 2000-11-30 Steag Rtp Systems Gmbh Device and method for the thermal treatment of substrates
TW425635B (en) * 1999-08-23 2001-03-11 Promos Technologies Inc Rapid thermal processing method and its device
JP2001110710A (en) * 1999-10-08 2001-04-20 Nikon Corp Aligner, exposing method and manufacturing method of semiconductor device
US6808758B1 (en) 2000-06-09 2004-10-26 Mattson Technology, Inc. Pulse precursor deposition process for forming layers in semiconductor devices
US6805466B1 (en) * 2000-06-16 2004-10-19 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
US6437290B1 (en) 2000-08-17 2002-08-20 Tokyo Electron Limited Heat treatment apparatus having a thin light-transmitting window
KR100848423B1 (en) 2000-09-19 2008-07-28 맷슨 테크놀로지, 인크. Dielectric coating and method of forming a dielectric coating
JP2002134430A (en) 2000-10-24 2002-05-10 Tokyo Electron Ltd Lamp with high-reflectivity film for enhancing directivity and heat treating apparatus
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
US7075037B2 (en) 2001-03-02 2006-07-11 Tokyo Electron Limited Heat treatment apparatus using a lamp for rapidly and uniformly heating a wafer
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US6797312B2 (en) * 2003-01-21 2004-09-28 Mattson Technology, Inc. Electroless plating solution and process

Patent Citations (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2318533A (en) * 1940-12-06 1943-05-04 Western Electric Co Apparatus for heating material
US3200018A (en) * 1962-01-29 1965-08-10 Hughes Aircraft Co Controlled epitaxial crystal growth by focusing electromagnetic radiation
US3427435A (en) * 1967-06-02 1969-02-11 Webb James E High speed infrared furnace
US3879257A (en) * 1973-04-30 1975-04-22 Scott Paper Co Absorbent unitary laminate-like fibrous webs and method for producing them
US4166001A (en) * 1974-06-21 1979-08-28 Kimberly-Clark Corporation Multiple layer formation process for creped tissue
US4101759A (en) * 1976-10-26 1978-07-18 General Electric Company Semiconductor body heater
US4316074A (en) * 1978-12-20 1982-02-16 Quantronix Corporation Method and apparatus for laser irradiating semiconductor material
US4375993A (en) * 1980-04-09 1983-03-08 Fujitsu Limited Method of producing a semiconductor device by simultaneous multiple laser annealing
US4318752A (en) * 1980-05-16 1982-03-09 Bell Telephone Laboratories, Incorporated Heterojunction semiconductor laser fabrication utilizing laser radiation
US4344818A (en) * 1981-05-04 1982-08-17 Kimberly-Clark Corporation Air/water hybrid former
US4468279A (en) * 1982-08-16 1984-08-28 Avco Everett Research Laboratory, Inc. Method for laser melting of silicon
US4571486A (en) * 1983-03-16 1986-02-18 Ushio Denki Kabushiki Kaisha Heating method of semiconductor wafer
US4672740A (en) * 1983-08-31 1987-06-16 Fujitsu Limited Beam annealed silicide film on semiconductor substrate
US4719122A (en) * 1985-04-08 1988-01-12 Semiconductor Energy Laboratory Co., Ltd. CVD method and apparatus for forming a film
US4761538A (en) * 1985-11-21 1988-08-02 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for controlling the temperature of a radiantly heated object
US4820906A (en) * 1987-03-13 1989-04-11 Peak Systems, Inc. Long arc lamp for semiconductor heating
US4755654A (en) * 1987-03-26 1988-07-05 Crowley John L Semiconductor wafer heating chamber
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5326171A (en) * 1988-04-27 1994-07-05 A G Processing Technologies, Inc. Pyrometer apparatus and method
US5743643A (en) * 1990-01-19 1998-04-28 Applied Materials, Inc. Rapid thermal heating apparatus and method
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5487127A (en) * 1990-01-19 1996-01-23 Applied Materials, Inc. Rapid thermal heating apparatus and method utilizing plurality of light pipes
US5767486A (en) * 1990-01-19 1998-06-16 Applied Materials, Inc. Rapid thermal heating apparatus including a plurality of radiant energy sources and a source of processing gas
US5317492A (en) * 1990-01-19 1994-05-31 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5278938A (en) * 1990-08-27 1994-01-11 Sierra Research And Technology, Inc. Infrared heater array for IC soldering reflective members
US5222112A (en) * 1990-12-27 1993-06-22 Hitachi, Ltd. X-ray pattern masking by a reflective reduction projection optical system
US5399523A (en) * 1991-03-26 1995-03-21 Siemens Aktiengesellscaft Method for the rapid thermal processing of a semiconductor wafer by irradiation
US5446825A (en) * 1991-04-24 1995-08-29 Texas Instruments Incorporated High performance multi-zone illuminator module for semiconductor wafer processing
US5304357A (en) * 1991-05-15 1994-04-19 Ricoh Co. Ltd. Apparatus for zone melting recrystallization of thin semiconductor film
US5317656A (en) * 1991-05-17 1994-05-31 Texas Instruments Incorporated Fiber optic network for multi-point emissivity-compensated semiconductor wafer pyrometry
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5635409A (en) * 1991-05-20 1997-06-03 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5536918A (en) * 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5523262A (en) * 1992-03-17 1996-06-04 Intevac, Inc. Rapid thermal annealing using thermally conductive overcoat
US5418885A (en) * 1992-12-29 1995-05-23 North Carolina State University Three-zone rapid thermal processing system utilizing wafer edge heating means
US5612251A (en) * 1993-05-27 1997-03-18 Samsung Electronics Co., Ltd. Manufacturing method and device for a polycrystalline silicon
US5719991A (en) * 1993-11-10 1998-02-17 Micron Technology, Inc. System for compensating against wafer edge heat loss in rapid thermal processing
US5504831A (en) * 1993-11-10 1996-04-02 Micron Semiconductor, Inc. System for compensating against wafer edge heat loss in rapid thermal processing
US5882479A (en) * 1994-04-01 1999-03-16 Fort James Corporation Soft single-ply tissue having very low sidedness
US6051104A (en) * 1994-04-01 2000-04-18 Fort James Corporation Soft single-ply tissue having very low sideness
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5648005A (en) * 1994-06-22 1997-07-15 International Business Machines Corporation Modified quartz plate to provide non-uniform light source
US5532457A (en) * 1994-06-22 1996-07-02 International Business Machines Corporation Modified quartz plate to provide non-uniform light source
US6019850A (en) * 1994-12-01 2000-02-01 Frey; Jeffrey Apparatus for making a semiconductor device in a continuous manner
US6258614B1 (en) * 1995-01-17 2001-07-10 Lumileds Lighting, U.S., Llc Method for manufacturing a semiconductor light-emitting device
US5861609A (en) * 1995-10-02 1999-01-19 Kaltenbrunner; Guenter Method and apparatus for rapid thermal processing
US6252203B1 (en) * 1995-10-20 2001-06-26 The Regents Of The University Of California Lamp system for uniform semiconductor wafer heating
US5762713A (en) * 1995-11-28 1998-06-09 Texas Instruments Incorporated RTP lamp design for oxidation and annealing
US5913974A (en) * 1996-03-07 1999-06-22 Shin-Etsu Handotai Co., Ltd. Heat treating method of a semiconductor single crystal substrate
US5893952A (en) * 1996-07-11 1999-04-13 Lsi Logic Corporation Apparatus for rapid thermal processing of a wafer
US5886320A (en) * 1996-09-03 1999-03-23 International Business Machines Corporation Laser ablation with transmission matching for promoting energy coupling to a film stack
US6017418A (en) * 1996-12-23 2000-01-25 Fort James Corporation Hydrophilic, humectant, soft, pliable, absorbent paper and method for its manufacture
US6068731A (en) * 1996-12-23 2000-05-30 Fort James Corporation Soft, bulky single-ply tissue having low sidedness and method for its manufacture
US6033761A (en) * 1996-12-23 2000-03-07 Fort James Corporation Soft, bulky single-ply tissue having low sidedness and method for its manufacture
US5908307A (en) * 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US5911890A (en) * 1997-02-25 1999-06-15 Lsp Technologies, Inc. Oblique angle laser shock processing
US6033523A (en) * 1997-03-31 2000-03-07 Fort James Corporation Method of making soft bulky single ply tissue
US5874711A (en) * 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
US6027244A (en) * 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US6075922A (en) * 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US6080965A (en) * 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6222990B1 (en) * 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US6056434A (en) * 1998-03-12 2000-05-02 Steag Rtp Systems, Inc. Apparatus and method for determining the temperature of objects in thermal processing chambers
US6204484B1 (en) * 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6034357A (en) * 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6210484B1 (en) * 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US20040035847A1 (en) * 1998-11-20 2004-02-26 Arnon Gat Fast heating and cooling apparatus for semiconductor wafers
US6727474B2 (en) * 1998-12-10 2004-04-27 Mattson Technology, Inc. Rapid thermal processing chamber for processing multiple wafers
US20050008351A1 (en) * 1999-01-06 2005-01-13 Arnon Gat Heating device for heating semiconductor wafers in thermal processing chambers
US6717158B1 (en) * 1999-01-06 2004-04-06 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US20020017618A1 (en) * 1999-01-06 2002-02-14 Aron Gat Heating device for heating semiconductor wafers in thermal processing chambers
US6174651B1 (en) * 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6200023B1 (en) * 1999-03-15 2001-03-13 Steag Rtp Systems, Inc. Method for determining the temperature in a thermal processing chamber
US6359263B2 (en) * 1999-09-03 2002-03-19 Steag Rtp Systems, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6403923B1 (en) * 1999-09-03 2002-06-11 Mattson Technology, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6514876B1 (en) * 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
US6693257B1 (en) * 1999-11-29 2004-02-17 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing a semiconductor device
US6531681B1 (en) * 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
US20020027716A1 (en) * 2000-09-01 2002-03-07 Koichiro Tanaka Method of processing beam, laser irradiation apparatus, and method of manufacturing semiconductor device
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6559424B2 (en) * 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
US20030031793A1 (en) * 2001-03-20 2003-02-13 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6884719B2 (en) * 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US20030124820A1 (en) * 2001-04-12 2003-07-03 Johnsgard Kristian E. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US7038173B2 (en) * 2002-02-07 2006-05-02 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus and thermal processing method
US20040025787A1 (en) * 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US6875691B2 (en) * 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US20040058557A1 (en) * 2002-09-20 2004-03-25 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US20040063290A1 (en) * 2002-09-30 2004-04-01 Applied Materials, Inc. Thermal flux annealing influence of buried species
US6879777B2 (en) * 2002-10-03 2005-04-12 Asm America, Inc. Localized heating of substrates using optics
US20050098552A1 (en) * 2002-11-05 2005-05-12 Timans Paul J. Apparatus and method for reducing stray light in substrate processing chambers
US20040084437A1 (en) * 2002-11-05 2004-05-06 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US6747245B2 (en) * 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
US20040084427A1 (en) * 2002-11-06 2004-05-06 Somit Talwar Laser scanning apparatus and methods for thermal processing
US20050006916A1 (en) * 2003-06-27 2005-01-13 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110212596A1 (en) * 2008-08-01 2011-09-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing soi substrate
US9076839B2 (en) 2008-08-01 2015-07-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate
US20120070136A1 (en) * 2010-08-09 2012-03-22 Applied Materials, Inc. Transparent Reflector Plate For Rapid Thermal Processing Chamber
US9449858B2 (en) * 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
US20130323936A1 (en) * 2012-05-30 2013-12-05 Lawrence Livermore National Security, Llc Apparatus and methods for rapid thermal processing
US9029739B2 (en) * 2012-05-30 2015-05-12 Applied Materials, Inc. Apparatus and methods for rapid thermal processing
RU2669549C2 (en) * 2014-01-17 2018-10-11 Конинклейке Филипс Н.В. Heating system comprising semiconductor light sources

Also Published As

Publication number Publication date
WO2002050875A3 (en) 2002-09-19
WO2002050875A2 (en) 2002-06-27
US7949237B2 (en) 2011-05-24
US6970644B2 (en) 2005-11-29
TW538480B (en) 2003-06-21
US7269343B2 (en) 2007-09-11
US20040018008A1 (en) 2004-01-29
US20070297775A1 (en) 2007-12-27
US20050213949A1 (en) 2005-09-29
US20140246422A1 (en) 2014-09-04

Similar Documents

Publication Publication Date Title
US6970644B2 (en) Heating configuration for use in thermal processing chambers
US8669496B2 (en) System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6717158B1 (en) Heating device for heating semiconductor wafers in thermal processing chambers
US9029739B2 (en) Apparatus and methods for rapid thermal processing
US6559424B2 (en) Windows used in thermal processing chambers
US20060018639A1 (en) Processing multilayer semiconductors with multiple heat sources
US8005352B2 (en) Heat treating device
US20140003800A1 (en) Processing multilayer semiconductors with multiple heat sources

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION