US20110278260A1 - Inductive plasma source with metallic shower head using b-field concentrator - Google Patents

Inductive plasma source with metallic shower head using b-field concentrator Download PDF

Info

Publication number
US20110278260A1
US20110278260A1 US12/780,531 US78053110A US2011278260A1 US 20110278260 A1 US20110278260 A1 US 20110278260A1 US 78053110 A US78053110 A US 78053110A US 2011278260 A1 US2011278260 A1 US 2011278260A1
Authority
US
United States
Prior art keywords
conductive
lid assembly
disposed
plasma source
inductive coil
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/780,531
Inventor
Canfeng Lai
Jeffrey Tobin
Peter I. Porshnev
Jose Antonio Marin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/780,531 priority Critical patent/US20110278260A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PORSHNEV, PETER I., LAI, CANFENG, TOBIN, JEFFREY, MARIN, JOSE ANTONIO
Priority to KR1020127032671A priority patent/KR101826843B1/en
Priority to TW100114321A priority patent/TWI520169B/en
Priority to PCT/US2011/033735 priority patent/WO2011142957A2/en
Priority to JP2013511173A priority patent/JP2013533575A/en
Priority to CN201180024010.3A priority patent/CN102893705B/en
Publication of US20110278260A1 publication Critical patent/US20110278260A1/en
Priority to JP2016002248A priority patent/JP2016122654A/en
Priority to US15/462,507 priority patent/US10529541B2/en
Priority to US16/735,494 priority patent/US11450509B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing

Definitions

  • Embodiments described herein generally relate to manufacturing semiconductor devices. More specifically, embodiments described herein relate to methods and apparatus for plasma processing of substrates.
  • Plasma processing is commonly used for many semiconductor fabrication processes for manufacturing integrated circuits, flat-panel displays, magnetic media, and other devices.
  • a plasma, or ionized gas is generated inside a processing chamber by application of an electromagnetic field to a low-pressure gas in the chamber, and then applied to a workpiece to accomplish a process such as deposition, etching, or implantation.
  • the plasma may also be generated outside the chamber and then directed into the chamber under pressure to increase the ratio of radicals to ions in the plasma for processes needing such treatments.
  • Plasma may be generated by electric fields, by magnetic fields, or by electromagnetic fields.
  • Plasma generated by an electric field normally uses spaced-apart electrodes to generate the electric field in the space occupied by the gas.
  • the electric field ionizes the gas, and the resulting ions and electrons move toward one electrode or the other under the influence of the electric field.
  • the electric field can impart very high energies to ions impinging on the workpiece, which can sputter material from the workpiece, damaging the workpiece and creating potentially contaminating particles in the chamber. Additionally, the high potentials accompanying such plasmas may create unwanted electrical discharges and parasitic currents.
  • Inductively coupled plasmas are used in many circumstances to avoid some effects of capacitively coupled plasmas.
  • An inductive coil is disposed adjacent to a plasma generating region of a processing chamber.
  • the inductive coil projects a magnetic field into the chamber to ionize a gas inside the chamber.
  • the inductive coil is frequently located outside the chamber, projecting the magnetic field into the chamber through a dielectric window.
  • the inductive coil is frequently driven by high-frequency electromagnetic energy, which suffers power losses that rise faster than the voltage applied to the inductive coil.
  • strong coupling of the plasma source with the plasma inside the chamber decreases power losses. Control of plasma uniformity is also improved by strong coupling between the plasma source and the plasma.
  • Embodiments described herein provide a lid assembly for a plasma chamber, the lid assembly having a first annular inductive coil nested with a first conductive ring.
  • a processing chamber for a semiconductor substrate having a chamber body that definines an interior region, a substrate support disposed in the interior region, and a lid assembly disposed in the interior region facing the substrate support, the lid assembly having a gas distributor and a plasma source with a first conductive surface that faces the substrate support, a second conductive surface that faces away from the substrate support, and a plurality of conductive coils disposed in the conductive plasma source between the first surface and the second surface.
  • FIG. 1 is a schematic cross-sectional view of a processing chamber according to one embodiment.
  • FIG. 2 is a schematic cross-sectional view of a gas distributor according to another embodiment.
  • FIG. 3 is an exploded view of a gas distributor according to another embodiment.
  • FIG. 1 is a schematic cross-sectional diagram of a processing chamber 100 according to one embodiment.
  • the processing chamber 100 comprises a chamber body 102 , a substrate support 104 , and a gas distributor 106 facing the substrate support 104 , which cooperatively define a processing region 118 .
  • the gas distributor 106 comprises a showerhead 108 and a plasma source 110 surrounding the showerhead 108 .
  • the plasma source 110 comprises a conductive spacer 114 and a conductive coil 112 disposed inside the conductive spacer 114 . There may be one or more conductive coils 112 disposed in the conductive spacer 114 .
  • the conductive spacer 114 may be a disk-like member with channels or conduits housing the conductive coils 112 .
  • the conductive spacer 114 may be a plurality of rings separating the conductive coils 112 and nesting with the conductive coils 112 .
  • Each of the conductive coils 112 is housed in a channel or recess 116 lined with an insulating material.
  • the insulating material of the channel or recess 116 prevents electric current travelling from the conductive coils 112 into the conductive spacer 114 .
  • the conductive coils 112 produce a magnetic field in the processing region 118 that ionizes a processing gas disposed therein to form a plasma.
  • the conductive coil 112 may be a coil assembly featuring a removable insulating member, as further described below in connection with FIG. 2 .
  • the conductive spacer 114 provides a large surface area grounded electrode that faces the substrate support 104 .
  • the large grounded electrode allows generation of higher voltages at the substrate support using lower power levels.
  • Disposing the conductive coils 112 in the conductive spacer 114 also brings the plasma source close to the plasma generation area of the processing region 118 , improving coupling efficiency with the plasma.
  • the large grounded surface area of the conductive spacer 114 reduces plasma sheath voltage in the chamber, which reduces sputtering of chamber walls and chamber lid components, reducing contamination of workpieces disposed on the substrate support.
  • Use of multiple conductive coils 112 also provides the possibility of using different power levels on the coils to tune the plasma profile in the processing region 118 .
  • FIG. 2 is a schematic cross-sectional diagram of a lid assembly 200 according to another embodiment. Similar to the gas distributor 106 of FIG. 1 , the lid assembly 200 comprises a showerhead 202 and a plasma source 204 . A gas conduit 206 connects a gas source (not shown) to the showerhead 202 , placing the gas source in fluid communication with a processing chamber through openings 208 in the showerhead 202 .
  • a gas source not shown
  • the plasma source 204 comprises a conductive coil 210 disposed in a channel 212 formed between conductive gas distribution members 214 .
  • the gas distribution members 214 may be metal or metal alloy, and may be coated with a dielectric material, if desired, or a chemically resistant or plasma resistant material, such as yttria, in some embodiments.
  • the conductive coil 210 of which there may be more than one, may also be metal, metal alloy, or a conductive composite such as a metal coated dielectric or a metal composite featuring metals having different conductivities. Material selection for the conductive coil 210 generally depends on the desired thermal and electrical conductivity.
  • Materials with lower electrical conductivity are generally lower in cost, but a conductive coil made from low conductivity materials may generate unwanted heat, and may require excessive power to operate. Highly conductive materials such as copper and silver may be used proficiently for a conductive coil. Less conductive and lower cost materials such as aluminum, zinc, or nickel may be included as alloy or layer components.
  • Heat may be dissipated by forming the conductive coil 210 with a conduit for a thermal control medium, which may be a cooling liquid such as water or a cooling gas such as nitrogen.
  • the conductive coil 210 may be an annular or torroidal tube in some embodiments.
  • the tube wall thickness may be specified based on thermal and electrical conductivity needed. Cooling may be useful when high power, for example greater than about 500 W, is to be applied to the conductive coil 210 .
  • a conductive coil is a torroidal tube comprising a layer of copper and a layer of silver.
  • the channel 212 is generally lined with an insulating member 216 , which may be ceramic or plastic, Teflon, for example.
  • the insulating member 216 confines the electric current to the conductive coil 210 .
  • the insulating material may be an insert that fits into the channel 212 , or in other embodiments, may be a liner adhered to the inner surface of the channel 212 .
  • the embodiment of FIG. 2 features two insulating members 216 , each of which is an annular member that fits inside a respective channel, one of the insulating members 216 fitting inside the innermost channel 212 , which is a first channel in the embodiment of FIG.
  • each of the channels 212 has a conductive coil 210 disposed therein.
  • each conductive coil comprises two conductive loops 218 .
  • a pair of conductive loops 218 rest inside the recesses formed by the respective insulating members 216 .
  • each isolator 220 is an annular dielectric member having a recess 224 into which a conductive loop 218 fits.
  • the recess 224 of an isolator 220 and the channel 212 into which the isolator 220 fits generally face in opposite directions.
  • each conductive loop is surrounded on three sides by an isolator 220 and on one side by an insulating member 216 .
  • the isolators 220 may have any convenient cross sectional shape.
  • the isolators 220 may be rounded to follow the contours of a rounded, tube-like, conductive loop 218 , such that the recess 224 has a rounded cross-sectional shape.
  • the cross-sectional profile of each isolator 220 and/or each recess 224 may be rectangular with beveled corners.
  • the conductive loops 218 may be formed with a coating that isolates the loop.
  • the isolators 220 may be any insulating material, such as ceramic, glass, or plastic. In the embodiment of FIG. 2 , each isolator 220 is shown as a single piece covering a single conductive loop 218 , but in alternate embodiments, an isolator may be formed to cover two neighboring conductive loops 218 while disposing a wall between them.
  • a field concentrator 222 is disposed around each conductive coil 210 to amplify the magnetic field produced by each conductive coil 210 .
  • the concentrator 222 is disposed around a pair of conductive loops 218 and their respective isolators 220 , but in other embodiments, each loop 218 may be paired with a field concentrator 222 , or more than two loops may be coupled to a field concentrator 222 .
  • the field concentrator 222 focuses the magnetic field produced by each conductive coil 210 toward the plasma generation area of the processing chamber, minimizing magnetic energy projecting away from the plasma generation area.
  • Each field concentrator 222 generally comprises ferrite or other magnetically susceptible or magnetizable materials, such as low coercivity materials. Thermal control of the conductive coil 210 minimizes temperature variation of the field concentrator 222 , maintaining the magnetic properties thereof for control of the magnetic field produced by the conductive coil 210 .
  • the inductive coils 210 are interposed within the gas distribution members 214 that nest with the insulating members 216 and cooperatively define the channels 212 .
  • Conductive members 226 may also be interposed with the inductive coils 210 and the gas distribution members 214 .
  • the conductive members 226 are rings that comprise metal, metal alloy, or metal mixtures, each of which may be attached to a support member 228 .
  • the insulating members 216 fit between the conductive members 226 and the gas distribution members 214 to provide the channel 212 in a substantially coplanar configuration with the conductive members 214 and 226 , such that the inductive coils 210 are substantially coplanar with the conductive members 214 and 226 .
  • the support member 228 is generally also conductive. In some embodiments, the support member 228 is a metal block.
  • the support member 228 has recesses 230 that, together with the conductive members 226 , define capture spaces 232 into which respective shoulder portions 234 of each insulating member 216 are captured to secure the insulating members 216 into the lid assembly 200 .
  • the conductive members 214 and 226 allow for a large grounded surface to be brought into close proximity to the plasma, enabling higher bias voltage to be used on the substrate support at lower power levels and lower heat input ( FIG. 1 ).
  • the lid assembly configuration of FIG. 2 also brings the plasma source energy of the inductive coils 210 into close proximity with gas in the processing region, resulting in higher plasma density at lower power levels. Use of multiple inductive coils such as the inductive coils 210 also enables tuning of the plasma profile generated in the chamber by adjusting the power level applied to each individual coil.
  • the support member 228 comprises one or more conduits 236 that bring process gases to the conductive gas distribution members 214 .
  • the conductive gas distribution members 214 may comprise conduits (not shown) to disperse gas from the conduit 236 around the circumference of the gas distribution member 214 for even gas distribution.
  • the apparatus 200 may be used as both a plasma source and a showerhead. Gas flow is distributed evenly across the face of the apparatus, and RF power is close-coupled to the process gas exiting the various openings.
  • Thermal control may be enhanced by optionally including thermal control conduits 240 in the support member 228 . Locating thermal control conduits in the support member 228 may enhance thermal control of the field concentrators 222 , which are otherwise at least partially insulated from any thermal control fluid circulating through the loops 218 by the isolators 220 . Thermal control in the vicinity of the field concentrators 222 may be advantageous for maintaining electromagnetic properties of the field concentrators 222 . Also optionally, a cushion 238 may be disposed between the field concentrators 222 and the support member 228 to avoid any damage to the field concentrators 222 , which may be easily damaged by direct contact with the metal surface of the support member 228 .
  • the cushion 238 may be a thermally conductive material such as Grafoil®, which is a flexible graphitic sealing material manufactured by Natural Graphite Operations, of Lakewood, Ohio, a subsidiary of GrafTech International, and distributed by Leader Global Technologies, of Deer Park, Tex.
  • Grafoil® is a flexible graphitic sealing material manufactured by Natural Graphite Operations, of Lakewood, Ohio, a subsidiary of GrafTech International, and distributed by Leader Global Technologies, of Deer Park, Tex.
  • the lid assembly 200 may have any convenient shape or size for processing substrates of any dimension.
  • the lid assembly 200 may be circular, rectangular, or any polygonal shape.
  • the lid assembly 200 may be of a size and shape adapted for processing semiconductor wafers for making semiconductor chips of any description, or the lid assembly 200 may be of a size and shape adapted for processing semiconductor panels such as large-area display or solar panels.
  • Other types of substrates, such as LED substrates or magnetic media substrates, may also be processed using a lid assembly as herein described.
  • the conductive coil (or coils) 210 may be disposed in a concentric circular shape, in a concentric non-circular (rectangular, polygonal, square, or irregular) shape, or in a non-concentric shape such as a boustrophedonic or zig-zag pattern. In another non-concentric embodiment, the conductive coil (or coils) 210 may be disposed in a spiral pattern.
  • a lid assembly may be similar to the lid assembly 200 of FIG. 2 , with some differences.
  • the lid assembly may have a curved surface facing the substrate support, curved in a convex or concave sense.
  • the entire plasma source may be curved (i.e. the surface of the plasma source facing the substrate support and the surface facing away from the substrate support are both convex or concave).
  • only the surface of the lid assembly facing the substrate support may be curved.
  • multiple showerheads may be provided, especially for large area lid assemblies.
  • gas may be injected through the conductive members 226 by providing one or more conduits through the support member 228 .
  • conductive coils may be provided that comprise a single electrical circuit, rather than multiple discrete circuits.
  • the conductive coil may be arranged in a planar, circular or rectangular spiral shape nested with, or disposed in, a complementary conductive member such that the conductive member and the conductive coil form a substantially planar plasma source.
  • Such a spiral shape may also be z-displaced such that the plasma source is not planar, but has a z-dimension in a convex or concave sense.
  • FIG. 3 is an exploded view of a lid assembly 300 according to another embodiment.
  • the lid assembly 300 is similar in most respects to the lid assembly 200 of FIG. 2 , and identical features are labeled with the same identifying labels.
  • the lid assembly 300 comprises a conduit 206 for delivering gas to the process region of the chamber on which the lid assembly 300 is installed.
  • the lid assembly 300 further comprises a first RF coil 302 and a second RF coil 304 similar to the first RF coil, with the first RF coil 302 shown in exploded format.
  • the first RF coil 302 comprises a plurality of conductors 306 disposed in an insulating channel 308 .
  • FIG. 3 is an exploded view of a lid assembly 300 according to another embodiment.
  • the lid assembly 300 is similar in most respects to the lid assembly 200 of FIG. 2 , and identical features are labeled with the same identifying labels.
  • the lid assembly 300 comprises a conduit 206 for delivering gas to the process region of the chamber on which the lid assembly 300
  • the conductors 306 are circular and concentric, but in alternate embodiments the conductors 306 may be disposed in any convenient configuration, as described herein.
  • Each of the conductors 306 has a contact 310 for supplying power to the conductor 306 .
  • the conductors 306 may be conductive tubes configured to carry a coolant in addition to electric power.
  • the contacts 310 may also be used to provide coolant to the conductors 306 .
  • the conductors 306 are generally metal, or other electrically conductive material.
  • the metal may be a single metal, an alloy, a mixture, or another combination of metals.
  • the conductors 306 may also be coated with a non-conductive material, such as ceramic or polymer, in some embodiments.
  • the conductors 306 are copper tubes plated with silver.
  • the metals to be used generally depend on the electrical and thermal properties needed for the particular embodiment. In high power applications, higher electrical conductivity will generally result in lower thermal budget, so more conductive materials may be advantageous. It should be noted that when multiple RF coils are used, each of the coils may have a different composition. For example, silver plated copper tubes may have different thicknesses of silver plating or different tube wall thicknesses to provide differential conductivity among the tubes. In other embodiments, each RF coil may have only one conductor, or more than two conductors.
  • An insulator 312 is disposed over the conductors 306 so that the conductors 306 are surrounded by insulative material. This prevents electric power from flowing to the conductive rings 314 and 316 interposed between the first and second RF coils 302 and 304 .
  • the insulator 312 comprises a wall that is not visible in the top-perspective view of FIG. 3 . The wall extends between the two conductors 306 to prevent electrical cross-talk between the conductors 306 in a given RF coil 302 or 304 . Thus, each conductor 306 is surrounded by insulative material. When power is provided to the conductors 306 , a magnetic field is generated by the conductors 306 .
  • a field concentrator 318 is disposed partially around the conductors 306 to focus and direct the magnetic field in the direction of the processing zone for improved efficiency.
  • the insulator 312 further comprises a passage 320 for each contact 310 .
  • the passages 320 pass through openings in the field concentrator 318 to provide a pathway for the contacts 310 to be coupled to electric power while preventing electrical contact between the contacts 310 and the field concentrator 318 .
  • the contacts protrude through the field concentrator 318 , where they may be coupled to an RF source.
  • any number of RF coils may be disposed in the lid assembly 300 .
  • Process gases may also be provided through the conductive rings 314 and 316 , in addition to or in place of the conduit 206 , by providing conduits in the conductive rings 314 and 316 with openings to release process gases into the processing zone.
  • the lid assembly 300 may also be formed with a curvature according to any of the embodiments described herein.
  • Embodiments disclosed herein also provide a method of processing a substrate on a substrate support in a process chamber.
  • a plasma source may be provided in a position facing the substrate support to form a plasma for processing the substrate.
  • the method comprises providing a plasma source that has a plurality of conductive loops disposed in an electrode, providing a processing gas to the chamber, grounding the electrode, and forming a plasma from the processing gas by applying power to the conductive loops.
  • the conductive loops may be electrically insulated from the electrode by coating, wrapping, or situating the loops in an electrically insulating material, which may be a container, such as a channel formed in the electrode, a coating applied to the conductive loops, or a liner disposed inside a channel formed in the electrode.
  • RF power is applied to the loops, and may be controlled independently to shape the plasma density in the process chamber.
  • the conductive loops may be thermally controlled, if desired, by circulating a thermal control medium, such as a cooling fluid, through tubular conductive loops.
  • the conductive loops may be substantially coplanar with the electrode in some embodiments.
  • the electrode may be non-planar, with conductive loops disposed therein.
  • the conductive loops may be partially disposed in the electrode and partially disposed outside the electrode, with any portions of the conductive loops disposed outside the electrode contained or encapsulated in an insulating material.
  • the plasma may be further enhanced by providing a field concentrator disposed to concentrate the field inside the plasma region of the processing chamber.
  • the field concentrator may generally be disposed opposite the substrate support, such that the conductive loops are between the field concentrator and the substrate support. Such positioning prevents development of magnetic field lines outside the chamber, and focuses the plasma source energy in the processing gas.

Abstract

A method and apparatus for plasma processing of substrates is provided. A processing chamber has a substrate support and a lid assembly facing the substrate support. The lid assembly has a plasma source that comprises an inductive coil disposed within a conductive plate, which may comprise nested conductive rings. The inductive coil is substantially coplanar with the conductive plate, and insulated therefrom by an insulator that fits within a channel formed in the conductive plate, or nests within the conductive rings. A field concentrator is provided around the inductive coil, and insulated therefrom by isolators. The plasma source is supported from a conductive support plate. A gas distributor supplies gas to the chamber through a central opening of the support plate and plasma source from a conduit disposed through the conductive plate.

Description

    FIELD
  • Embodiments described herein generally relate to manufacturing semiconductor devices. More specifically, embodiments described herein relate to methods and apparatus for plasma processing of substrates.
  • BACKGROUND
  • Plasma processing is commonly used for many semiconductor fabrication processes for manufacturing integrated circuits, flat-panel displays, magnetic media, and other devices. A plasma, or ionized gas, is generated inside a processing chamber by application of an electromagnetic field to a low-pressure gas in the chamber, and then applied to a workpiece to accomplish a process such as deposition, etching, or implantation. The plasma may also be generated outside the chamber and then directed into the chamber under pressure to increase the ratio of radicals to ions in the plasma for processes needing such treatments.
  • Plasma may be generated by electric fields, by magnetic fields, or by electromagnetic fields. Plasma generated by an electric field normally uses spaced-apart electrodes to generate the electric field in the space occupied by the gas. The electric field ionizes the gas, and the resulting ions and electrons move toward one electrode or the other under the influence of the electric field. The electric field can impart very high energies to ions impinging on the workpiece, which can sputter material from the workpiece, damaging the workpiece and creating potentially contaminating particles in the chamber. Additionally, the high potentials accompanying such plasmas may create unwanted electrical discharges and parasitic currents.
  • Inductively coupled plasmas are used in many circumstances to avoid some effects of capacitively coupled plasmas. An inductive coil is disposed adjacent to a plasma generating region of a processing chamber. The inductive coil projects a magnetic field into the chamber to ionize a gas inside the chamber. The inductive coil is frequently located outside the chamber, projecting the magnetic field into the chamber through a dielectric window. The inductive coil is frequently driven by high-frequency electromagnetic energy, which suffers power losses that rise faster than the voltage applied to the inductive coil. Thus, strong coupling of the plasma source with the plasma inside the chamber decreases power losses. Control of plasma uniformity is also improved by strong coupling between the plasma source and the plasma.
  • As device geometry in the various semiconductor industries continues to decline, process uniformity in general and plasma uniformity in particular, becomes increasingly helpful for reliable manufacture of devices. Thus, there is a continuing need for inductive plasma processing apparatus and methods.
  • SUMMARY
  • Embodiments described herein provide a lid assembly for a plasma chamber, the lid assembly having a first annular inductive coil nested with a first conductive ring.
  • Other embodiments provide a processing chamber for a semiconductor substrate, the processing chamber having a chamber body that definines an interior region, a substrate support disposed in the interior region, and a lid assembly disposed in the interior region facing the substrate support, the lid assembly having a gas distributor and a plasma source with a first conductive surface that faces the substrate support, a second conductive surface that faces away from the substrate support, and a plurality of conductive coils disposed in the conductive plasma source between the first surface and the second surface.
  • Other embodiments provide a method of processing a substrate by disposing the substrate on a substrate support in a processing chamber, providing a plasma source facing the substrate support, the plasma source comprising a plurality of conductive loops disposed in an electrode, to define a processing region between the plasma source and the substrate support, providing a gas mixture to the processing region, grounding the electrode, and forming a plasma from the gas mixture by applying electric power to the conductive loops.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 is a schematic cross-sectional view of a processing chamber according to one embodiment.
  • FIG. 2 is a schematic cross-sectional view of a gas distributor according to another embodiment.
  • FIG. 3 is an exploded view of a gas distributor according to another embodiment.
  • DETAILED DESCRIPTION
  • FIG. 1 is a schematic cross-sectional diagram of a processing chamber 100 according to one embodiment. The processing chamber 100 comprises a chamber body 102, a substrate support 104, and a gas distributor 106 facing the substrate support 104, which cooperatively define a processing region 118. The gas distributor 106 comprises a showerhead 108 and a plasma source 110 surrounding the showerhead 108. The plasma source 110 comprises a conductive spacer 114 and a conductive coil 112 disposed inside the conductive spacer 114. There may be one or more conductive coils 112 disposed in the conductive spacer 114. The conductive spacer 114 may be a disk-like member with channels or conduits housing the conductive coils 112. Alternately, the conductive spacer 114 may be a plurality of rings separating the conductive coils 112 and nesting with the conductive coils 112. Each of the conductive coils 112 is housed in a channel or recess 116 lined with an insulating material. The insulating material of the channel or recess 116 prevents electric current travelling from the conductive coils 112 into the conductive spacer 114. The conductive coils 112 produce a magnetic field in the processing region 118 that ionizes a processing gas disposed therein to form a plasma. In some embodiments, the conductive coil 112 may be a coil assembly featuring a removable insulating member, as further described below in connection with FIG. 2.
  • The conductive spacer 114 provides a large surface area grounded electrode that faces the substrate support 104. The large grounded electrode allows generation of higher voltages at the substrate support using lower power levels. Disposing the conductive coils 112 in the conductive spacer 114 also brings the plasma source close to the plasma generation area of the processing region 118, improving coupling efficiency with the plasma. Additionally, the large grounded surface area of the conductive spacer 114 reduces plasma sheath voltage in the chamber, which reduces sputtering of chamber walls and chamber lid components, reducing contamination of workpieces disposed on the substrate support. Use of multiple conductive coils 112 also provides the possibility of using different power levels on the coils to tune the plasma profile in the processing region 118.
  • FIG. 2 is a schematic cross-sectional diagram of a lid assembly 200 according to another embodiment. Similar to the gas distributor 106 of FIG. 1, the lid assembly 200 comprises a showerhead 202 and a plasma source 204. A gas conduit 206 connects a gas source (not shown) to the showerhead 202, placing the gas source in fluid communication with a processing chamber through openings 208 in the showerhead 202.
  • The plasma source 204 comprises a conductive coil 210 disposed in a channel 212 formed between conductive gas distribution members 214. The gas distribution members 214 may be metal or metal alloy, and may be coated with a dielectric material, if desired, or a chemically resistant or plasma resistant material, such as yttria, in some embodiments. The conductive coil 210, of which there may be more than one, may also be metal, metal alloy, or a conductive composite such as a metal coated dielectric or a metal composite featuring metals having different conductivities. Material selection for the conductive coil 210 generally depends on the desired thermal and electrical conductivity. Materials with lower electrical conductivity are generally lower in cost, but a conductive coil made from low conductivity materials may generate unwanted heat, and may require excessive power to operate. Highly conductive materials such as copper and silver may be used proficiently for a conductive coil. Less conductive and lower cost materials such as aluminum, zinc, or nickel may be included as alloy or layer components.
  • Heat may be dissipated by forming the conductive coil 210 with a conduit for a thermal control medium, which may be a cooling liquid such as water or a cooling gas such as nitrogen. The conductive coil 210 may be an annular or torroidal tube in some embodiments. The tube wall thickness may be specified based on thermal and electrical conductivity needed. Cooling may be useful when high power, for example greater than about 500 W, is to be applied to the conductive coil 210. In one embodiment, a conductive coil is a torroidal tube comprising a layer of copper and a layer of silver.
  • The channel 212 is generally lined with an insulating member 216, which may be ceramic or plastic, Teflon, for example. The insulating member 216 confines the electric current to the conductive coil 210. The insulating material may be an insert that fits into the channel 212, or in other embodiments, may be a liner adhered to the inner surface of the channel 212. The embodiment of FIG. 2 features two insulating members 216, each of which is an annular member that fits inside a respective channel, one of the insulating members 216 fitting inside the innermost channel 212, which is a first channel in the embodiment of FIG. 2, and the other insulating member 216 fitting inside the outermost channel 212, which is a second channel in the embodiment of FIG. 2. Each of the channels 212 has a conductive coil 210 disposed therein. In the embodiment of FIG. 2, each conductive coil comprises two conductive loops 218. A pair of conductive loops 218 rest inside the recesses formed by the respective insulating members 216.
  • The two conductive loops 218 are electrically isolated, one from the other, by respective isolators 220, which serve to surround each conductive loop 218. In the embodiment of FIG. 2, each isolator 220 is an annular dielectric member having a recess 224 into which a conductive loop 218 fits. The recess 224 of an isolator 220 and the channel 212 into which the isolator 220 fits generally face in opposite directions. Thus, each conductive loop is surrounded on three sides by an isolator 220 and on one side by an insulating member 216. It should be noted that the isolators 220 may have any convenient cross sectional shape. For example, in an alternate embodiment, the isolators 220 may be rounded to follow the contours of a rounded, tube-like, conductive loop 218, such that the recess 224 has a rounded cross-sectional shape. In another embodiment, the cross-sectional profile of each isolator 220 and/or each recess 224 may be rectangular with beveled corners. In still other embodiments, the conductive loops 218 may be formed with a coating that isolates the loop. The isolators 220 may be any insulating material, such as ceramic, glass, or plastic. In the embodiment of FIG. 2, each isolator 220 is shown as a single piece covering a single conductive loop 218, but in alternate embodiments, an isolator may be formed to cover two neighboring conductive loops 218 while disposing a wall between them.
  • A field concentrator 222 is disposed around each conductive coil 210 to amplify the magnetic field produced by each conductive coil 210. In the embodiment of FIG. 2, the concentrator 222 is disposed around a pair of conductive loops 218 and their respective isolators 220, but in other embodiments, each loop 218 may be paired with a field concentrator 222, or more than two loops may be coupled to a field concentrator 222. The field concentrator 222 focuses the magnetic field produced by each conductive coil 210 toward the plasma generation area of the processing chamber, minimizing magnetic energy projecting away from the plasma generation area. Each field concentrator 222 generally comprises ferrite or other magnetically susceptible or magnetizable materials, such as low coercivity materials. Thermal control of the conductive coil 210 minimizes temperature variation of the field concentrator 222, maintaining the magnetic properties thereof for control of the magnetic field produced by the conductive coil 210.
  • The inductive coils 210 are interposed within the gas distribution members 214 that nest with the insulating members 216 and cooperatively define the channels 212. Conductive members 226 may also be interposed with the inductive coils 210 and the gas distribution members 214. In one embodiment, the conductive members 226 are rings that comprise metal, metal alloy, or metal mixtures, each of which may be attached to a support member 228. The insulating members 216 fit between the conductive members 226 and the gas distribution members 214 to provide the channel 212 in a substantially coplanar configuration with the conductive members 214 and 226, such that the inductive coils 210 are substantially coplanar with the conductive members 214 and 226.
  • The support member 228 is generally also conductive. In some embodiments, the support member 228 is a metal block. The support member 228 has recesses 230 that, together with the conductive members 226, define capture spaces 232 into which respective shoulder portions 234 of each insulating member 216 are captured to secure the insulating members 216 into the lid assembly 200. The conductive members 214 and 226 allow for a large grounded surface to be brought into close proximity to the plasma, enabling higher bias voltage to be used on the substrate support at lower power levels and lower heat input (FIG. 1). The lid assembly configuration of FIG. 2 also brings the plasma source energy of the inductive coils 210 into close proximity with gas in the processing region, resulting in higher plasma density at lower power levels. Use of multiple inductive coils such as the inductive coils 210 also enables tuning of the plasma profile generated in the chamber by adjusting the power level applied to each individual coil.
  • The support member 228 comprises one or more conduits 236 that bring process gases to the conductive gas distribution members 214. Additionally, in some embodiments, the conductive gas distribution members 214 may comprise conduits (not shown) to disperse gas from the conduit 236 around the circumference of the gas distribution member 214 for even gas distribution. By interposing conductive gas distribution members 214 with inductive coils 210, the apparatus 200 may be used as both a plasma source and a showerhead. Gas flow is distributed evenly across the face of the apparatus, and RF power is close-coupled to the process gas exiting the various openings.
  • Thermal control may be enhanced by optionally including thermal control conduits 240 in the support member 228. Locating thermal control conduits in the support member 228 may enhance thermal control of the field concentrators 222, which are otherwise at least partially insulated from any thermal control fluid circulating through the loops 218 by the isolators 220. Thermal control in the vicinity of the field concentrators 222 may be advantageous for maintaining electromagnetic properties of the field concentrators 222. Also optionally, a cushion 238 may be disposed between the field concentrators 222 and the support member 228 to avoid any damage to the field concentrators 222, which may be easily damaged by direct contact with the metal surface of the support member 228. The cushion 238 may be a thermally conductive material such as Grafoil®, which is a flexible graphitic sealing material manufactured by Natural Graphite Operations, of Lakewood, Ohio, a subsidiary of GrafTech International, and distributed by Leader Global Technologies, of Deer Park, Tex.
  • In general, the lid assembly 200 may have any convenient shape or size for processing substrates of any dimension. The lid assembly 200 may be circular, rectangular, or any polygonal shape. The lid assembly 200 may be of a size and shape adapted for processing semiconductor wafers for making semiconductor chips of any description, or the lid assembly 200 may be of a size and shape adapted for processing semiconductor panels such as large-area display or solar panels. Other types of substrates, such as LED substrates or magnetic media substrates, may also be processed using a lid assembly as herein described. In some embodiments, the conductive coil (or coils) 210 may be disposed in a concentric circular shape, in a concentric non-circular (rectangular, polygonal, square, or irregular) shape, or in a non-concentric shape such as a boustrophedonic or zig-zag pattern. In another non-concentric embodiment, the conductive coil (or coils) 210 may be disposed in a spiral pattern.
  • In some embodiments, a lid assembly may be similar to the lid assembly 200 of FIG. 2, with some differences. In one embodiment, the lid assembly may have a curved surface facing the substrate support, curved in a convex or concave sense. In one aspect, the entire plasma source may be curved (i.e. the surface of the plasma source facing the substrate support and the surface facing away from the substrate support are both convex or concave). In another aspect, only the surface of the lid assembly facing the substrate support may be curved. In one embodiment, multiple showerheads may be provided, especially for large area lid assemblies. In one embodiment, gas may be injected through the conductive members 226 by providing one or more conduits through the support member 228. In other embodiments, conductive coils may be provided that comprise a single electrical circuit, rather than multiple discrete circuits. For example, in one embodiment, the conductive coil may be arranged in a planar, circular or rectangular spiral shape nested with, or disposed in, a complementary conductive member such that the conductive member and the conductive coil form a substantially planar plasma source. Such a spiral shape may also be z-displaced such that the plasma source is not planar, but has a z-dimension in a convex or concave sense.
  • FIG. 3 is an exploded view of a lid assembly 300 according to another embodiment. The lid assembly 300 is similar in most respects to the lid assembly 200 of FIG. 2, and identical features are labeled with the same identifying labels. The lid assembly 300 comprises a conduit 206 for delivering gas to the process region of the chamber on which the lid assembly 300 is installed. The lid assembly 300 further comprises a first RF coil 302 and a second RF coil 304 similar to the first RF coil, with the first RF coil 302 shown in exploded format. The first RF coil 302 comprises a plurality of conductors 306 disposed in an insulating channel 308. In the embodiment of FIG. 3, the conductors 306 are circular and concentric, but in alternate embodiments the conductors 306 may be disposed in any convenient configuration, as described herein. Each of the conductors 306 has a contact 310 for supplying power to the conductor 306. As described elsewhere herein, the conductors 306 may be conductive tubes configured to carry a coolant in addition to electric power. Thus, the contacts 310 may also be used to provide coolant to the conductors 306.
  • The conductors 306 are generally metal, or other electrically conductive material. The metal may be a single metal, an alloy, a mixture, or another combination of metals. The conductors 306 may also be coated with a non-conductive material, such as ceramic or polymer, in some embodiments. In one embodiment, the conductors 306 are copper tubes plated with silver. The metals to be used generally depend on the electrical and thermal properties needed for the particular embodiment. In high power applications, higher electrical conductivity will generally result in lower thermal budget, so more conductive materials may be advantageous. It should be noted that when multiple RF coils are used, each of the coils may have a different composition. For example, silver plated copper tubes may have different thicknesses of silver plating or different tube wall thicknesses to provide differential conductivity among the tubes. In other embodiments, each RF coil may have only one conductor, or more than two conductors.
  • An insulator 312 is disposed over the conductors 306 so that the conductors 306 are surrounded by insulative material. This prevents electric power from flowing to the conductive rings 314 and 316 interposed between the first and second RF coils 302 and 304. The insulator 312 comprises a wall that is not visible in the top-perspective view of FIG. 3. The wall extends between the two conductors 306 to prevent electrical cross-talk between the conductors 306 in a given RF coil 302 or 304. Thus, each conductor 306 is surrounded by insulative material. When power is provided to the conductors 306, a magnetic field is generated by the conductors 306. A field concentrator 318 is disposed partially around the conductors 306 to focus and direct the magnetic field in the direction of the processing zone for improved efficiency.
  • The insulator 312 further comprises a passage 320 for each contact 310. The passages 320 pass through openings in the field concentrator 318 to provide a pathway for the contacts 310 to be coupled to electric power while preventing electrical contact between the contacts 310 and the field concentrator 318. The contacts protrude through the field concentrator 318, where they may be coupled to an RF source.
  • As with the embodiment of FIG. 2, any number of RF coils may be disposed in the lid assembly 300. Process gases may also be provided through the conductive rings 314 and 316, in addition to or in place of the conduit 206, by providing conduits in the conductive rings 314 and 316 with openings to release process gases into the processing zone. The lid assembly 300 may also be formed with a curvature according to any of the embodiments described herein.
  • Embodiments disclosed herein also provide a method of processing a substrate on a substrate support in a process chamber. A plasma source may be provided in a position facing the substrate support to form a plasma for processing the substrate. The method comprises providing a plasma source that has a plurality of conductive loops disposed in an electrode, providing a processing gas to the chamber, grounding the electrode, and forming a plasma from the processing gas by applying power to the conductive loops. The conductive loops may be electrically insulated from the electrode by coating, wrapping, or situating the loops in an electrically insulating material, which may be a container, such as a channel formed in the electrode, a coating applied to the conductive loops, or a liner disposed inside a channel formed in the electrode. RF power is applied to the loops, and may be controlled independently to shape the plasma density in the process chamber. The conductive loops may be thermally controlled, if desired, by circulating a thermal control medium, such as a cooling fluid, through tubular conductive loops.
  • The conductive loops may be substantially coplanar with the electrode in some embodiments. In other embodiments, the electrode may be non-planar, with conductive loops disposed therein. In still other embodiments, the conductive loops may be partially disposed in the electrode and partially disposed outside the electrode, with any portions of the conductive loops disposed outside the electrode contained or encapsulated in an insulating material.
  • The plasma may be further enhanced by providing a field concentrator disposed to concentrate the field inside the plasma region of the processing chamber. For example, the field concentrator may generally be disposed opposite the substrate support, such that the conductive loops are between the field concentrator and the substrate support. Such positioning prevents development of magnetic field lines outside the chamber, and focuses the plasma source energy in the processing gas.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (24)

1. A lid assembly for a plasma chamber, comprising:
a first annular inductive coil nested with a first conductive ring.
2. The lid assembly of claim 1, wherein the first annular inductive coil is disposed in an insulating channel nested with the first conductive ring.
3. The lid assembly of claim 2, further comprising a field concentrator disposed around the first annular inductive coil inside the insulating channel.
4. The lid assembly of claim 3, further comprising a second annular inductive coil disposed in a second insulating channel nested with the first conductive ring.
5. The lid assembly of claim 4, wherein the first and second annular inductive coils and the first conductive ring are arranged concentrically.
6. The lid assembly of claim 4, wherein the first and second annular inductive coils each comprise a metal tube.
7. The lid assembly of claim 6, wherein the first annular inductive coil is nested in a central opening of the conductive ring and the second annular inductive coil is nested about a peripheral edge of the conductive ring.
8. A lid assembly for a plasma chamber, comprising:
a gas distributor;
a support plate disposed around the gas distributor;
a conductive ring disposed around the gas distributor and coupled to the support plate;
an annular inductive coil disposed in an insulating channel nested with the conductive ring; and
a field concentrator disposed in the insulating channel around the inductive coil.
9. The lid assembly of claim 8, wherein the insulating channel is concentrically disposed in a central opening of the conductive ring, has an opening that faces the support plate, and has an extension over an inner edge of the conductive ring.
10. The lid assembly of claim 9, wherein the support plate is conductive and is electrically coupled to the conductive ring.
11. The lid assembly of claim 8, wherein the gas distributor is coupled to a conduit through a central aperture of the support plate, the conductive ring, and the annular inductive coil, the support plate is electrically coupled to the conductive ring, the annular conductive coil is electrically insulated from the support plate and the conductive ring, and the annular conductive coil is substantially coplanar with the conductive ring.
12. The lid assembly of claim 8, further comprising an isolator disposed in the insulating channel, the isolator having a channel into which the annular inductive coil fits.
13. The lid assembly of claim 12, wherein the annular inductive coil is substantially coplanar with the conductive ring.
14. The lid assembly of claim 13, wherein the annular inductive coil comprises a conduit for a thermal control medium.
15. A processing chamber for a semiconductor substrate, comprising:
a chamber body defining an interior region;
a substrate support disposed in the interior region; and
a lid assembly disposed in the interior region facing the substrate support, the lid assembly comprising:
a gas distributor; and
a plasma source having a first conductive surface that faces the substrate support, a second conductive surface that faces away from the substrate support, and a plurality of conductive coils disposed in the conductive plasma source between the first surface and the second surface.
16. The processing chamber of claim 15, wherein each the conductive coils is disposed in a conduit formed in the conductive plasma source, and the conduit is lined with an insulating material.
17. The processing chamber of claim 16, wherein a magnetic field concentrator is disposed within the conduit.
18. The processing chamber of claim 17, wherein each conductive coil is formed with an internal pathway for a thermal control medium.
19. The processing chamber of claim 18, wherein each conductive coil comprises a plurality of conductive loops separated by insulators.
20. A method of processing a substrate, comprising:
disposing the substrate on a substrate support in a processing chamber;
providing a plasma source facing the substrate support, the plasma source comprising a plurality of conductive loops disposed in an electrode, to define a processing region between the plasma source and the substrate support;
providing a gas mixture to the processing region;
grounding the electrode; and
forming a plasma from the gas mixture by applying electric power to the conductive loops.
21. The method of claim 20, further comprising tuning the plasma profile by applying different power levels to the conductive loops.
22. The method of claim 21, further comprising circulating a cooling medium through the conductive loops.
23. The method of claim 22, wherein the gas mixture is provided to the processing region through an aperture in a central portion of the plasma source.
24. The method of claim 22, wherein the gas mixture is provided to the processing region through a plurality of apertures in the plasma source.
US12/780,531 2010-05-14 2010-05-14 Inductive plasma source with metallic shower head using b-field concentrator Abandoned US20110278260A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US12/780,531 US20110278260A1 (en) 2010-05-14 2010-05-14 Inductive plasma source with metallic shower head using b-field concentrator
CN201180024010.3A CN102893705B (en) 2010-05-14 2011-04-25 Inductive plasma source with metallic shower head using b-field concentrator
JP2013511173A JP2013533575A (en) 2010-05-14 2011-04-25 Inductive plasma source with metal showerhead using B field concentrator
TW100114321A TWI520169B (en) 2010-05-14 2011-04-25 Inductive plasma source with metallic shower head using b-field concentrator
PCT/US2011/033735 WO2011142957A2 (en) 2010-05-14 2011-04-25 Inductive plasma source with metallic shower head using b-field concentrator
KR1020127032671A KR101826843B1 (en) 2010-05-14 2011-04-25 Inductive plasma source with metallic shower head using b-field concentrator
JP2016002248A JP2016122654A (en) 2010-05-14 2016-01-08 Inductive plasma source with metallic shower head using b-field concentrator
US15/462,507 US10529541B2 (en) 2010-05-14 2017-03-17 Inductive plasma source with metallic shower head using B-field concentrator
US16/735,494 US11450509B2 (en) 2010-05-14 2020-01-06 Inductive plasma source with metallic shower head using b-field concentrator

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/780,531 US20110278260A1 (en) 2010-05-14 2010-05-14 Inductive plasma source with metallic shower head using b-field concentrator

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/462,507 Continuation US10529541B2 (en) 2010-05-14 2017-03-17 Inductive plasma source with metallic shower head using B-field concentrator

Publications (1)

Publication Number Publication Date
US20110278260A1 true US20110278260A1 (en) 2011-11-17

Family

ID=44910845

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/780,531 Abandoned US20110278260A1 (en) 2010-05-14 2010-05-14 Inductive plasma source with metallic shower head using b-field concentrator
US15/462,507 Active 2031-06-10 US10529541B2 (en) 2010-05-14 2017-03-17 Inductive plasma source with metallic shower head using B-field concentrator
US16/735,494 Active 2030-10-18 US11450509B2 (en) 2010-05-14 2020-01-06 Inductive plasma source with metallic shower head using b-field concentrator

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/462,507 Active 2031-06-10 US10529541B2 (en) 2010-05-14 2017-03-17 Inductive plasma source with metallic shower head using B-field concentrator
US16/735,494 Active 2030-10-18 US11450509B2 (en) 2010-05-14 2020-01-06 Inductive plasma source with metallic shower head using b-field concentrator

Country Status (6)

Country Link
US (3) US20110278260A1 (en)
JP (2) JP2013533575A (en)
KR (1) KR101826843B1 (en)
CN (1) CN102893705B (en)
TW (1) TWI520169B (en)
WO (1) WO2011142957A2 (en)

Cited By (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120103523A1 (en) * 2010-10-27 2012-05-03 Tokyo Electron Limited Plasma processing apparatus
US20130105086A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US20160225590A1 (en) * 2015-01-30 2016-08-04 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of icp plasmas
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9953888B1 (en) * 2016-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Electromagnetic detection device and semiconductor manufacturing system
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424460B2 (en) * 2010-08-06 2019-09-24 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US20190295826A1 (en) * 2010-10-15 2019-09-26 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20220044864A1 (en) * 2018-07-25 2022-02-10 Lam Research Corporation Magnetic shielding for plasma sources
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11495435B2 (en) * 2019-05-17 2022-11-08 Kokusai Electric Corporation Substrate processing apparatus, non-transitory computer-readable recording medium, method of manufacturing semiconductor device, and a substrate processing method
US20230049431A1 (en) * 2020-04-09 2023-02-16 Applied Materials, Inc. Lid stack for high frequency processing
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
TWI596644B (en) * 2012-03-22 2017-08-21 藍姆研究公司 Fluid distribution member assembly for plasma processing apparatus
TW201405627A (en) * 2012-07-20 2014-02-01 Applied Materials Inc Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10629415B2 (en) 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
CN108882494B (en) * 2017-05-08 2022-06-17 北京北方华创微电子装备有限公司 Plasma device
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
KR102560283B1 (en) 2018-01-24 2023-07-26 삼성전자주식회사 Apparatus and method for manufacturing and designing a shower head
JP7221115B2 (en) * 2019-04-03 2023-02-13 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
WO2022093273A1 (en) * 2020-10-30 2022-05-05 Applied Materials, Inc. Rf delivery and feedthrough assembly to a processing chamber

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5904780A (en) * 1996-05-02 1999-05-18 Tokyo Electron Limited Plasma processing apparatus
US6225746B1 (en) * 1999-03-03 2001-05-01 Anelva Corporation Plasma processing system
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6259209B1 (en) * 1996-09-27 2001-07-10 Surface Technology Systems Limited Plasma processing apparatus with coils in dielectric windows
US20080050537A1 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US20130052830A1 (en) * 2011-08-31 2013-02-28 Gyoo-Dong Kim Plasma reactor having dual inductively coupled plasma source

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
KR920003424A (en) * 1990-07-13 1992-02-29 미다 가쓰시게 Surface treatment apparatus, surface treatment method and manufacturing method of semiconductor device
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
EP0537950B1 (en) 1991-10-17 1997-04-02 Applied Materials, Inc. Plasma reactor
JPH05136094A (en) 1991-11-11 1993-06-01 Ramuko Kk Plasma reactor
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
JP3399467B2 (en) * 1993-08-19 2003-04-21 東京エレクトロン株式会社 Plasma processing apparatus and cleaning method
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH08296037A (en) * 1995-04-24 1996-11-12 Sony Corp Vapor-deposition device
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JP3153768B2 (en) * 1995-08-17 2001-04-09 東京エレクトロン株式会社 Plasma processing equipment
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6534922B2 (en) * 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
JP4405496B2 (en) * 1997-02-24 2010-01-27 株式会社エフオーアイ Plasma processing equipment
KR100469047B1 (en) * 1997-04-11 2005-01-31 동경 엘렉트론 주식회사 Processing System, Upper Electrode Unit and Method of Use of an Upper Electrode, and Electrode Unit and Method of Manufacturing the Electrode unit
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
GB9714341D0 (en) * 1997-07-09 1997-09-10 Surface Tech Sys Ltd Plasma processing apparatus
US6076482A (en) 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6197165B1 (en) 1998-05-06 2001-03-06 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
JP4046207B2 (en) * 1998-08-06 2008-02-13 株式会社エフオーアイ Plasma processing equipment
JP2002525866A (en) * 1998-09-22 2002-08-13 アプライド マテリアルズ インコーポレイテッド RF plasma etching reactor with internal induction coil antenna and conductive chamber walls
JP2000315598A (en) * 1999-03-03 2000-11-14 Anelva Corp Plasma processing device
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
JP2000331993A (en) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp Plasma processing device
TW445540B (en) * 2000-08-07 2001-07-11 Nano Architect Res Corp Bundle concentrating type multi-chamber plasma reacting system
US6417626B1 (en) * 2001-03-01 2002-07-09 Tokyo Electron Limited Immersed inductively—coupled plasma source
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
AT502984B8 (en) * 2003-09-15 2008-10-15 Qasar Technologieentwicklung Gmbh METHOD AND DEVICE FOR PRODUCING ALFVEN WAVES
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
JP4528799B2 (en) * 2006-07-31 2010-08-18 株式会社リガク Total reflection X-ray fluorescence analyzer
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
JP4906448B2 (en) * 2006-09-11 2012-03-28 新明和工業株式会社 Intermediate electrode unit of plasma gun and plasma gun including the same
KR101281188B1 (en) 2007-01-25 2013-07-02 최대규 Inductively coupled plasma reactor
JP4950763B2 (en) * 2007-05-25 2012-06-13 大陽日酸株式会社 Plasma generator
US7976674B2 (en) 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
KR101358780B1 (en) * 2007-07-20 2014-02-04 최대규 Plasma reactor having inductively coupled plasma source with heater
JP5139029B2 (en) * 2007-10-24 2013-02-06 ラム リサーチ コーポレーション Plasma processing equipment
KR100953828B1 (en) * 2008-01-15 2010-04-20 주식회사 테스 Plasma processing apparatus
KR20090009369U (en) 2008-03-14 2009-09-17 킴스핸들 주식회사 Handle for cookware
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
US5904780A (en) * 1996-05-02 1999-05-18 Tokyo Electron Limited Plasma processing apparatus
US6259209B1 (en) * 1996-09-27 2001-07-10 Surface Technology Systems Limited Plasma processing apparatus with coils in dielectric windows
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6225746B1 (en) * 1999-03-03 2001-05-01 Anelva Corporation Plasma processing system
US20080050537A1 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US20120222618A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Dual plasma source, lamp heated plasma chamber
US20130052830A1 (en) * 2011-08-31 2013-02-28 Gyoo-Dong Kim Plasma reactor having dual inductively coupled plasma source

Cited By (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10424460B2 (en) * 2010-08-06 2019-09-24 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US11488812B2 (en) * 2010-10-15 2022-11-01 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20190295826A1 (en) * 2010-10-15 2019-09-26 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US20120103523A1 (en) * 2010-10-27 2012-05-03 Tokyo Electron Limited Plasma processing apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130105086A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
US20160225590A1 (en) * 2015-01-30 2016-08-04 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of icp plasmas
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US20190198291A1 (en) * 2016-10-04 2019-06-27 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) * 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10541113B2 (en) * 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) * 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180096819A1 (en) * 2016-10-04 2018-04-05 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9953888B1 (en) * 2016-12-15 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Electromagnetic detection device and semiconductor manufacturing system
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US20220044864A1 (en) * 2018-07-25 2022-02-10 Lam Research Corporation Magnetic shielding for plasma sources
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11495435B2 (en) * 2019-05-17 2022-11-08 Kokusai Electric Corporation Substrate processing apparatus, non-transitory computer-readable recording medium, method of manufacturing semiconductor device, and a substrate processing method
US20230049431A1 (en) * 2020-04-09 2023-02-16 Applied Materials, Inc. Lid stack for high frequency processing
US11846011B2 (en) * 2020-04-09 2023-12-19 Applied Materials, Inc. Lid stack for high frequency processing

Also Published As

Publication number Publication date
CN102893705B (en) 2017-05-03
CN102893705A (en) 2013-01-23
US20170194128A1 (en) 2017-07-06
US10529541B2 (en) 2020-01-07
US20200144027A1 (en) 2020-05-07
JP2016122654A (en) 2016-07-07
TW201145350A (en) 2011-12-16
WO2011142957A2 (en) 2011-11-17
US11450509B2 (en) 2022-09-20
WO2011142957A3 (en) 2012-02-23
KR20130079435A (en) 2013-07-10
TWI520169B (en) 2016-02-01
KR101826843B1 (en) 2018-02-07
JP2013533575A (en) 2013-08-22

Similar Documents

Publication Publication Date Title
US11450509B2 (en) Inductive plasma source with metallic shower head using b-field concentrator
JP4216243B2 (en) Helical resonator type plasma processing equipment
US20170236693A1 (en) Rotatable substrate support having radio frequency applicator
KR100486712B1 (en) Inductively coupled plasma generating apparatus with double layer coil antenna
US7273533B2 (en) Plasma processing system with locally-efficient inductive plasma coupling
KR100797206B1 (en) Uniform gas distribution in large area plasma source
US20080173237A1 (en) Plasma Immersion Chamber
KR20040062846A (en) Inductively coupled antenna and plasma processing apparatus using the same
JP2007317661A (en) Plasma reactor
CN111095476B (en) Cooled focus ring for plasma processing apparatus
KR20170035138A (en) Plasma reactor for reducing particles
KR100793457B1 (en) Plasma reactor having multi discharging chamber
KR102467296B1 (en) Ignition of shielding structure
KR102384274B1 (en) A cooling structure improvement of plasma reactor
KR102638030B1 (en) Plasma processing apparatus, manufacturing method thereof, and plasma processing method
KR101281191B1 (en) Inductively coupled plasma reactor capable

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LAI, CANFENG;TOBIN, JEFFREY;PORSHNEV, PETER I.;AND OTHERS;SIGNING DATES FROM 20100525 TO 20100601;REEL/FRAME:024647/0621

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION