US20120098087A1 - Forming an extremely thin semiconductor-on-insulator (etsoi) layer - Google Patents

Forming an extremely thin semiconductor-on-insulator (etsoi) layer Download PDF

Info

Publication number
US20120098087A1
US20120098087A1 US13/342,423 US201213342423A US2012098087A1 US 20120098087 A1 US20120098087 A1 US 20120098087A1 US 201213342423 A US201213342423 A US 201213342423A US 2012098087 A1 US2012098087 A1 US 2012098087A1
Authority
US
United States
Prior art keywords
soi layer
soi
region
regions
approximately
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/342,423
Inventor
Wagdi W. Abadeer
Lilian Kamal
Kiran V. Chatty
Jason E. Cummings
Toshiharu Furukawa
Robert J. Gauthier, Jr.
Jed H. Rankin
Robert R. Robison
William R. Tonti
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/342,423 priority Critical patent/US20120098087A1/en
Publication of US20120098087A1 publication Critical patent/US20120098087A1/en
Priority to US13/835,463 priority patent/US9263517B2/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI

Definitions

  • the subject matter disclosed herein relates to solutions for thinning semiconductor-on-insulator (SOI) layers in a semiconductor device. Specifically, the subject matter disclosed herein relates to solutions for forming extremely-thin semiconductor-on-insulator (ETSOI) wafers including at least one recess.
  • SOI semiconductor-on-insulator
  • ETSOI extremely-thin semiconductor-on-insulator
  • CMOS Complementary metal-oxide semiconductor
  • SOI semiconductor-on-insulator
  • Device characteristics such as threshold voltage (Vt) of an extra-thin SOI (ETSOI) device are partially determined by the thickness of the ETSOI. Consequently, controlling SOI thickness within a wafer helps prevent undesirable Vt variation.
  • Vt threshold voltage
  • ETSOI extra-thin SOI
  • the SOI thickness requirement may be about 10 nm or thinner.
  • SOI wafers are generated having thicknesses that are significantly thicker than 60 nm, and are then thinned to the ETSOI level.
  • One current wafer thinning technique includes a series of oxidation and etching steps, performed successively, over the course of one week to ten days. This technique, like others not discussed for the purposes of clarity, can be costly and time-intensive.
  • a method of forming an ETSOI layer comprising: providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI); forming a mask over a first one of the plurality of SOI layer regions, the mask exposing at least one of the SOI layer regions distinct from the first region; amorphizing the at least one exposed SOI layer region by implanting the at least one exposed SOI layer region with an implant species; removing the mask after the amorphizing; and removing a portion of the amorphized SOI layer to form a recess.
  • SOI semiconductor-on-insulator
  • STI shallow trench isolation
  • a first aspect of the invention provides a method comprising: providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI); forming a mask over a first one of the plurality of SOI layer regions, the mask exposing at least one of the SOI layer regions distinct from the first region; amorphizing the at least one exposed SOI layer region by implanting the at least one exposed SOI layer region with an implant species; removing the mask after the amorphizing; and removing a portion of the amorphized SOI layer to form a recess.
  • SOI semiconductor-on-insulator
  • STI shallow trench isolation
  • a second aspect of the invention provides a method comprising: providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI); amorphizing the plurality of SOI layer regions by implanting the plurality of SOI layer regions with an implant species; and removing a portion of the amorphized SOI layer region to form at least one recess.
  • SOI semiconductor-on-insulator
  • STI shallow trench isolation
  • a third aspect of the invention provides an extremely-thin semiconductor-on-insulator (ETSOI) layer comprising: a plurality of shallow trench isolations (STI) defining a plurality of distinct semiconductor-on-insulator (SOI) regions, the distinct SOI regions having at least three different thicknesses; at least one recess located within the distinct SOI regions; and an oxide cap over the at least one recess; wherein the at least three different thicknesses include: a first thickness of approximately 60-100 angstroms, a second thickness of approximately 25-60 angstroms, and a third thickness of approximately 5-25 angstroms.
  • STI shallow trench isolations
  • SOI semiconductor-on-insulator
  • FIG. 1 shows a block diagram of a system according to embodiments of the invention.
  • FIGS. 2A-2C illustrate processes in formation of extremely-thin semiconductor-on-insulator (ETSOI) wafers according to embodiments of the invention.
  • ETSOI extremely-thin semiconductor-on-insulator
  • FIGS. 3A-3C illustrate processes in formation of extremely-thin semiconductor-on-insulator (ETSOI) wafers according to embodiments of the invention.
  • ETSOI extremely-thin semiconductor-on-insulator
  • the term “deposition” may include any now known or later developed techniques appropriate for the material to be deposited including but are not limited to, for example: chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), semi-atmosphere CVD (SACVD) and high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), metalorganic CVD (MOCVD), sputtering deposition, ion beam deposition, electron beam deposition, laser assisted deposition, thermal oxidation, thermal nitridation, spin-on methods, physical vapor deposition (PVD), atomic layer deposition (ALD), chemical oxidation, molecular beam epitaxy (MBE), plating, evaporation.
  • CVD chemical vapor deposition
  • LPCVD low-pressure CVD
  • PECVD plasma-enhanced CVD
  • FIG. 1 shows a block diagram of a system 100 according to embodiments of the invention.
  • System 100 includes a measurer 102 , a control system 104 including a processor 106 , an ion implanter system 110 , a polishing/etching system 112 , an annealing system 114 , an oxidizing system 116 and a mask forming system 118 .
  • Measurer 102 may include any now known or later developed system for measuring the topography of a surface, such as a semiconductor structure 5 , and obtaining a semiconductor layer thickness at a plurality of selected points on structure 5 .
  • measurer 102 may include an interferometry-based device such as ellipsometry or a scanning microscope such as a scanning electron microscope (SEM) or atomic force microscope (AFM), etc.
  • Ion implanter system 110 may include any now known or later developed ion implanter system capable of dynamically controlled, across-wafer energy or dose (scan speed) variation, e.g., an infusion gas cluster ion implanter system or a spot beam ion implanter system.
  • Illustrative ion implanter systems that may be capable of such functioning include, but are not limited to: a Quantum X model (scanning ion beam) available from Applied Materials of Santa Clara, Calif., a Varian 900XP available from Varian Semiconductor Equipment of Gloucester, Mass. and an Axcelis Optima HD available from Axcelis Corp. of Beverly, Mass.
  • Quantum X model scanning ion beam
  • Varian 900XP available from Varian Semiconductor Equipment of Gloucester, Mass.
  • Axcelis Optima HD available from Axcelis Corp. of Beverly, Mass.
  • Each of the above-listed ion implanter systems may require some control system modifications to allow control based on a removal thickness data, e.g., in the form of a topographical map, as will be described in greater detail herein.
  • Polishing/etching system 112 may include any now known or later developed system capable of removing layers of solid by chemical mechanical polishing (CMP) and/or reactive ion etching (RIE) carried out for the purpose of, e.g., surface planarization and definition of metal interconnect patterns.
  • CMP chemical mechanical polishing
  • RIE reactive ion etching
  • System 100 also includes a control system 104 for controlling measurer 102 , ion implanter system 110 , polishing/etching system 112 , annealing system 114 , oxidizing system 116 , mask forming system 118 and any interconnecting systems, either directly or through interaction with internal controllers of those components.
  • Control system 104 may include any now known or later developed processor-based machine control system.
  • processor 106 includes a determinator 108 , the function of which will be described in greater detail elsewhere herein.
  • control system 104 may be embodied as a system or computer program product. Accordingly, control system 104 may take the form of an entirely hardware embodiment, an entirely software embodiment (including firmware, resident software, micro-code, etc.) or an embodiment combining software and hardware aspects that may all generally be referred to herein as a “circuit,” “module” or “system.” Furthermore, control system 104 may take the form of a computer program product embodied in any tangible medium of expression having computer-usable program code embodied in the medium.
  • the computer-usable or computer-readable medium may be, for example but not limited to, an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system, apparatus, device, or propagation medium.
  • the computer-readable medium would include the following: an electrical connection having one or more wires, a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), an optical fiber, a portable compact disc read-only memory (CD-ROM), an optical storage device, a transmission media such as those supporting the Internet or an intranet, or a magnetic storage device.
  • RAM random access memory
  • ROM read-only memory
  • EPROM or Flash memory erasable programmable read-only memory
  • CD-ROM compact disc read-only memory
  • CD-ROM compact disc read-only memory
  • a transmission media such as those supporting the Internet or an intranet, or a magnetic storage device.
  • a computer-usable or computer-readable medium could even be paper or another suitable medium upon which the program is printed, as the program can be electronically captured, via, for instance, optical scanning of the paper or other medium, then compiled, interpreted, or otherwise processed in a suitable manner, if necessary, and then stored in a computer memory.
  • a computer-usable or computer-readable medium may be any medium that can contain, store, communicate, propagate, or transport the program for use by or in connection with the instruction execution system, apparatus, or device.
  • the computer-usable medium may include a propagated data signal with the computer-usable program code embodied therewith, either in baseband or as part of a carrier wave.
  • the computer usable program code may be transmitted using any appropriate medium, including but not limited to wireless, wireline, optical fiber cable, RF, etc.
  • Computer program code for carrying out operations of control system 104 may be written in any combination of one or more programming languages, including an object oriented programming language such as Java, Smalltalk, C++ or the like and conventional procedural programming languages, such as the “C” programming language or similar programming languages.
  • the program code may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server.
  • the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider).
  • LAN local area network
  • WAN wide area network
  • Internet Service Provider for example, AT&T, MCI, Sprint, EarthLink, MSN, GTE, etc.
  • control system 104 Operation of control system 104 is described with reference to the other figures that illustrate methods, apparatus (systems) and computer program products according to embodiments of the invention. It will be understood that control of measurer 102 , ion implanter system 110 , polishing/etching system 112 , annealing system 114 , oxidizing system 116 and mask forming system 118 , processor 106 and any other systems or functions necessary for operation of system 100 may be implemented by computer program instructions.
  • These computer program instructions may be provided to a processor (e.g., 106 ) of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified herein.
  • a processor e.g., 106
  • the instructions which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified herein.
  • These computer program instructions may also be stored in a computer-readable medium that can direct a computer or other programmable data processing apparatus to function in a particular manner, such that the instructions stored in the computer-readable medium produce an article of manufacture including instruction means which implement the function/act specified in the flowchart and/or block diagram block or blocks.
  • the computer program instructions may also be loaded onto a computer or other programmable data processing apparatus to cause a series of operational steps to be performed on the computer or other programmable apparatus to produce a computer implemented process such that the instructions which execute on the computer or other programmable apparatus provide processes for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks.
  • a semiconductor structure 5 is shown including a substrate layer 10 , a buried insulator layer 20 , and a semiconductor-on-insulator (SOI) layer 30 .
  • Semiconductor structure 5 is further shown including at least one shallow trench isolation (STI) 40 .
  • STI shallow trench isolation
  • Buried insulator layer 20 may include any dielectric material typically used in a semiconductor structure, e.g., a silicon dioxide. It is understood that semiconductor structure 5 includes at least one STI 40 , which may be formed according to known methods.
  • STI 40 and SOI layer 30 may be formed by etching trenches (not shown) in buried insulator layer 20 , filling those trenches with SOI layer 30 , and using chemical-mechanical planarization (CMP) to remove excess SOI layer 30 .
  • CMP chemical-mechanical planarization
  • STI 40 may be used to prevent electrical current leakage between adjacent semiconductor components.
  • plurality of SOI layer regions 30 are separated by at least one STI 40 .
  • measurer 102 measures a semiconductor layer thickness at a plurality of selected points on a semiconductor structure 5 .
  • the number of selected points (or granularity) at which the measurements are made can be user defined, e.g., depending on the size of the wafer or the thickness precision required.
  • the thickness of SOI layer region 30 can be determined by measurer 102 using any known technique (e.g., ellipsometry, interferometry, microscopic scanning, etc.) and related computational functions (e.g., determining thickness of a layer from a known reference point or base line).
  • the reference point may be the interface between buried insulator layer 20 and SOI layer region 30 to a top surface of SOI layer region 30 measured along a line that is substantially orthogonal to the interface.
  • determinator 108 determines a removal thickness to be removed at each of the plurality of selected points (e.g., within SOI layer region 30 ) such that removal of the removal thickness creates at least one recess.
  • determinator 108 may express the removal thicknesses across semiconductor structure 5 as a topographical map (not shown), as is known in the art.
  • ion implanter system 110 is used to implant semiconductor structure 5 with an implant species 25 .
  • Ion implanter system 110 may implant species 25 at each of a plurality of selected points with at least one dose level and energy level based upon the desired removal thickness for that point.
  • ion implanter system 110 may require some modifications via its control system in order for it to be controlled based on the removal thickness; however, such modifications are well within the purview of a skilled artisan. For example, modification of a wafer scanning controller or a beam or spot scanning controller may be required for controlling dose, and modifications of a source extraction voltage controller, an analyzer magnet controller, a beamline optics controller, etc., may be required for controlling energy.
  • Other equipment may also need to be added, e.g., an ion deceleration electrode adjacent to an end of the beamline for controlling ion energy.
  • Implant species 25 may include any element(s) that increases the polishing/etching rate of the semiconductor layer.
  • Implant species 25 may include, for example, one or more of silicon (Si), germanium (Ge), xenon (Xe) and argon (Ar).
  • the dose level and/or energy level may be dynamically varied during the scanning of an ion beam (not shown) across semiconductor structure 5 . In this fashion, the dose level and/or energy level is made higher for points at which the removal thickness is greater, and is made lower at points at which the removal thickness is lower. Any suitable implantation dose and implantation energy levels may be used within the scope of the invention.
  • the ion implanter system 110 may use an implant energy within a range from about 2 kilo-electron volts (KeV) to about 800 KeV, with a preferred range being from about 10 KeV to about 200 KeV, and a most preferred range being from about 30 KeV to about 60 KeV.
  • the dose of the amorphizing ions being implanted may vary depending on the type of amorphized ion being implanted.
  • the dose of the implanted amorphizing ion is from about 1 ⁇ 10 13 atoms/cm 2 to about 5 ⁇ 10 15 atoms/cm 2 , with a dose from about 5 ⁇ 10 13 atoms/cm 2 to about 1 ⁇ 10 15 atoms/cm 2 being even more typical.
  • the implant species is Xe and is implanted with a dose of approximately 3 ⁇ 10 14 atoms/cm 2 and an implant energy of approximately 20-30 KeV. More specifically, Xe may be implanted at an implant energy of approximately 25 KeV.
  • the implant species is Si, and the implant energy may be approximately 10-20 KeV. More specifically, Si may be implanted at an implant energy of approximately 16.5 KeV.
  • the implant species is Ge and is implanted with an implant energy of approximately 20-30 KeV. More specifically, Ge may be implanted at an implant energy of approximately 26 KeV.
  • implanting of semiconductor structure 5 may cause SOI layer regions 30 to amorphize.
  • the term “amorphize” refers to the transformation of a crystalline structure into a non-crystalline structure. For example, during amorphization, portions of SOI layer regions 30 are transformed from a crystalline solid state into a non-crystalline solid state. In one embodiment, using the above-described techniques, SOI layer region 30 is amorphized such that its defect concentration is greater than approximately 10%.
  • the amorphous depth may reach approximately 10-70 nanometers, and preferably, approximately 50-65 nanometers (where, e.g., a starting wafer thickness may be approximately 80-90 nanometers), allowing for amorphization of a portion of SOI layer region 30 and aiding in formation of one or more recesses.
  • polishing/etching system 112 may remove a portion of amorphized SOI layer region 30 using, for example, chemical-mechanical planarization (CMP).
  • CMP may include, for example, use of an abrasive/corrosive chemical slurry (colloid) in conjunction with a polishing pad to remove material from a semiconductor wafer.
  • CMP of SOI layer region 30 may be enhanced by its amorphous state, allowing for effective formation of recesses 35 .
  • polishing/etching system 112 may remove a portion of amorphized SOI layer region 30 using reactive ion etching (RIE).
  • RIE reactive ion etching
  • RIE uses chemically reactive plasma to remove material deposited on semiconductor wafers.
  • RIE of SOI layer region 30 may be enhanced by its amorphous state, allowing for effective formation of recesses 35 .
  • annealing system 114 may anneal semiconductor structure 5 to heal damage caused by subsequent processing steps.
  • Annealing system 114 may be any system capable of providing a heat treatment causing changes (e.g., diffusion of atoms within a solid) in material properties such as strength and hardness.
  • annealing may be performed by any conventional means, e.g., baking of semiconductor structure 5 .
  • oxidizing system 116 may form an oxide cap 50 over remaining portions of SOI layer region 30 within recess 35 .
  • oxide cap 50 may be formed by oxidation of SOI layer region 30 .
  • a semiconductor structure 15 is shown including a substrate layer 10 , a buried insulator layer 20 , and semiconductor-on-insulator (SOI) layer regions 30 -A, 30 -B, 30 -C.
  • Semiconductor structure 15 is further shown including at least one shallow trench isolation (STI) 40 .
  • Semiconductor structure 15 may be formed substantially similarly to semiconductor structure 5 , shown and described with reference to FIG. 2A .
  • the depiction of semiconductor structure 15 in FIG. 3A includes three (3) SOI layer regions 30 -A, 30 -B and 30 -C (similar to SOI layer regions 30 of FIG. 2A ), however, it is understood that semiconductor structure 15 and semiconductor structure 5 ( FIG. 2A ) may include any number of SOI layer regions 30 .
  • measurer 102 measures a semiconductor layer thickness at a plurality of selected points on a semiconductor structure 15 , as similarly described with reference to FIG. 2A .
  • mask forming system 119 forms a mask 45 over semiconductor structure 15 .
  • Mask 45 may be formed of, for example, any mask material capable of protecting underlying materials from ion implantation or other amorphization-inducing processes.
  • Mask forming system 119 may form mask 45 using any techniques described herein or know in the art, e.g., via deposition. In any case, mask 45 may be selectively formed over a first one of a plurality of SOI layer regions 30 -A, while exposing at least one SOI layer region 30 -C and 30 -B (partially).
  • exposed portions of SOI layer regions 30 -C and 30 -B are implanted with ion species 25 (via ion implanter system 110 ) to cause amorphization with those SOI layer regions.
  • Ion implanter system 110 may implant ion species 25 substantially similarly as described with reference to FIG. 2B , and in this case, may implant only in those portions of semiconductor structure 15 not protected by mask 45 . This may cause differences in amorphization between SOI layer regions 30 -A, 30 -B, 30 -C and within SOI layer regions ( 30 -B).
  • semiconductor structure 15 is shown after removal of portions of amorphized SOI layer regions 30 -B, 30 -C to form recesses 35 , removal of mask 45 and formation of oxide cap 50 .
  • polishing/etching system 112 may be used to remove mask 45 , if desired. Removal of portions of amorphized SOI layer regions 30 -B, 30 -C to form recesses 35 may be performed substantially similarly as described with reference to FIG. 2C (e.g., CMP, RIE, etc. via polishing/etching system 112 ).
  • portions of SOI layer regions which have not been amorphized may be removed dissimilarly to those portions that have been amorphized ( 30 -C, portions of 30 -B).
  • SOI layer region 30 -A may not be amorphized, and only a nominal portion of SOI layer region 30 -A may be removed during a CMP and/or RIE process.
  • removal of portions of amorphized SOI layer regions 30 -B, 30 -C may be performed using RIE.
  • RIE may be performed, for example, before removal of mask 45 to allow for cleaning of discontinuities between edges 39 within SOI layer region 30 -B.
  • Polishing/etching system 112 may remove mask 45 by any conventional means, which may vary depending upon the material properties of mask 45 .
  • semiconductor structure 15 may be annealed (via annealing system 114 ) substantially similarly as described with reference to FIG. 2C . After annealing semiconductor structure 15 , oxidizing system 116 may form an oxide cap 50 over one or more of SOI layer regions 30 -A, 30 -B, 30 -C.
  • SOI layer regions 30 -A, 30 -B, 30 -C may each have an average thickness distinct from one another. That is, using mask 45 , SOI layer regions 30 -A, 30 -B and 30 -C may be exposed to different amounts of ion implantation, and consequently, differing degrees of amorphization. These differing degrees of amorphization may result in different sized recesses 35 having different profiles.
  • region 30 -A may have a thickness of approximately 60-100 angstroms
  • region 30 -B may have a thickness of approximately 25-60 angstroms
  • region 30 -C may have a thickness of approximately 5-25 angstroms.
  • foundations of a raised source/drain region may be formed using the techniques described herein.
  • raised source/drain region (indicated by edges 39 ) may have a thickness greater than approximately 25-60 angstroms. These raised source/drain regions may aid in the subsequent formation of a variety of semiconductor devices known in the art.
  • the methods and structures as described above are used in the fabrication of semiconductor chips.
  • the resulting semiconductor chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.

Abstract

Solutions for forming an extremely thin semiconductor-on-insulator (ETSOI) layer. In one embodiment, a method includes providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI); amorphizing the plurality of SOI layer regions by implanting the plurality of SOI layer regions with an implant species; and removing a portion of the amorphized SOI layer region to form at least one recess in the amorphized SOI layer region.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of co-pending U.S. patent application Ser. No. 12/603,737, filed on Oct. 22, 2009, which received a Notice of Allowance on Oc. 5, 2011.
  • BACKGROUND OF THE INVENTION
  • The subject matter disclosed herein relates to solutions for thinning semiconductor-on-insulator (SOI) layers in a semiconductor device. Specifically, the subject matter disclosed herein relates to solutions for forming extremely-thin semiconductor-on-insulator (ETSOI) wafers including at least one recess.
  • Complementary metal-oxide semiconductor (CMOS) devices built on an extremely (see also, extra) thin semiconductor-on-insulator (SOI) substrate have been one of the viable options for continued scaling of CMOS technology to the 22 nm node and beyond. Device characteristics such as threshold voltage (Vt) of an extra-thin SOI (ETSOI) device are partially determined by the thickness of the ETSOI. Consequently, controlling SOI thickness within a wafer helps prevent undesirable Vt variation. For the 22 nm node and beyond, the SOI thickness requirement may be about 10 nm or thinner. Currently, SOI wafers are generated having thicknesses that are significantly thicker than 60 nm, and are then thinned to the ETSOI level. One current wafer thinning technique includes a series of oxidation and etching steps, performed successively, over the course of one week to ten days. This technique, like others not discussed for the purposes of clarity, can be costly and time-intensive.
  • BRIEF DESCRIPTION OF THE INVENTION
  • Solutions for forming an extremely thin semiconductor-on-insulator (ETSOI) layer in a semiconductor device are disclosed. In one aspect, a method of forming an ETSOI layer is disclosed, the method comprising: providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI); forming a mask over a first one of the plurality of SOI layer regions, the mask exposing at least one of the SOI layer regions distinct from the first region; amorphizing the at least one exposed SOI layer region by implanting the at least one exposed SOI layer region with an implant species; removing the mask after the amorphizing; and removing a portion of the amorphized SOI layer to form a recess.
  • A first aspect of the invention provides a method comprising: providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI); forming a mask over a first one of the plurality of SOI layer regions, the mask exposing at least one of the SOI layer regions distinct from the first region; amorphizing the at least one exposed SOI layer region by implanting the at least one exposed SOI layer region with an implant species; removing the mask after the amorphizing; and removing a portion of the amorphized SOI layer to form a recess.
  • A second aspect of the invention provides a method comprising: providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI); amorphizing the plurality of SOI layer regions by implanting the plurality of SOI layer regions with an implant species; and removing a portion of the amorphized SOI layer region to form at least one recess.
  • A third aspect of the invention provides an extremely-thin semiconductor-on-insulator (ETSOI) layer comprising: a plurality of shallow trench isolations (STI) defining a plurality of distinct semiconductor-on-insulator (SOI) regions, the distinct SOI regions having at least three different thicknesses; at least one recess located within the distinct SOI regions; and an oxide cap over the at least one recess; wherein the at least three different thicknesses include: a first thickness of approximately 60-100 angstroms, a second thickness of approximately 25-60 angstroms, and a third thickness of approximately 5-25 angstroms.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features of this invention will be more readily understood from the following detailed description of the various aspects of the invention taken in conjunction with the accompanying drawings that depict various embodiments of the invention, in which:
  • FIG. 1 shows a block diagram of a system according to embodiments of the invention.
  • FIGS. 2A-2C illustrate processes in formation of extremely-thin semiconductor-on-insulator (ETSOI) wafers according to embodiments of the invention.
  • FIGS. 3A-3C illustrate processes in formation of extremely-thin semiconductor-on-insulator (ETSOI) wafers according to embodiments of the invention.
  • It is noted that the drawings of the invention are not to scale. The drawings are intended to depict only typical aspects of the invention, and therefore should not be considered as limiting the scope of the invention. In the drawings, like numbering represents like elements between the drawings.
  • DETAILED DESCRIPTION OF THE INVENTION
  • As used herein, the term “deposition” may include any now known or later developed techniques appropriate for the material to be deposited including but are not limited to, for example: chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), semi-atmosphere CVD (SACVD) and high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), metalorganic CVD (MOCVD), sputtering deposition, ion beam deposition, electron beam deposition, laser assisted deposition, thermal oxidation, thermal nitridation, spin-on methods, physical vapor deposition (PVD), atomic layer deposition (ALD), chemical oxidation, molecular beam epitaxy (MBE), plating, evaporation.
  • Referring to the drawings, FIG. 1 shows a block diagram of a system 100 according to embodiments of the invention. System 100 includes a measurer 102, a control system 104 including a processor 106, an ion implanter system 110, a polishing/etching system 112, an annealing system 114, an oxidizing system 116 and a mask forming system 118.
  • Measurer 102 may include any now known or later developed system for measuring the topography of a surface, such as a semiconductor structure 5, and obtaining a semiconductor layer thickness at a plurality of selected points on structure 5. For example, measurer 102 may include an interferometry-based device such as ellipsometry or a scanning microscope such as a scanning electron microscope (SEM) or atomic force microscope (AFM), etc. Ion implanter system 110 may include any now known or later developed ion implanter system capable of dynamically controlled, across-wafer energy or dose (scan speed) variation, e.g., an infusion gas cluster ion implanter system or a spot beam ion implanter system. Illustrative ion implanter systems that may be capable of such functioning include, but are not limited to: a Quantum X model (scanning ion beam) available from Applied Materials of Santa Clara, Calif., a Varian 900XP available from Varian Semiconductor Equipment of Gloucester, Mass. and an Axcelis Optima HD available from Axcelis Corp. of Beverly, Mass. Each of the above-listed ion implanter systems may require some control system modifications to allow control based on a removal thickness data, e.g., in the form of a topographical map, as will be described in greater detail herein. Polishing/etching system 112 may include any now known or later developed system capable of removing layers of solid by chemical mechanical polishing (CMP) and/or reactive ion etching (RIE) carried out for the purpose of, e.g., surface planarization and definition of metal interconnect patterns.
  • System 100 also includes a control system 104 for controlling measurer 102, ion implanter system 110, polishing/etching system 112, annealing system 114, oxidizing system 116, mask forming system 118 and any interconnecting systems, either directly or through interaction with internal controllers of those components. Control system 104 may include any now known or later developed processor-based machine control system. In addition, processor 106 includes a determinator 108, the function of which will be described in greater detail elsewhere herein.
  • As will be appreciated by one skilled in the art, control system 104 may be embodied as a system or computer program product. Accordingly, control system 104 may take the form of an entirely hardware embodiment, an entirely software embodiment (including firmware, resident software, micro-code, etc.) or an embodiment combining software and hardware aspects that may all generally be referred to herein as a “circuit,” “module” or “system.” Furthermore, control system 104 may take the form of a computer program product embodied in any tangible medium of expression having computer-usable program code embodied in the medium.
  • Any combination of one or more computer usable or computer readable medium(s) may be utilized. The computer-usable or computer-readable medium may be, for example but not limited to, an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system, apparatus, device, or propagation medium. More specific examples (a non-exhaustive list) of the computer-readable medium would include the following: an electrical connection having one or more wires, a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), an optical fiber, a portable compact disc read-only memory (CD-ROM), an optical storage device, a transmission media such as those supporting the Internet or an intranet, or a magnetic storage device. Note that the computer-usable or computer-readable medium could even be paper or another suitable medium upon which the program is printed, as the program can be electronically captured, via, for instance, optical scanning of the paper or other medium, then compiled, interpreted, or otherwise processed in a suitable manner, if necessary, and then stored in a computer memory. In the context of this document, a computer-usable or computer-readable medium may be any medium that can contain, store, communicate, propagate, or transport the program for use by or in connection with the instruction execution system, apparatus, or device. The computer-usable medium may include a propagated data signal with the computer-usable program code embodied therewith, either in baseband or as part of a carrier wave. The computer usable program code may be transmitted using any appropriate medium, including but not limited to wireless, wireline, optical fiber cable, RF, etc.
  • Computer program code for carrying out operations of control system 104 may be written in any combination of one or more programming languages, including an object oriented programming language such as Java, Smalltalk, C++ or the like and conventional procedural programming languages, such as the “C” programming language or similar programming languages. The program code may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server. In the latter scenario, the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider).
  • Operation of control system 104 is described with reference to the other figures that illustrate methods, apparatus (systems) and computer program products according to embodiments of the invention. It will be understood that control of measurer 102, ion implanter system 110, polishing/etching system 112, annealing system 114, oxidizing system 116 and mask forming system 118, processor 106 and any other systems or functions necessary for operation of system 100 may be implemented by computer program instructions. These computer program instructions may be provided to a processor (e.g., 106) of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified herein.
  • These computer program instructions may also be stored in a computer-readable medium that can direct a computer or other programmable data processing apparatus to function in a particular manner, such that the instructions stored in the computer-readable medium produce an article of manufacture including instruction means which implement the function/act specified in the flowchart and/or block diagram block or blocks. The computer program instructions may also be loaded onto a computer or other programmable data processing apparatus to cause a series of operational steps to be performed on the computer or other programmable apparatus to produce a computer implemented process such that the instructions which execute on the computer or other programmable apparatus provide processes for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks.
  • Turning to FIG. 2A, a semiconductor structure 5 is shown including a substrate layer 10, a buried insulator layer 20, and a semiconductor-on-insulator (SOI) layer 30. Semiconductor structure 5 is further shown including at least one shallow trench isolation (STI) 40. As is understood in the art of semiconductor manufacturing, substrate layer 10 and SOI layer 30 may include, but are not limited to silicon, germanium, silicon germanium, silicon carbide, and those consisting essentially of one or more III-V compound semiconductors having a composition defined by the formula AlX1GaX2InX3AsY1PY2NY3SbY4, where X1, X2, X3, Y1, Y2, Y3, and Y4 represent relative proportions, each greater than or equal to zero and X1+X2+X3+Y1+Y2+Y3+Y4=1 (1 being the total relative mole quantity). Other suitable materials include II-VI compound semiconductors having a composition ZnA1CdA2SeB1TeB2, where A1, A2, B1, and B2 are relative proportions each greater than or equal to zero and A1+A2+B1+B2=1 (1 being a total mole quantity). Furthermore, a portion or entire layer may be strained. Buried insulator layer 20 may include any dielectric material typically used in a semiconductor structure, e.g., a silicon dioxide. It is understood that semiconductor structure 5 includes at least one STI 40, which may be formed according to known methods. For example, STI 40 and SOI layer 30 may be formed by etching trenches (not shown) in buried insulator layer 20, filling those trenches with SOI layer 30, and using chemical-mechanical planarization (CMP) to remove excess SOI layer 30. As is known in the art of semiconductor manufacturing, STI 40 may be used to prevent electrical current leakage between adjacent semiconductor components. In any case, as shown in FIG. 2A, plurality of SOI layer regions 30 are separated by at least one STI 40.
  • In one embodiment of the invention, in a preliminary processing step, measurer 102 measures a semiconductor layer thickness at a plurality of selected points on a semiconductor structure 5. The number of selected points (or granularity) at which the measurements are made can be user defined, e.g., depending on the size of the wafer or the thickness precision required. The thickness of SOI layer region 30 can be determined by measurer 102 using any known technique (e.g., ellipsometry, interferometry, microscopic scanning, etc.) and related computational functions (e.g., determining thickness of a layer from a known reference point or base line). In one embodiment, the reference point may be the interface between buried insulator layer 20 and SOI layer region 30 to a top surface of SOI layer region 30 measured along a line that is substantially orthogonal to the interface.
  • In a second preliminary process, determinator 108 (FIG. 1) determines a removal thickness to be removed at each of the plurality of selected points (e.g., within SOI layer region 30) such that removal of the removal thickness creates at least one recess. In one embodiment, determinator 108 may express the removal thicknesses across semiconductor structure 5 as a topographical map (not shown), as is known in the art.
  • Turning to FIG. 2B, in a first processing step, ion implanter system 110 is used to implant semiconductor structure 5 with an implant species 25. Ion implanter system 110 may implant species 25 at each of a plurality of selected points with at least one dose level and energy level based upon the desired removal thickness for that point. As is understood, ion implanter system 110 may require some modifications via its control system in order for it to be controlled based on the removal thickness; however, such modifications are well within the purview of a skilled artisan. For example, modification of a wafer scanning controller or a beam or spot scanning controller may be required for controlling dose, and modifications of a source extraction voltage controller, an analyzer magnet controller, a beamline optics controller, etc., may be required for controlling energy. Other equipment may also need to be added, e.g., an ion deceleration electrode adjacent to an end of the beamline for controlling ion energy.
  • Implant species 25 may include any element(s) that increases the polishing/etching rate of the semiconductor layer. Implant species 25 may include, for example, one or more of silicon (Si), germanium (Ge), xenon (Xe) and argon (Ar). The dose level and/or energy level may be dynamically varied during the scanning of an ion beam (not shown) across semiconductor structure 5. In this fashion, the dose level and/or energy level is made higher for points at which the removal thickness is greater, and is made lower at points at which the removal thickness is lower. Any suitable implantation dose and implantation energy levels may be used within the scope of the invention. For example, depending on the implanted ions and the implantation angle, the ion implanter system 110 may use an implant energy within a range from about 2 kilo-electron volts (KeV) to about 800 KeV, with a preferred range being from about 10 KeV to about 200 KeV, and a most preferred range being from about 30 KeV to about 60 KeV. The dose of the amorphizing ions being implanted may vary depending on the type of amorphized ion being implanted. Typically, the dose of the implanted amorphizing ion is from about 1×1013 atoms/cm2 to about 5×1015 atoms/cm2, with a dose from about 5×1013 atoms/cm2 to about 1×1015 atoms/cm2 being even more typical. In one embodiment, the implant species is Xe and is implanted with a dose of approximately 3×1014 atoms/cm2 and an implant energy of approximately 20-30 KeV. More specifically, Xe may be implanted at an implant energy of approximately 25 KeV. In another embodiment, the implant species is Si, and the implant energy may be approximately 10-20 KeV. More specifically, Si may be implanted at an implant energy of approximately 16.5 KeV. In another embodiment, the implant species is Ge and is implanted with an implant energy of approximately 20-30 KeV. More specifically, Ge may be implanted at an implant energy of approximately 26 KeV. In any case, implanting of semiconductor structure 5 may cause SOI layer regions 30 to amorphize. As used herein, the term “amorphize” refers to the transformation of a crystalline structure into a non-crystalline structure. For example, during amorphization, portions of SOI layer regions 30 are transformed from a crystalline solid state into a non-crystalline solid state. In one embodiment, using the above-described techniques, SOI layer region 30 is amorphized such that its defect concentration is greater than approximately 10%. In any case, the amorphous depth may reach approximately 10-70 nanometers, and preferably, approximately 50-65 nanometers (where, e.g., a starting wafer thickness may be approximately 80-90 nanometers), allowing for amorphization of a portion of SOI layer region 30 and aiding in formation of one or more recesses.
  • Turning to FIG. 2C, semiconductor structure 5 is shown after polishing/etching system 112 has removed a portion of the amorphized SOI layer region 30 and formed at least one recess 35. Further shown in FIG. 2C is an oxide cap 50 formed over the SOI layer region 30 in recess 35. Polishing/etching system 112 may remove a portion of amorphized SOI layer region 30 using, for example, chemical-mechanical planarization (CMP). As is known in the art of semiconductor manufacturing, CMP may include, for example, use of an abrasive/corrosive chemical slurry (colloid) in conjunction with a polishing pad to remove material from a semiconductor wafer. In this case, CMP of SOI layer region 30 may be enhanced by its amorphous state, allowing for effective formation of recesses 35. In another embodiment, polishing/etching system 112 may remove a portion of amorphized SOI layer region 30 using reactive ion etching (RIE). As is known in the art of semiconductor fabrication, RIE uses chemically reactive plasma to remove material deposited on semiconductor wafers. In this case, RIE of SOI layer region 30 may be enhanced by its amorphous state, allowing for effective formation of recesses 35.
  • It is understood that CMP and/or RIE processes may be used in removing a portion of amorphized SOI layer region 30 to form recess 35. It is further understood that these processes may remove portions of STI 40, depending upon their degree of selectivity. Additionally, it is understood that either or both of these processes may cause damage to remaining portions (those not removed) of SOI layer region 30 and/or STI 40. Therefore, in one embodiment, after removing amorphized portions of SOI layer region 30, annealing system 114 may anneal semiconductor structure 5 to heal damage caused by subsequent processing steps. Annealing system 114 may be any system capable of providing a heat treatment causing changes (e.g., diffusion of atoms within a solid) in material properties such as strength and hardness. In this case, annealing may be performed by any conventional means, e.g., baking of semiconductor structure 5. After annealing, oxidizing system 116 may form an oxide cap 50 over remaining portions of SOI layer region 30 within recess 35. As is known in the art of semiconductor manufacturing, oxide cap 50 may be formed by oxidation of SOI layer region 30. Specific embodiments of annealing system 114 and oxidizing system 116, among others, will be apparent to those skilled in the art and have been omitted for clarity.
  • Turning to FIG. 3A, a semiconductor structure 15 is shown including a substrate layer 10, a buried insulator layer 20, and semiconductor-on-insulator (SOI) layer regions 30-A, 30-B, 30-C. Semiconductor structure 15 is further shown including at least one shallow trench isolation (STI) 40. Semiconductor structure 15 may be formed substantially similarly to semiconductor structure 5, shown and described with reference to FIG. 2A. The depiction of semiconductor structure 15 in FIG. 3A includes three (3) SOI layer regions 30-A, 30-B and 30-C (similar to SOI layer regions 30 of FIG. 2A), however, it is understood that semiconductor structure 15 and semiconductor structure 5 (FIG. 2A) may include any number of SOI layer regions 30. In a preliminary processing step, measurer 102 measures a semiconductor layer thickness at a plurality of selected points on a semiconductor structure 15, as similarly described with reference to FIG. 2A.
  • Turning to FIG. 3B, mask forming system 119 forms a mask 45 over semiconductor structure 15. Mask 45 may be formed of, for example, any mask material capable of protecting underlying materials from ion implantation or other amorphization-inducing processes. Mask forming system 119 may form mask 45 using any techniques described herein or know in the art, e.g., via deposition. In any case, mask 45 may be selectively formed over a first one of a plurality of SOI layer regions 30-A, while exposing at least one SOI layer region 30-C and 30-B (partially). After forming of mask 45, exposed portions of SOI layer regions 30-C and 30-B are implanted with ion species 25 (via ion implanter system 110) to cause amorphization with those SOI layer regions. Ion implanter system 110 may implant ion species 25 substantially similarly as described with reference to FIG. 2B, and in this case, may implant only in those portions of semiconductor structure 15 not protected by mask 45. This may cause differences in amorphization between SOI layer regions 30-A, 30-B, 30-C and within SOI layer regions (30-B).
  • Turning to FIG. 3C, semiconductor structure 15 is shown after removal of portions of amorphized SOI layer regions 30-B, 30-C to form recesses 35, removal of mask 45 and formation of oxide cap 50. These processes may be performed using substantially similar systems as those described with reference to FIG. 2C. Further, polishing/etching system 112 may be used to remove mask 45, if desired. Removal of portions of amorphized SOI layer regions 30-B, 30-C to form recesses 35 may be performed substantially similarly as described with reference to FIG. 2C (e.g., CMP, RIE, etc. via polishing/etching system 112). It is understood that portions of SOI layer regions which have not been amorphized (30-A, portions of 30-B) may be removed dissimilarly to those portions that have been amorphized (30-C, portions of 30-B). For example, in one embodiment, SOI layer region 30-A may not be amorphized, and only a nominal portion of SOI layer region 30-A may be removed during a CMP and/or RIE process.
  • In one embodiment, removal of portions of amorphized SOI layer regions 30-B, 30-C may be performed using RIE. RIE may be performed, for example, before removal of mask 45 to allow for cleaning of discontinuities between edges 39 within SOI layer region 30-B. However, RIE may be used after removal of mask 45 as well. Polishing/etching system 112 may remove mask 45 by any conventional means, which may vary depending upon the material properties of mask 45. In any case, after removal of mask 45 and portions of SOI layer regions 30-A, 30-B, 30-C that have been amorphized, semiconductor structure 15 may be annealed (via annealing system 114) substantially similarly as described with reference to FIG. 2C. After annealing semiconductor structure 15, oxidizing system 116 may form an oxide cap 50 over one or more of SOI layer regions 30-A, 30-B, 30-C.
  • In any case, SOI layer regions 30-A, 30-B, 30-C may each have an average thickness distinct from one another. That is, using mask 45, SOI layer regions 30-A, 30-B and 30-C may be exposed to different amounts of ion implantation, and consequently, differing degrees of amorphization. These differing degrees of amorphization may result in different sized recesses 35 having different profiles. In one embodiment, region 30-A may have a thickness of approximately 60-100 angstroms, region 30-B may have a thickness of approximately 25-60 angstroms, and region 30-C may have a thickness of approximately 5-25 angstroms. In one embodiment, as shown with reference to SOI layer region 30-B, foundations of a raised source/drain region (regions of non-uniform thickness) may be formed using the techniques described herein. In this case, raised source/drain region (indicated by edges 39) may have a thickness greater than approximately 25-60 angstroms. These raised source/drain regions may aid in the subsequent formation of a variety of semiconductor devices known in the art.
  • The methods and structures as described above are used in the fabrication of semiconductor chips. The resulting semiconductor chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • The foregoing drawings show some of the processing associated according to several embodiments of this disclosure. In this regard, each drawing or block within a flow diagram of the drawings represents a process associated with embodiments of the method described. It should also be noted that in some alternative implementations, the acts noted in the drawings or blocks may occur out of the order noted in the figure or, for example, may in fact be executed substantially concurrently or in the reverse order, depending upon the act involved. Also, one of ordinary skill in the art will recognize that additional blocks that describe the processing may be added.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the disclosure. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present disclosure has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the disclosure in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the disclosure. The embodiments were chosen and described in order to best explain the principles of the disclosure and the practical application, and to enable others of ordinary skill in the art to understand the disclosure for various embodiments with various modifications as are suited to the particular use contemplated.

Claims (12)

1. A method comprising:
providing a wafer including a plurality of semiconductor-on-insulator (SOI) layer regions separated by at least one shallow trench isolation (STI);
forming a mask over a first one of the plurality of SOI layer regions, the mask exposing at least one of the SOI layer regions distinct from the first region;
amorphizing the at least one exposed SOI layer region by implanting the at least one exposed SOI layer region with an implant species;
removing the mask after the amorphizing; and
removing a portion of the amorphized SOI layer to form a recess in the amorphized SOI layer.
2. The method of claim 1, wherein the wafer further includes a plurality of STIs, wherein the recess is formed between the plurality of STIs.
3. The method of claim 1, wherein the implant species includes at least one of silicon, germanium and xenon.
4. The method of claim 1, wherein the implanting is performed at an energy level less than approximately 60 KeV, and wherein the implanting reaches a depth of the SOI wafer of approximately 400-500 Angstroms.
5. The method of claim 1, wherein the implant species is xenon, and wherein the implant energy is approximately 20-30 KeV.
6. The method of claim 1, wherein the removing of the portion of the amorphized SOI layer includes chemical-mechanical polishing (CMP).
7. The method of claim 1, wherein the removing of the portion of the amorphized SOI layer includes reactive ion etching (RIE).
8. The method of claim 1, wherein the plurality of SOI layer regions includes at least three distinct SOI layer regions having different thicknesses.
9. The method of claim 8, wherein the three distinct SOI layer regions include a first region having a substantially uniform thickness, a second region having a substantially non-uniform thickness, and a third region having a substantially uniform thickness less than the first region.
10. The method of claim 1, wherein the first one of the plurality of SOI layer regions has a thickness greater than the exposed SOI layer region.
11. An extra-thin semiconductor-on-insulator (ETSOI) layer comprising:
a plurality of shallow trench isolations (STI) defining a plurality of distinct semiconductor-on-insulator (SOI) regions, the distinct SOI regions having at least three different thicknesses;
at least one recess located within the distinct SOI regions; and
an oxide cap over the at least one recess,
wherein the at least three different thicknesses include: a first thickness of approximately 60-100 angstroms, a second thickness of approximately 25-60 angstroms, and a third thickness of approximately 5-25 angstroms.
12. The ETSOI layer of claim 11, further comprising a raised source/drain region located between at least two of the plurality of STI, the raised source/drain region located within the region having a thickness of approximately 25-60 angstroms.
US13/342,423 2009-10-22 2012-01-03 Forming an extremely thin semiconductor-on-insulator (etsoi) layer Abandoned US20120098087A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/342,423 US20120098087A1 (en) 2009-10-22 2012-01-03 Forming an extremely thin semiconductor-on-insulator (etsoi) layer
US13/835,463 US9263517B2 (en) 2009-10-22 2013-03-15 Extremely thin semiconductor-on-insulator (ETSOI) layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/603,737 US8110483B2 (en) 2009-10-22 2009-10-22 Forming an extremely thin semiconductor-on-insulator (ETSOI) layer
US13/342,423 US20120098087A1 (en) 2009-10-22 2012-01-03 Forming an extremely thin semiconductor-on-insulator (etsoi) layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/603,737 Division US8110483B2 (en) 2009-10-22 2009-10-22 Forming an extremely thin semiconductor-on-insulator (ETSOI) layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/835,463 Division US9263517B2 (en) 2009-10-22 2013-03-15 Extremely thin semiconductor-on-insulator (ETSOI) layer

Publications (1)

Publication Number Publication Date
US20120098087A1 true US20120098087A1 (en) 2012-04-26

Family

ID=43897656

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/603,737 Expired - Fee Related US8110483B2 (en) 2009-10-22 2009-10-22 Forming an extremely thin semiconductor-on-insulator (ETSOI) layer
US13/342,423 Abandoned US20120098087A1 (en) 2009-10-22 2012-01-03 Forming an extremely thin semiconductor-on-insulator (etsoi) layer
US13/835,463 Expired - Fee Related US9263517B2 (en) 2009-10-22 2013-03-15 Extremely thin semiconductor-on-insulator (ETSOI) layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/603,737 Expired - Fee Related US8110483B2 (en) 2009-10-22 2009-10-22 Forming an extremely thin semiconductor-on-insulator (ETSOI) layer

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/835,463 Expired - Fee Related US9263517B2 (en) 2009-10-22 2013-03-15 Extremely thin semiconductor-on-insulator (ETSOI) layer

Country Status (1)

Country Link
US (3) US8110483B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110095393A1 (en) * 2009-10-22 2011-04-28 International Business Machines Corporation Creating extremely thin semiconductor-on-insulator (etsoi) having substantially uniform thickness
US20120276658A1 (en) * 2011-04-12 2012-11-01 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US8940554B2 (en) 2009-10-22 2015-01-27 International Business Machines Corporation Method of creating an extremely thin semiconductor-on-insulator (ETSOI) layer having a uniform thickness
US9263517B2 (en) 2009-10-22 2016-02-16 Globalfoundries. Inc. Extremely thin semiconductor-on-insulator (ETSOI) layer

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7803690B2 (en) * 2006-06-23 2010-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy silicon on insulator (ESOI)
KR20140071353A (en) * 2011-08-01 2014-06-11 바스프 에스이 A process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of elemental germanium and/or si_1 xgex material in the presence of a cmp composition having a ph value of 3.0 to 5.5
US20130099318A1 (en) * 2011-10-25 2013-04-25 International Business Machines Corporation Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels
CN103367230B (en) * 2012-04-09 2016-05-25 中芯国际集成电路制造(上海)有限公司 The preparation method of ultra-thin silicon-on-insulator, the preparation method of semiconductor devices
US9876110B2 (en) * 2014-01-31 2018-01-23 Stmicroelectronics, Inc. High dose implantation for ultrathin semiconductor-on-insulator substrates

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834816A (en) * 1991-10-10 1998-11-10 Goldstar Electron Co., Ltd. MOSFET having tapered gate electrode
US6551886B1 (en) * 2001-04-27 2003-04-22 Advanced Micro Devices, Inc. Ultra-thin body SOI MOSFET and gate-last fabrication method
US20040121531A1 (en) * 2002-10-31 2004-06-24 Karsten Wieczorek Method of removing features using an improved removal process in the fabrication of a semiconductor device
US20040248348A1 (en) * 2003-06-03 2004-12-09 Rausch Werner A. Method of forming precision recessed gate structure
US20060279844A1 (en) * 2005-06-08 2006-12-14 Oki Electric Industry Co., Ltd. Diffraction optical element and production method thereof
US20070057307A1 (en) * 2005-09-09 2007-03-15 Shum Danny P Embedded flash memory devices on SOI substrates and methods of manufacture thereof

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5154023A (en) * 1991-06-11 1992-10-13 Spire Corporation Polishing process for refractory materials
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6121651A (en) * 1998-07-30 2000-09-19 International Business Machines Corporation Dram cell with three-sided-gate transfer device
US6229184B1 (en) * 1999-02-16 2001-05-08 Advanced Micro Devices, Inc. Semiconductor device with a modulated gate oxide thickness
EP1303866B1 (en) * 2000-07-10 2009-12-09 TEL Epion Inc. System and method for improving thin films by gas cluster ion be am processing
JP3764401B2 (en) * 2002-04-18 2006-04-05 株式会社東芝 Manufacturing method of semiconductor device
US7012005B2 (en) * 2002-06-25 2006-03-14 Siliconix Incorporated Self-aligned differential oxidation in trenches by ion implantation
JP2004063730A (en) * 2002-07-29 2004-02-26 Shin Etsu Handotai Co Ltd Manufacturing method for soi wafer
US6743689B1 (en) * 2003-01-14 2004-06-01 Advanced Micro Devices, Inc. Method of fabrication SOI devices with accurately defined monocrystalline source/drain extensions
US7141459B2 (en) * 2003-03-12 2006-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator ULSI devices with multiple silicon film thicknesses
US6927146B2 (en) * 2003-06-17 2005-08-09 Intel Corporation Chemical thinning of epitaxial silicon layer over buried oxide
WO2005027204A1 (en) 2003-09-08 2005-03-24 Sumco Corporation Bonded wafer and its manufacturing method
US7202123B1 (en) * 2004-07-02 2007-04-10 Advanced Micro Devices, Inc. Mesa isolation technology for extremely thin silicon-on-insulator semiconductor devices
JP4906267B2 (en) * 2005-03-31 2012-03-28 オンセミコンダクター・トレーディング・リミテッド Semiconductor device and manufacturing method thereof
KR100642391B1 (en) * 2005-04-04 2006-11-03 주식회사 하이닉스반도체 Chemical mechanical polishing method for manufacturing a semiconductor device
US8319285B2 (en) * 2005-12-22 2012-11-27 Infineon Technologies Ag Silicon-on-insulator chip having multiple crystal orientations
US7860395B2 (en) 2006-02-02 2010-12-28 Oki Electric Industry Co., Ltd. Optical access network system
US20070277874A1 (en) * 2006-05-31 2007-12-06 David Francis Dawson-Elli Thin film photovoltaic structure
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
JP2008098528A (en) * 2006-10-13 2008-04-24 Toshiba Corp Method of manufacturing semiconductor device
US7767583B2 (en) * 2008-03-04 2010-08-03 Varian Semiconductor Equipment Associates, Inc. Method to improve uniformity of chemical mechanical polishing planarization
US7804151B2 (en) * 2008-08-07 2010-09-28 International Business Machines Corporation Integrated circuit structure, design structure, and method having improved isolation and harmonics
US8110483B2 (en) 2009-10-22 2012-02-07 International Business Machines Corporation Forming an extremely thin semiconductor-on-insulator (ETSOI) layer
US8124427B2 (en) * 2009-10-22 2012-02-28 International Business Machines Corporation Method of creating an extremely thin semiconductor-on-insulator (ETSOI) layer having a uniform thickness
US9018024B2 (en) 2009-10-22 2015-04-28 International Business Machines Corporation Creating extremely thin semiconductor-on-insulator (ETSOI) having substantially uniform thickness

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834816A (en) * 1991-10-10 1998-11-10 Goldstar Electron Co., Ltd. MOSFET having tapered gate electrode
US6551886B1 (en) * 2001-04-27 2003-04-22 Advanced Micro Devices, Inc. Ultra-thin body SOI MOSFET and gate-last fabrication method
US20040121531A1 (en) * 2002-10-31 2004-06-24 Karsten Wieczorek Method of removing features using an improved removal process in the fabrication of a semiconductor device
US20040248348A1 (en) * 2003-06-03 2004-12-09 Rausch Werner A. Method of forming precision recessed gate structure
US20060279844A1 (en) * 2005-06-08 2006-12-14 Oki Electric Industry Co., Ltd. Diffraction optical element and production method thereof
US20070057307A1 (en) * 2005-09-09 2007-03-15 Shum Danny P Embedded flash memory devices on SOI substrates and methods of manufacture thereof

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110095393A1 (en) * 2009-10-22 2011-04-28 International Business Machines Corporation Creating extremely thin semiconductor-on-insulator (etsoi) having substantially uniform thickness
US8940554B2 (en) 2009-10-22 2015-01-27 International Business Machines Corporation Method of creating an extremely thin semiconductor-on-insulator (ETSOI) layer having a uniform thickness
US9018024B2 (en) 2009-10-22 2015-04-28 International Business Machines Corporation Creating extremely thin semiconductor-on-insulator (ETSOI) having substantially uniform thickness
US9263517B2 (en) 2009-10-22 2016-02-16 Globalfoundries. Inc. Extremely thin semiconductor-on-insulator (ETSOI) layer
US20120276658A1 (en) * 2011-04-12 2012-11-01 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece
US8815720B2 (en) * 2011-04-12 2014-08-26 Varian Semiconductor Equipment Associates, Inc. Method of etching a workpiece

Also Published As

Publication number Publication date
US8110483B2 (en) 2012-02-07
US9263517B2 (en) 2016-02-16
US20110095366A1 (en) 2011-04-28
US20130200486A1 (en) 2013-08-08

Similar Documents

Publication Publication Date Title
US9263517B2 (en) Extremely thin semiconductor-on-insulator (ETSOI) layer
US11164959B2 (en) VFET devices with ILD protection
US20120032267A1 (en) Device and method for uniform sti recess
US7749835B2 (en) Trench memory with self-aligned strap formed by self-limiting process
US20140183687A1 (en) Integrated Circuit Having Back Gating, Improved Isolation and Reduced Well Resistance and Method to Fabricate Same
US20130196483A1 (en) Soi structures including a buried boron nitride dielectric
US20070128776A1 (en) Isolated fully depleted silicon-on-insulator regions by selective etch
JP2007526652A (en) Method for reducing STI divot formation during semiconductor device manufacturing
US20110097824A1 (en) Method of creating an extremely thin semiconductor-on- insulator (etsoi) layer having a uniform thickness
US20090017597A1 (en) Method for manufacturing shallow trench isolation
US8216896B2 (en) Method of forming STI regions in electronic devices
US20040102017A1 (en) Method of forming trench isolation structure
US8389380B2 (en) Method for making a substrate of the semiconductor on insulator type with an integrated ground plane
TW202046389A (en) Gate contact over active processes
US20060131687A1 (en) Method and structure for implanting bonded substrates for electrical conductivity
US9018024B2 (en) Creating extremely thin semiconductor-on-insulator (ETSOI) having substantially uniform thickness
US20190067098A1 (en) Double barrier layer sets for contacts in semiconductor device
CN109524346B (en) Shallow trench isolation structure and manufacturing method thereof
US6737315B2 (en) Method of manufacturing semiconductor device including steps of forming both insulating film and epitaxial semiconductor on substrate
US6569741B2 (en) Hydrogen anneal before gate oxidation
US20110195559A1 (en) Method of forming shallow trench isolation structure
CN109755172B (en) Shallow trench isolation structure, semiconductor device and manufacturing method thereof
CN107017166B (en) Controlling epitaxial growth over eDRAM deep trenches and eDRAM so formed
US20190027556A1 (en) Shallow trench isolation (sti) gap fill
US11456204B1 (en) Silicon-on-insulator wafer and low temperature method to make thereof

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910