US20120122373A1 - Precise real time and position low pressure control of chemical mechanical polish (cmp) head - Google Patents

Precise real time and position low pressure control of chemical mechanical polish (cmp) head Download PDF

Info

Publication number
US20120122373A1
US20120122373A1 US12/946,155 US94615510A US2012122373A1 US 20120122373 A1 US20120122373 A1 US 20120122373A1 US 94615510 A US94615510 A US 94615510A US 2012122373 A1 US2012122373 A1 US 2012122373A1
Authority
US
United States
Prior art keywords
wafer
uniformity
locations
cmp
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/946,155
Inventor
John H. Zhang
Paul Ferreira
Cindy Goldberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
STMicroelectronics lnc USA
Original Assignee
STMicroelectronics lnc USA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by STMicroelectronics lnc USA filed Critical STMicroelectronics lnc USA
Priority to US12/946,155 priority Critical patent/US20120122373A1/en
Assigned to STMICROELECTRONICS, INC. reassignment STMICROELECTRONICS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FERREIRA, PAUL, GOLDBERG, CINDY, ZHANG, JOHN H.
Publication of US20120122373A1 publication Critical patent/US20120122373A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/07Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool
    • B24B37/10Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool for single side lapping
    • B24B37/105Lapping machines or devices; Accessories designed for working plane surfaces characterised by the movement of the work or lapping tool for single side lapping the workpieces or work carriers being actively moved by a drive, e.g. in a combined rotary and translatory movement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/04Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent involving measurement of the workpiece at the place of grinding during grinding operation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/08Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving liquid or pneumatic means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Definitions

  • the manufacture of ultra-large-scale integrated (ULSI) circuits and devices relies upon a precise, stable and predictable chemical mechanical polishing (CMP) process to achieve the vertical stacking, or integration, of a large number of circuits that require multilevel interconnections.
  • CMP is well suited to the planarization of the wafer surface in preparation for further device fabrication.
  • the CMP process includes retaining a semiconductor wafer against a rotating polishing pad or head surface wetted by a polishing slurry. In this manner, topographical steps on the surface of a wafer are polished flat so that the wafer surface is substantially planar.
  • FIG. 1 is a graph that illustrates the relationship between resistivity, line width and pressure and a motivation for more precise, low pressure CMP polishing
  • FIGS. 2A and 2B illustrate cross-sectional views of CMP polishing, in accordance with various representative embodiments.
  • FIG. 3 illustrates graphs showing improved robot process control as a motivation for more precise, low pressure CMP polishing, in accordance with various embodiments.
  • FIGS. 4A-4F illustrates scanning electron microscope (SEM) studies that show the need for improved within wafer uniformity, in accordance with various embodiments.
  • FIG. 5 is a system block diagram of a CMP system suitable for polishing a wafer, in accordance with various embodiments.
  • FIGS. 6-9 are flowcharts that illustrate various CMP process flows, in accordance with various embodiments.
  • FIG. 10 is an illustration to demonstrate the use of a CMP profile to define CMP head pressure zones to get precise pressure control, in accordance with various embodiments.
  • real-time wafer uniformity readings are feedback to control multiple in situ CMP head pressure applied and thus greatly reduce the fluctuation of the pressure control applied at multiple locations of the wafer during the CMP head polishing process.
  • This not only makes low pressure control of CMP head possible, but also greatly improves intra-wafer and wafer-to-wafer uniformity. It also can increase the life of the consumable, such as polishing pad, of the CMP head due to the precise in situ control, thereby having a significant positive reduction on manufacturing costs.
  • FIGS. 2A and 2B A second motivation for precise low pressure control on CMP heads is illustrated the cross-sectional views of FIGS. 2A and 2B .
  • a higher pressure of the CMP head can lead to over-polishing
  • FIG. 2B the target thickness can be achieved with a controlled application of CMP head pressure without a thicker thickness of OMCTS than the target thickness, providing for a low aspect ratio before metallization.
  • the high selectivity during low pressure CMP polishing allows for strict control of the polishing process such that excess amounts of OMCTS are not removed and the low-pressure polishing provides room for more design features.
  • the low pressure CMP polishing process has selectivity between TEOS (Tetraethyl orthosilicate) and OMCTS (octamethylcyclotetrasiloxane).
  • TEOS Tetraethyl orthosilicate
  • OMCTS octamethylcyclotetrasiloxane
  • the polishing slurry may have sufficiently high selectively between TEOS and OMCTS that is over 5(TE/OM).
  • CMP heads provide more robot process control as illustrated in the graphs of FIG. 3 .
  • FIG. 4A illustrates a SEM measurement method of a cross-sectional view of a wafer. It can be seen where pictures/measurements on the back end of line (BEOL) stack are to be taken.
  • FIG. 4B an example of a post-hard mark deposition SEM measurement is shown.
  • FIG. 4C illustrates an example of post reactive ion etch SEM measurement.
  • FIG. 4D illustrates an example of post copper (Cu) plating SEM measurement while FIG.
  • FIG. 4E illustrates an example of post Cu CMP SEM measurement of the wafer.
  • the graph of FIG. 4F in which edge thickness, SEM edge measurement position and edge ULK thickness after various processing steps are shown, illustrates the relative lack of uniformity achieved when the embodiments described herein are not used.
  • a method and system for detecting and controller wafer surface pressure distribution comprises measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; and in response to the measured wafer uniformity controlling in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer.
  • a method of detecting and controlling wafer surface pressure distribution comprises: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; determining from the measurements at the plurality of locations a uniformity wafer profile of the wafer; determining whether the uniformity wafer profile approximates a target uniformity wafer profile of the wafer; generating an updated uniformity wafer profile if the uniformity wafer profile does not approximate a target uniformity wafer profile of the wafer; and in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer.
  • a method of detecting and controlling wafer surface pressure distribution comprises: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; adjusting in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer in response to the measured wafer uniformity; and polishing the wafer with the adjusted CMP head pressure at the plurality of locations.
  • CMP chemical mechanical polish
  • the CMP system has a pressure controller; a polishing head; and one or more pressure regulators coupled to the polishing head and controlled by the pressure controller.
  • the CMP head may be made of high density, heavy material to stabilize the wafer during the application of high torque force during polishing A high purity ceramic material may be employed, for example.
  • a wafer or wafers to be polished reside on a pad as shown.
  • the wafer is retained in place by operation of a retaining ring as shown.
  • a number of pressure regulators shown here as electrical pressure regulators (EPRs) operate to control the pressure applied by a CMP polishing head to various locations of the retaining ring and to various locations or zones of the wafer, as indicated by the downward arrows emanating from the EPRs.
  • the various locations can comprise a number of surface locations of the wafer and the measured wafer uniformity is measured at the locations at the wafer surface of the wafer.
  • the measured wafer uniformity may be the wafer thickness of the wafer at the plurality of locations of the wafer, the wafer film hardness of the wafer at the plurality of locations of the wafer, the wafer film surface charge (voltage) of the wafer at the plurality of locations of the wafer or the measured wafer uniformity is the pattern density of the wafer at the plurality of locations of the wafer.
  • the one or more EPRs correspond to various CMP head pressure zones of the system.
  • EPR 1 regulates zone pressure applied to various locations of the wafer while EPR 3 regulates pressure applied to various locations of the retaining ring.
  • EPR 2 is another EPR that regulates the amount of pressure applied to various locations of the wafer.
  • an in situ measurement g of the thickness of the wafer at various wafer locations may be taken at time i.
  • the real-time in situ thickness measurement g may be taken by a Z-scan but can be any real-time thickness measurement system of thickness, such as Cu or ULK thickness; a Z-scan measures surface potential.
  • This in situ thickness measurement g is a uniformity measurement that, together with time t i and position x), is used by a pressure controller of the system to determine a uniformity wafer profile from feedback data, said uniformity wafer profile denoted by F i (g, t i , x).
  • This feedback data is used to generate one or more updated pressure control signals x) to be applied at time t i+1 in accordance with an updated uniformity wafer profile.
  • real-time, real position, pressure control feedback is provided to the CMP head.
  • the EPRs used can be more than one and provide response to one or more in situ measurement components, such as time, position, thickness (copper Cu or ULK), surface charge (voltage).
  • the amount of pressure may be independently controlled at different locations of the wafer and retaining ring. It may be desired to apply more pressure at the edge of the wafer, indicated by the greater concentration of pressure arrows applied by EPR 2 at the edge of the wafer. As previously discussed, edge uniformity is important to achieving intra-wafer uniformity.
  • the pressure controller shown may reside on the CMP machine of which the CMP polishing head is part, may in fact be coupled to the CMP polishing head, or may reside elsewhere on the system but be coupled to the CMP polishing head.
  • a measured wafer uniformity measured in situ of a wafer at a plurality of locations of the wafer at a first time is fedback in a feedback loop to the pressure controller and the pressure controller in response to the measured wafer uniformity controls in situ CMP head pressure applied at the plurality of locations of the wafer in real time by the plurality of pressure regulators to polish the wafer in situ at a second time subsequent the first time.
  • the pressure controller determines from the measured wafer uniformity a uniformity wafer profile of the wafer at the first time and if the uniformity wafer profile of the wafer does not approximate a target uniformity wafer profile of the wafer the pressure controller controls the one or more pressure regulators in accordance with an updated uniformity wafer profile generated by comparing the uniformity wafer profile to the target uniformity wafer profile.
  • the pressure controller controls in situ CMP head pressure applied by a plurality of CMP head pressure zones of the one or more pressure regulators corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer. Adjustment of the applied polishing pressure may be may continuously in response to feedback measurement data g taken by a scanner or detector and provided to the pressure controller, where it is used to adjust the polishing pressure exerted by control of one or more pressure regulators.
  • EPRs electrical pressure regulators
  • an in situ measurement g of the wafer thickness is taken; as discussed, this may be done at one or more locations of the wafer.
  • this thickness feedback information is taken together with time and location to define a uniformity wafer profile F i (g, t i , x) at time t i .
  • the measured uniformity wafer profile is compared with a target uniformity wafer profile to see what adjustments need to be made to the pressure applied by the relevant EPR to the location to achieve the target uniformity at that location.
  • the thickness measurement g can then be taken again at Block 640 after the updated wafer uniformity profile is applied.
  • the inquiry is whether the target thickness defined at Block 650 has been reached. If so, then the CMP head polishing stops. If no, then the in situ thickness measurement g is again taken at Block 610 to which the flow returns.
  • the flow may start/continue at various points, such as with Block 610 , or perhaps Block 650 is performed first.
  • Block 610 the flow may start/continue at various points, such as with Block 610 , or perhaps Block 650 is performed first.
  • any of the actions indicated in the flow may be changed as/when desired without departing from the spirit and scope of the invention.
  • K p Preston's Constant
  • V is the wafer pad velocity
  • Thi(x) is the average film thickness measured at time ti
  • Th 0 (x) is the initial film thickness measured at time t 0 and position x
  • Th 1 (x) is the film thickness measured at time t i and position x
  • Pi(ti,x) is the average pressure at time t i for all positions
  • ⁇ Pi(ti,x) is the individual delta pressure that needs to be added or removed from Pi(ti,x) to apply the pressure Pi(ti+1,x) at time ti+1 and position x.
  • T the wafer film target thickness
  • flow 700 of FIG. 7 illustrates a method of detecting and controlling wafer surface pressure distribution.
  • the in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer.
  • the plurality of locations comprise a plurality of surface locations of the wafer as illustrated in FIG. 5 .
  • measuring the wafer uniformity comprises measuring wafer uniformity at the plurality of locations at a wafer surface of the wafer.
  • measuring the wafer uniformity comprises scanning surface uniformity of the wafer at the plurality of locations of the wafer. As discussed, this may comprise a Z-scanner scanning wafer thickness of the wafer at the plurality of locations of the wafer. Additionally, it may comprise a Z-scanner scanning wafer film hardness of the wafer at the plurality of locations of the wafer, or a Z-scanner scanning pattern density of the wafer at the plurality of locations of the wafer.
  • the in situ CMP head pressure applied at the plurality of locations of the wafer is controlled in real time to polish the wafer.
  • One or more pressure regulators of a scanning device can measure wafer uniformity of the wafer at the plurality of locations of the wafer.
  • Controlling the CMP head pressure may comprise providing the measured wafer uniformity to a controller that controls in real time a plurality of CMP pressure regulators of the CMP head in response to the measured wafer uniformity.
  • controlling the in situ CMP head pressure applied comprises controlling an electrical pressure regulator or EPR.
  • the electrical pressure regulator is of a CMP system as discussed.
  • the electrical pressure regulator may comprise electrical pressure regulator elements corresponding to a plurality of CMP head pressure zones of a CMP system.
  • a further action after Blocks 710 and 720 may include polishing the surface of the wafer at the plurality of locations using the controlled CMP head pressure.
  • flow 800 of FIG. 8 illustrates a method of detecting and controlling wafer surface pressure distribution.
  • in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer.
  • a uniformity wafer profile of the wafer is determined from the measurements taken at the plurality of locations.
  • Controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling an electrical pressure regulator of a CMP system.
  • controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.
  • flow 900 of FIG. 9 illustrates a method of detecting and controlling wafer surface pressure distribution.
  • in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer.
  • in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer is adjusted in response to the measured wafer uniformity. Adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones may include controlling an electrical pressure regulator of a CMP system.
  • adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.
  • the wafer is polished in accordance with the adjusted CMP head pressure at the plurality of locations.
  • FIG. 10 is an illustration to demonstrate the use of a CMP profile to define CMP head pressure zones to get precise pressure control, in accordance with various embodiments.
  • the correlation between edge thickness after different polishing steps with respect to various locations or zones of the wafer is shown. Using more pressure at the edge provides for precise low pressure control.
  • only two EPRs are illustrated: one for precision polishing control of the retaining ring and only for applying low pressure in a precision way at an increased number of pressure locations or zones as one approaches the edge of the wafer. This is a useful in a practical way in that various CMP heads with different and various location zones can be made and used. Based upon the profile received from the scan, such as the Z-scan shown here, the best CMP head can be chosen to obtain the desired, precise low pressure control.
  • An in situ head pressure adjustment is given as the characteristics and effectiveness of the CMP pad, the consumable, changes. This can greatly improve the intra-wafer and wafer-to-wafer uniformity, which is turn improves production yields. Wafer thickness and uniformity is kept consistent regardless of the wear and tear of the CMP head and pad. This also increases the consumable life due to the precise in situ control, thereby greatly reducing manufacturing costs.
  • the use of feedback between the Z-scan or other measurement mechanism, and the EPRs makes the CMP head low pressure control more precise and efficient. Pressure fluctuation factors are greatly reduced. Time and position of the points of application of pressure are known, fine tuning the process.

Abstract

A method and system for detecting and controller wafer surface pressure distribution. Detecting and controlling wafer surface pressure distribution comprises measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; and in response to the measured wafer uniformity controlling through a feedback loop in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer.

Description

    BACKGROUND
  • The manufacture of ultra-large-scale integrated (ULSI) circuits and devices relies upon a precise, stable and predictable chemical mechanical polishing (CMP) process to achieve the vertical stacking, or integration, of a large number of circuits that require multilevel interconnections. CMP is well suited to the planarization of the wafer surface in preparation for further device fabrication. The CMP process includes retaining a semiconductor wafer against a rotating polishing pad or head surface wetted by a polishing slurry. In this manner, topographical steps on the surface of a wafer are polished flat so that the wafer surface is substantially planar.
  • As technology modalities continue to shrink to 22 nm and beyond, there is a very strict requirement for CMP uniformity to ensure successful device fabrication after CMP. Lower CMP head pressure is needed not only for improvement in CMP uniformity but also for increasing marginality of advanced integration design with ever decreasing scale. It is noted, however, that fluctuation of pressure control of the CMP head will limit the achievement of a low, stable CMP polishing pressure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings provide visual representations which will be used to more fully describe various representative embodiments and can be used by those skilled in the art to better understand the representative embodiments disclosed and their inherent advantages. In these drawings, like reference numerals identify corresponding elements.
  • FIG. 1 is a graph that illustrates the relationship between resistivity, line width and pressure and a motivation for more precise, low pressure CMP polishing
  • FIGS. 2A and 2B illustrate cross-sectional views of CMP polishing, in accordance with various representative embodiments.
  • FIG. 3 illustrates graphs showing improved robot process control as a motivation for more precise, low pressure CMP polishing, in accordance with various embodiments.
  • FIGS. 4A-4F illustrates scanning electron microscope (SEM) studies that show the need for improved within wafer uniformity, in accordance with various embodiments.
  • FIG. 5 is a system block diagram of a CMP system suitable for polishing a wafer, in accordance with various embodiments.
  • FIGS. 6-9 are flowcharts that illustrate various CMP process flows, in accordance with various embodiments.
  • FIG. 10 is an illustration to demonstrate the use of a CMP profile to define CMP head pressure zones to get precise pressure control, in accordance with various embodiments.
  • DETAILED DESCRIPTION
  • As shown in the drawings for purposes of illustration, real-time wafer uniformity readings are feedback to control multiple in situ CMP head pressure applied and thus greatly reduce the fluctuation of the pressure control applied at multiple locations of the wafer during the CMP head polishing process. This not only makes low pressure control of CMP head possible, but also greatly improves intra-wafer and wafer-to-wafer uniformity. It also can increase the life of the consumable, such as polishing pad, of the CMP head due to the precise in situ control, thereby having a significant positive reduction on manufacturing costs.
  • Referring now to the line width, resistivity, pressure graph of FIG. 1, it can be seen that as circuit dimensions decrease, the measured resistivity for line widths of ground lines of increasing pressure, for example, increases exponentially. The line resistance at high pressures may be higher than the specification limit. It can therefore be seen that there is motivation to lower CMP head pressure as much as possible.
  • A second motivation for precise low pressure control on CMP heads is illustrated the cross-sectional views of FIGS. 2A and 2B. Whereas in FIG. 2A, a higher pressure of the CMP head can lead to over-polishing, it can be seen in FIG. 2B that the target thickness can be achieved with a controlled application of CMP head pressure without a thicker thickness of OMCTS than the target thickness, providing for a low aspect ratio before metallization. The high selectivity during low pressure CMP polishing allows for strict control of the polishing process such that excess amounts of OMCTS are not removed and the low-pressure polishing provides room for more design features. The low pressure CMP polishing process has selectivity between TEOS (Tetraethyl orthosilicate) and OMCTS (octamethylcyclotetrasiloxane). For example, the polishing slurry may have sufficiently high selectively between TEOS and OMCTS that is over 5(TE/OM).
  • Moreover, the precise real-time and position low pressure control of CMP heads provides more robot process control as illustrated in the graphs of FIG. 3.
  • Additionally, the stable process provided allows for improved uniformity from wafer lot to wafer lot and from wafer to wafer. As illustrated in FIGS. 4A to 4F, there is a need to improve within wafer uniformity as confirmed by the scanning electron microscope (SEM) studies shown in the Figures. FIG. 4A illustrates a SEM measurement method of a cross-sectional view of a wafer. It can be seen where pictures/measurements on the back end of line (BEOL) stack are to be taken. In FIG. 4B, an example of a post-hard mark deposition SEM measurement is shown. FIG. 4C illustrates an example of post reactive ion etch SEM measurement. FIG. 4D illustrates an example of post copper (Cu) plating SEM measurement while FIG. 4E illustrates an example of post Cu CMP SEM measurement of the wafer. The graph of FIG. 4F, in which edge thickness, SEM edge measurement position and edge ULK thickness after various processing steps are shown, illustrates the relative lack of uniformity achieved when the embodiments described herein are not used. These drawings illustrate the need to provide an improved infra-wafer uniformity.
  • Therefore, in accordance with various embodiments described herein, a method and system for detecting and controller wafer surface pressure distribution is disclosed. In accordance with certain embodiments described herein, a method of detecting and controlling wafer surface pressure distribution comprises measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; and in response to the measured wafer uniformity controlling in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer. In accordance with further embodiments described herein, a method of detecting and controlling wafer surface pressure distribution comprises: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; determining from the measurements at the plurality of locations a uniformity wafer profile of the wafer; determining whether the uniformity wafer profile approximates a target uniformity wafer profile of the wafer; generating an updated uniformity wafer profile if the uniformity wafer profile does not approximate a target uniformity wafer profile of the wafer; and in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer. Still further in keeping with other embodiments, a method of detecting and controlling wafer surface pressure distribution comprises: measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; adjusting in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer in response to the measured wafer uniformity; and polishing the wafer with the adjusted CMP head pressure at the plurality of locations.
  • Referring now to system block diagram 500 of FIG. 5, a chemical mechanical polish (CMP) system for precise real time and position low pressure control on a CMP head for polishing a wafer is illustrated. The CMP system has a pressure controller; a polishing head; and one or more pressure regulators coupled to the polishing head and controlled by the pressure controller. The CMP head may be made of high density, heavy material to stabilize the wafer during the application of high torque force during polishing A high purity ceramic material may be employed, for example.
  • A wafer or wafers to be polished reside on a pad as shown. The wafer is retained in place by operation of a retaining ring as shown. As shown, a number of pressure regulators, shown here as electrical pressure regulators (EPRs) operate to control the pressure applied by a CMP polishing head to various locations of the retaining ring and to various locations or zones of the wafer, as indicated by the downward arrows emanating from the EPRs. The various locations can comprise a number of surface locations of the wafer and the measured wafer uniformity is measured at the locations at the wafer surface of the wafer. The measured wafer uniformity may be the wafer thickness of the wafer at the plurality of locations of the wafer, the wafer film hardness of the wafer at the plurality of locations of the wafer, the wafer film surface charge (voltage) of the wafer at the plurality of locations of the wafer or the measured wafer uniformity is the pattern density of the wafer at the plurality of locations of the wafer.
  • The one or more EPRs correspond to various CMP head pressure zones of the system. Thus, EPR1 regulates zone pressure applied to various locations of the wafer while EPR3 regulates pressure applied to various locations of the retaining ring. EPR2 is another EPR that regulates the amount of pressure applied to various locations of the wafer. It can be seen that an in situ measurement g of the thickness of the wafer at various wafer locations may be taken at time i. The real-time in situ thickness measurement g may be taken by a Z-scan but can be any real-time thickness measurement system of thickness, such as Cu or ULK thickness; a Z-scan measures surface potential. This in situ thickness measurement g is a uniformity measurement that, together with time ti and position x), is used by a pressure controller of the system to determine a uniformity wafer profile from feedback data, said uniformity wafer profile denoted by Fi(g, ti, x). This feedback data is used to generate one or more updated pressure control signals x) to be applied at time ti+1 in accordance with an updated uniformity wafer profile. Thus, real-time, real position, pressure control feedback is provided to the CMP head. As shown, the EPRs used can be more than one and provide response to one or more in situ measurement components, such as time, position, thickness (copper Cu or ULK), surface charge (voltage). Also, as shown by the pressure arrows directed downward, the amount of pressure may be independently controlled at different locations of the wafer and retaining ring. It may be desired to apply more pressure at the edge of the wafer, indicated by the greater concentration of pressure arrows applied by EPR2 at the edge of the wafer. As previously discussed, edge uniformity is important to achieving intra-wafer uniformity. The pressure controller shown may reside on the CMP machine of which the CMP polishing head is part, may in fact be coupled to the CMP polishing head, or may reside elsewhere on the system but be coupled to the CMP polishing head.
  • Thus it can be seen that a measured wafer uniformity measured in situ of a wafer at a plurality of locations of the wafer at a first time is fedback in a feedback loop to the pressure controller and the pressure controller in response to the measured wafer uniformity controls in situ CMP head pressure applied at the plurality of locations of the wafer in real time by the plurality of pressure regulators to polish the wafer in situ at a second time subsequent the first time. The pressure controller determines from the measured wafer uniformity a uniformity wafer profile of the wafer at the first time and if the uniformity wafer profile of the wafer does not approximate a target uniformity wafer profile of the wafer the pressure controller controls the one or more pressure regulators in accordance with an updated uniformity wafer profile generated by comparing the uniformity wafer profile to the target uniformity wafer profile. Thus, the pressure controller controls in situ CMP head pressure applied by a plurality of CMP head pressure zones of the one or more pressure regulators corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer. Adjustment of the applied polishing pressure may be may continuously in response to feedback measurement data g taken by a scanner or detector and provided to the pressure controller, where it is used to adjust the polishing pressure exerted by control of one or more pressure regulators.
  • Consider that a Z-scan or the like measures a thickness of 250 Å at a position X but the target thickness at position X is 300A. The feedback data at X position would cause the amount of pressure applied at this position to be decreased via the appropriate EPR.
  • It is desirable to use as low a pressure as possible via the EPRs to control the wafer and retain ring pressure. This provides for more precise results that save wear and tear on consumables, such as the head polishing pad.
  • While electrical pressure regulators (EPRs) are illustrated in the CMP system of FIG. 5 for regulation of zone pressure control, it is envisioned that other regulators capable of regulating pressure control may be employed.
  • Referring now to flow 600 of FIG. 6, at Block 610, an in situ measurement g of the wafer thickness is taken; as discussed, this may be done at one or more locations of the wafer. At Block 620, this thickness feedback information is taken together with time and location to define a uniformity wafer profile Fi(g, ti, x) at time ti. The measured uniformity wafer profile is compared with a target uniformity wafer profile to see what adjustments need to be made to the pressure applied by the relevant EPR to the location to achieve the target uniformity at that location. This results in an updated uniformity wafer Pressure profile Pi(ti+i, x) being generated if the uniformity wafer profile does not approximate the target uniformity wafer profile of the wafer; application of the updated uniformity wafer profile Pi(ti+1, x) by the one or more EPRs controls the in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer.
  • The thickness measurement g can then be taken again at Block 640 after the updated wafer uniformity profile is applied. At Decision Block 660, the inquiry is whether the target thickness defined at Block 650 has been reached. If so, then the CMP head polishing stops. If no, then the in situ thickness measurement g is again taken at Block 610 to which the flow returns.
  • As allowed for by the flowchart, the flow may start/continue at various points, such as with Block 610, or perhaps Block 650 is performed first. However, any of the actions indicated in the flow may be changed as/when desired without departing from the spirit and scope of the invention.
  • An example algorithm for the thickness profile to change to an updated P profile is now considered. Suppose that G is the wafer film thickness, then according to the Preston Equation, at real time ti+1 and position x, we apply pressure Pi(ti+1,x) as follows:

  • P i(t i ,x)=AVG[(Th 0(x)−Th i(x))/(ti −t 0)(K p V)]ΔP i(t i ,x)=(Th i(x)− Thi(x))/(t i −t 0)(K pV)P i(t i−1 ,x)= P i(t i ,x)P i(t i ,x)
  • Here, Kp is Preston's Constant, V is the wafer pad velocity, Thi(x) is the average film thickness measured at time ti, Th0(x) is the initial film thickness measured at time t0 and position x Th1(x) is the film thickness measured at time ti and position x, Pi(ti,x) is the average pressure at time ti for all positions, ΔPi(ti,x) is the individual delta pressure that needs to be added or removed from Pi(ti,x) to apply the pressure Pi(ti+1,x) at time ti+1 and position x. Suppose the wafer film target thickness is T, we can define the G target as T= Thi(x).
  • In accordance with certain embodiments described herein, flow 700 of FIG. 7 illustrates a method of detecting and controlling wafer surface pressure distribution. At Block 710, the in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer. The plurality of locations comprise a plurality of surface locations of the wafer as illustrated in FIG. 5. Thus, measuring the wafer uniformity comprises measuring wafer uniformity at the plurality of locations at a wafer surface of the wafer. Further, measuring the wafer uniformity comprises scanning surface uniformity of the wafer at the plurality of locations of the wafer. As discussed, this may comprise a Z-scanner scanning wafer thickness of the wafer at the plurality of locations of the wafer. Additionally, it may comprise a Z-scanner scanning wafer film hardness of the wafer at the plurality of locations of the wafer, or a Z-scanner scanning pattern density of the wafer at the plurality of locations of the wafer.
  • At Block 720, in response to the measured wafer uniformity measured at Block 710, the in situ CMP head pressure applied at the plurality of locations of the wafer is controlled in real time to polish the wafer. One or more pressure regulators of a scanning device can measure wafer uniformity of the wafer at the plurality of locations of the wafer. Controlling the CMP head pressure may comprise providing the measured wafer uniformity to a controller that controls in real time a plurality of CMP pressure regulators of the CMP head in response to the measured wafer uniformity. As previously illustrated controlling the in situ CMP head pressure applied comprises controlling an electrical pressure regulator or EPR. The electrical pressure regulator is of a CMP system as discussed. The electrical pressure regulator may comprise electrical pressure regulator elements corresponding to a plurality of CMP head pressure zones of a CMP system.
  • A further action after Blocks 710 and 720 may include polishing the surface of the wafer at the plurality of locations using the controlled CMP head pressure.
  • In accordance with further embodiments described herein, flow 800 of FIG. 8 illustrates a method of detecting and controlling wafer surface pressure distribution. At Block 810, in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer. At Block 820, a uniformity wafer profile of the wafer is determined from the measurements taken at the plurality of locations. At Block 830, it is determined whether the uniformity wafer profile approximates a target uniformity wafer profile of the wafer. In other words, is more polishing needed to achieve or approximate within a certain allowable range of deviation the target uniformity wafer profile. If yes, then at Block 840 an updated uniformity wafer profile is generated. Then, at Block 850, in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer. Controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling an electrical pressure regulator of a CMP system. As previously illustrated, controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.
  • Still further in keeping with other embodiments, flow 900 of FIG. 9 illustrates a method of detecting and controlling wafer surface pressure distribution. At Block 910, in situ wafer uniformity of a wafer is measured at a plurality of locations of the wafer. At Block 920, in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer is adjusted in response to the measured wafer uniformity. Adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones may include controlling an electrical pressure regulator of a CMP system. Further, adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system. At Block 930, the wafer is polished in accordance with the adjusted CMP head pressure at the plurality of locations.
  • FIG. 10 is an illustration to demonstrate the use of a CMP profile to define CMP head pressure zones to get precise pressure control, in accordance with various embodiments. The correlation between edge thickness after different polishing steps with respect to various locations or zones of the wafer is shown. Using more pressure at the edge provides for precise low pressure control. It should be noted that in this particular example, only two EPRs are illustrated: one for precision polishing control of the retaining ring and only for applying low pressure in a precision way at an increased number of pressure locations or zones as one approaches the edge of the wafer. This is a useful in a practical way in that various CMP heads with different and various location zones can be made and used. Based upon the profile received from the scan, such as the Z-scan shown here, the best CMP head can be chosen to obtain the desired, precise low pressure control.
  • It can be seen that there are many advantages associated with use of the various embodiments described here. An in situ head pressure adjustment is given as the characteristics and effectiveness of the CMP pad, the consumable, changes. This can greatly improve the intra-wafer and wafer-to-wafer uniformity, which is turn improves production yields. Wafer thickness and uniformity is kept consistent regardless of the wear and tear of the CMP head and pad. This also increases the consumable life due to the precise in situ control, thereby greatly reducing manufacturing costs. The use of feedback between the Z-scan or other measurement mechanism, and the EPRs makes the CMP head low pressure control more precise and efficient. Pressure fluctuation factors are greatly reduced. Time and position of the points of application of pressure are known, fine tuning the process.
  • Using the drawings, the various embodiments of the present invention, including preferred embodiment(s) will now be explained. In the following detailed description and in the several figures of the drawings, like elements are identified with like reference numerals.
  • The representative embodiments, which have been described in detail herein, have been presented by way of example and not by way of limitation. It will be understood by those skilled in the art that various changes may be made in the form and details of the described embodiments resulting in equivalent embodiments that remain within the scope of the appended claims.

Claims (33)

1. A method of detecting and controlling wafer surface pressure distribution, comprising:
measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer; and
in response to the measured wafer uniformity controlling in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer.
2. The method of claim 1, further comprising polishing the surface of the wafer at the plurality of locations using the controlled CMP head pressure.
3. The method of claim 1, wherein controlling the in situ CMP head pressure applied comprises controlling an electrical pressure regulator.
4. The method of claim 3, wherein the electrical pressure regulator is of a CMP system.
5. The method of claim 3, wherein the electrical pressure regulator comprises a plurality of electrical pressure regulator elements corresponding to a plurality of CMP head pressure zones of a CMP system.
6. The method of claim 1, wherein the plurality of locations comprise a plurality of surface locations of the wafer.
7. The method of claim 1, wherein measuring the wafer uniformity comprises measuring wafer uniformity at the plurality of locations at a wafer surface of the wafer.
8. The method of claim 1, wherein measuring the wafer uniformity comprises scanning surface uniformity of the wafer at the plurality of locations of the wafer.
9. The method of claim 8, further comprising a Z-scanner scanning wafer thickness of the wafer at the plurality of locations of the wafer.
10. The method of claim 8, further comprising a Z-scanner scanning wafer film hardness of the wafer at the plurality of locations of the wafer.
11. The method of claim 8, further comprising a Z-scanner scanning pattern density of the wafer at the plurality of locations of the wafer.
12. The method of claim 1, wherein a plurality of pressure regulators of a scanning device measuring wafer uniformity of the wafer at the plurality of locations of the wafer.
13. The method of claim 1, wherein controlling the CMP head pressure comprises providing the measured wafer uniformity to a controller that controls in real time a plurality of CMP pressure regulators of the CMP head in response to the measured wafer uniformity.
14. The method of claim 1, further comprising in response to the measured wafer uniformity controlling in situ CMP head pressure applied at the plurality of locations of the wafer in real time to polish the wafer by choosing a CMP head of a plurality of CMP heads having a desired low pressure profile.
15. A method of detecting and controlling wafer surface pressure distribution, comprising:
measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer;
determining from the measurements at the plurality of locations a uniformity wafer profile of the wafer;
determining whether the uniformity wafer profile approximates a target uniformity wafer profile of the wafer;
generating an updated uniformity wafer profile if the uniformity wafer profile does not approximate a target uniformity wafer profile of the wafer; and
in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer.
16. The method claim 15, wherein controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling an electrical pressure regulator of a CMP system.
17. The method of claim 15, wherein controlling in situ CMP head pressure applied by the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.
18. The method of claim 15, further comprising in accordance with the updated uniformity wafer profile controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer by choosing a CMP head of a plurality of CMP heads having a desired low pressure profile corresponding to the plurality of CMP head pressure zones.
19. A method of detecting and controlling wafer surface pressure distribution, comprising:
measuring in situ wafer uniformity of a wafer at a plurality of locations of the wafer;
adjusting in situ CMP head pressure of a plurality of CMP head pressure zones corresponding to the plurality of locations of the wafer in response to the measured wafer uniformity; and
polishing the wafer with the adjusted CMP head pressure at the plurality of locations.
20. The method claim 19, wherein adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones comprises controlling an electrical pressure regulator of a CMP system.
21. The method of claim 20, wherein adjusting the in situ CMP head pressure of the plurality of CMP head pressure zones comprises controlling a plurality of electrical pressure regulator elements corresponding to the plurality of CMP head pressure zones of the CMP system.
22. The method of claim 19, wherein polishing the wafer with the adjusted CMP head pressure further comprises choosing a CMP head of a plurality of CMP heads having a desired low pressure profile corresponding to the plurality of CMP head pressure zones.
23. A chemical mechanical polish (CMP) system for polishing a wafer, comprising:
a pressure controller;
a polishing head;
one or more pressure regulators coupled to the polishing head and controlled by the pressure controller;
wherein a measured wafer uniformity measured in situ of a wafer at a plurality of locations of the wafer at a first time is feedback to the pressure controller and the pressure controller in response to the measured wafer uniformity controls in situ CMP head pressure applied at the plurality of locations of the wafer in real time by the plurality of pressure regulators to polish the wafer in situ at a second time subsequent the first time.
24. The system of claim 23, wherein the one or more pressure regulators comprise one or more electrical pressure regulators (EPRs).
25. The system of claim 23, wherein the one or more electrical pressure regulators correspond to a plurality of CMP head pressure zones of the system.
26. The system of claim 23, wherein the plurality of locations comprise a plurality of surface locations of the wafer.
27. The system of claim 23, wherein the measured wafer uniformity is the measured at the plurality of locations at a wafer surface of the wafer.
28. The system of claim 27, wherein the measured wafer uniformity is the wafer thickness of the wafer at the plurality of locations of the wafer.
29. The system of claim 27, wherein the measured wafer uniformity is the wafer film hardness of the wafer at the plurality of locations of the wafer.
30. The system of claim 27, wherein the measured wafer uniformity is the pattern density of the wafer at the plurality of locations of the wafer.
31. The system of claim 27, wherein the measured wafer uniformity is the wafer film surface charge of the wafer at the plurality of locations of the wafer.
32. The system of claim 23, wherein the pressure controller determines from the measured wafer uniformity a uniformity wafer profile of the wafer at the first time and if the uniformity wafer profile of the wafer does not approximate a target uniformity wafer profile of the wafer the pressure controller controls the one or more pressure regulators in accordance with an updated uniformity wafer profile generated by comparing the uniformity wafer profile to the target uniformity wafer profile.
33. The system of claim 32, wherein in accordance with the updated uniformity wafer profile, the pressure controller controlling in situ CMP head pressure applied by a plurality of CMP head pressure zones of the one or more pressure regulators corresponding to the plurality of locations at the plurality of locations of the wafer in real time to polish the wafer.
US12/946,155 2010-11-15 2010-11-15 Precise real time and position low pressure control of chemical mechanical polish (cmp) head Abandoned US20120122373A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/946,155 US20120122373A1 (en) 2010-11-15 2010-11-15 Precise real time and position low pressure control of chemical mechanical polish (cmp) head

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/946,155 US20120122373A1 (en) 2010-11-15 2010-11-15 Precise real time and position low pressure control of chemical mechanical polish (cmp) head

Publications (1)

Publication Number Publication Date
US20120122373A1 true US20120122373A1 (en) 2012-05-17

Family

ID=46048189

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/946,155 Abandoned US20120122373A1 (en) 2010-11-15 2010-11-15 Precise real time and position low pressure control of chemical mechanical polish (cmp) head

Country Status (1)

Country Link
US (1) US20120122373A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102773798A (en) * 2012-07-21 2012-11-14 北京工业大学 Test piece clamping device for force feedback adaptive grinding and polishing machine
JP2014004675A (en) * 2012-05-31 2014-01-16 Ebara Corp Polishing device and polishing method
US20140342640A1 (en) * 2013-05-15 2014-11-20 Kabushiki Kaisha Toshiba Polishing apparatus and polishing method
TWI595339B (en) * 2014-10-08 2017-08-11 慧盛材料美國責任有限公司 Low pressure fluctuation flow control apparatus and method
CN111975469A (en) * 2020-08-28 2020-11-24 上海华力微电子有限公司 Chemical mechanical polishing method and polishing system
US20220362903A1 (en) * 2021-05-12 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple polishing heads with cross-zone pressure element distributions for cmp

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230184A (en) * 1991-07-05 1993-07-27 Motorola, Inc. Distributed polishing head
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US5868896A (en) * 1996-11-06 1999-02-09 Micron Technology, Inc. Chemical-mechanical planarization machine and method for uniformly planarizing semiconductor wafers
US5888120A (en) * 1997-09-29 1999-03-30 Lsi Logic Corporation Method and apparatus for chemical mechanical polishing
US6325696B1 (en) * 1999-09-13 2001-12-04 International Business Machines Corporation Piezo-actuated CMP carrier
US6436828B1 (en) * 2000-05-04 2002-08-20 Applied Materials, Inc. Chemical mechanical polishing using magnetic force
US6443821B1 (en) * 1999-11-16 2002-09-03 Ebara Corporation Workpiece carrier and polishing apparatus having workpiece carrier
US6659850B2 (en) * 2000-03-31 2003-12-09 Speedfam-Ipec Corporation Work piece carrier with adjustable pressure zones and barriers and a method of planarizing a work piece
US6899607B2 (en) * 2001-07-25 2005-05-31 Micron Technology, Inc. Polishing systems for use with semiconductor substrates including differential pressure application apparatus
US20060189259A1 (en) * 2003-01-10 2006-08-24 Samsung Electronics Co., Ltd. Polishing apparatus and related polishing methods
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces
US7160177B2 (en) * 2003-01-27 2007-01-09 IGAM Ingenieurgesellschaft für angewandte Mechanik mbH Method and device for the high-precision machining of the surface of an object, especially for polishing and lapping semiconductor substrates
US7455785B2 (en) * 2002-03-29 2008-11-25 Hoya Corporation Method of determining a flatness of an electronic device substrate, method of producing the substrate, method of producing a mask blank, method of producing a transfer mask, polishing method, electronic device substrate, mask blank, transfer mask, and polishing apparatus

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230184A (en) * 1991-07-05 1993-07-27 Motorola, Inc. Distributed polishing head
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
US5868896A (en) * 1996-11-06 1999-02-09 Micron Technology, Inc. Chemical-mechanical planarization machine and method for uniformly planarizing semiconductor wafers
US5888120A (en) * 1997-09-29 1999-03-30 Lsi Logic Corporation Method and apparatus for chemical mechanical polishing
US6325696B1 (en) * 1999-09-13 2001-12-04 International Business Machines Corporation Piezo-actuated CMP carrier
US6443821B1 (en) * 1999-11-16 2002-09-03 Ebara Corporation Workpiece carrier and polishing apparatus having workpiece carrier
US6659850B2 (en) * 2000-03-31 2003-12-09 Speedfam-Ipec Corporation Work piece carrier with adjustable pressure zones and barriers and a method of planarizing a work piece
US6436828B1 (en) * 2000-05-04 2002-08-20 Applied Materials, Inc. Chemical mechanical polishing using magnetic force
US6899607B2 (en) * 2001-07-25 2005-05-31 Micron Technology, Inc. Polishing systems for use with semiconductor substrates including differential pressure application apparatus
US7059937B2 (en) * 2001-07-25 2006-06-13 Micron Technology, Inc. Systems including differential pressure application apparatus
US7935216B2 (en) * 2001-07-25 2011-05-03 Round Rock Research, Llc Differential pressure application apparatus for use in polishing layers of semiconductor device structures and methods
US8268115B2 (en) * 2001-07-25 2012-09-18 Round Rock Research, Llc Differential pressure application apparatus for use in polishing layers of semiconductor device structures and methods
US7455785B2 (en) * 2002-03-29 2008-11-25 Hoya Corporation Method of determining a flatness of an electronic device substrate, method of producing the substrate, method of producing a mask blank, method of producing a transfer mask, polishing method, electronic device substrate, mask blank, transfer mask, and polishing apparatus
US20060189259A1 (en) * 2003-01-10 2006-08-24 Samsung Electronics Co., Ltd. Polishing apparatus and related polishing methods
US7488235B2 (en) * 2003-01-10 2009-02-10 Samsung Electronics Co., Ltd. Polishing apparatus and related polishing methods
US7160177B2 (en) * 2003-01-27 2007-01-09 IGAM Ingenieurgesellschaft für angewandte Mechanik mbH Method and device for the high-precision machining of the surface of an object, especially for polishing and lapping semiconductor substrates
US7131891B2 (en) * 2003-04-28 2006-11-07 Micron Technology, Inc. Systems and methods for mechanical and/or chemical-mechanical polishing of microfeature workpieces

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014004675A (en) * 2012-05-31 2014-01-16 Ebara Corp Polishing device and polishing method
JP2016196086A (en) * 2012-05-31 2016-11-24 株式会社荏原製作所 Polishing device
CN102773798A (en) * 2012-07-21 2012-11-14 北京工业大学 Test piece clamping device for force feedback adaptive grinding and polishing machine
US20140342640A1 (en) * 2013-05-15 2014-11-20 Kabushiki Kaisha Toshiba Polishing apparatus and polishing method
US9296083B2 (en) * 2013-05-15 2016-03-29 Kabushiki Kaisha Toshiba Polishing apparatus and polishing method
TWI595339B (en) * 2014-10-08 2017-08-11 慧盛材料美國責任有限公司 Low pressure fluctuation flow control apparatus and method
CN111975469A (en) * 2020-08-28 2020-11-24 上海华力微电子有限公司 Chemical mechanical polishing method and polishing system
US20220362903A1 (en) * 2021-05-12 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple polishing heads with cross-zone pressure element distributions for cmp

Similar Documents

Publication Publication Date Title
US20120122373A1 (en) Precise real time and position low pressure control of chemical mechanical polish (cmp) head
US7083495B2 (en) Advanced process control approach for Cu interconnect wiring sheet resistance control
US7854646B2 (en) Substrate polishing apparatus and substrate polishing method
US9138860B2 (en) Closed-loop control for improved polishing pad profiles
KR101107837B1 (en) Method and apparatus for applying differential removal rates to a surface of a substrate
US6284622B1 (en) Method for filling trenches
US20080242195A1 (en) Cmp system having an eddy current sensor of reduced height
US7722436B2 (en) Run-to-run control of backside pressure for CMP radial uniformity optimization based on center-to-edge model
CN110071041B (en) Preparation method of shallow trench isolation structure, chemical mechanical polishing method and system
US11731231B2 (en) Polishing system, polishing pad, and related methods
TW202212051A (en) Profile control during polishing of a stack of adjacent conductive layers
US20080242196A1 (en) Method and system for controlling chemical mechanical polishing by taking zone specific substrate data into account
US7166015B2 (en) Apparatus and method for controlling fluid material composition on a polishing pad
US6582277B2 (en) Method for controlling a process in a multi-zonal apparatus
JP2003303793A (en) Polishing equipment and method for manufacturing semiconductor device
US9589853B2 (en) Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
CN1823405B (en) System, method and apparatus for improved local dual-damascene planarization
JP5686148B2 (en) Processing condition determination method and semiconductor device manufacturing method
JP5002875B2 (en) Processing shape prediction method, processing condition determination method, processing method, processing system, semiconductor device manufacturing method, computer program, and computer program storage medium
CN100366386C (en) Method and system for controlling the chemical mechanical polishing of substrates by calculating an overpolishing time and/or a polishing time of a final polishing step
KR20070113634A (en) Method for polishing control of a chemical mechanical polishing device
US6291253B1 (en) Feedback control of deposition thickness based on polish planarization
TWI748250B (en) Method and system for performing chemical mechanical polishing on a wafer
JP2003071708A (en) Polishing method and polishing apparatus
US20040214508A1 (en) Apparatus and method for controlling film thickness in a chemical mechanical planarization system

Legal Events

Date Code Title Description
AS Assignment

Owner name: STMICROELECTRONICS, INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHANG, JOHN H.;FERREIRA, PAUL;GOLDBERG, CINDY;REEL/FRAME:025362/0102

Effective date: 20101115

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE