US20130037822A1 - Semiconductor Device and Manufacturing Method Thereof - Google Patents

Semiconductor Device and Manufacturing Method Thereof Download PDF

Info

Publication number
US20130037822A1
US20130037822A1 US13/394,809 US201113394809A US2013037822A1 US 20130037822 A1 US20130037822 A1 US 20130037822A1 US 201113394809 A US201113394809 A US 201113394809A US 2013037822 A1 US2013037822 A1 US 2013037822A1
Authority
US
United States
Prior art keywords
semiconductor
channel
crystal lattice
dislocation line
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/394,809
Other versions
US8754482B2 (en
Inventor
Huaxiang Yin
Qiuxia Xu
Dapeng Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Assigned to Institute of Microelectronics, Chinese Academy of Sciences reassignment Institute of Microelectronics, Chinese Academy of Sciences ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, DAPENG, XU, QIUXIA, YIN, HUAXIANG
Publication of US20130037822A1 publication Critical patent/US20130037822A1/en
Application granted granted Critical
Publication of US8754482B2 publication Critical patent/US8754482B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Definitions

  • the invention relates to the technical field of semiconductor, in particular to a semiconductor device and the manufacturing method thereof.
  • the semiconductor crystal lattice in the channel will have a strain, by which the carrier mobility of the transistor will be increased or reduced; however, it is also known that electrons and holes have different responses to strains of the same type.
  • the strain channel engineering for the purpose of increasing the channel carrier mobility becomes more and more important.
  • the strain introduced into the channel region is very small. Since the carrier mobility increases with the increase in the stress amplitude in the transistor channel region, the performance of the transistor will be further improved if a larger stress is generated in the channel region of the transistor.
  • a semiconductor device which comprises:
  • the crystal lattice dislocation line being at an angle to the channel.
  • the crystal lattice dislocation line applies a mechanical stress to the channel, a compressive stress or a tensile stress is generated in the channel according to the angle between the crystal lattice dislocation line and the channel.
  • the crystal lattice dislocation line is formed by pre-amorphization implantation and annealing.
  • the implantation element used in pre-amorphization implantation is at least one selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+.
  • the semiconductor device is a CMOS device formed by an NMOS device and a PMOS device, and for the NMOS device the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate, and for the PMOS device the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate.
  • an angle between the crystal lattice dislocation line and the channel for the NMOS device is greater than or equal to 90°, and an angle between the crystal lattice dislocation line and the channel for the PMOS device is less than or equal to 90°.
  • the crystal lattice dislocation line generates a compressive stress in the channel region for the PMOS device, and the crystal lattice dislocation line generates a tensile stress in the channel region for the NMOS device.
  • a groove is formed in the semiconductor substrates on either side of the gate structure, which is filled with a second semiconductor material, the second semiconductor material being different from the first semiconductor material.
  • the second semiconductor material is SiGe or Si:C.
  • the semiconductor substrate comprises a shallow trench isolation that includes a stress liner.
  • a semiconductor device manufacturing method which comprises:
  • pre-amorphization implantation to the semiconductor substrate, which implants ion into the semiconductor substrate through the groove so as to generate an amorphized region in the semiconductor substrate;
  • a first strained layer is deposited on the semiconductor substrate, said first strained layer at least covers the inner surface of the groove.
  • said method further comprises a step of removing the first strained layer.
  • said method further comprises depositing or growing a second semiconductor material in the groove, said second semiconductor material being different from the first semiconductor material.
  • the second semiconductor material is SiGe or Si:C.
  • the crystal lattice dislocation line applies a mechanical stress to the channel region, and a compressive stress or a tensile stress is generated in the channel region according to the angle between the crystal lattice dislocation line and the channel.
  • the implantation element used in pre-amorphization implantation is at least one selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+.
  • the semiconductor device is a CMOS device formed by an NMOS device and a PMOS device, and for the NMOS device the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate, and for the PMOS device the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate.
  • an angle between the crystal lattice dislocation line and the channel for the NMOS device is greater than or equal to 90°, and an angle between the crystal lattice dislocation line and the channel for the PMOS device is less than or equal to 90°.
  • the crystal lattice dislocation line generates a compressive stress in the channel region for the PMOS device, and the crystal lattice dislocation line generates a tensile stress in the channel region for the NMOS device.
  • the semiconductor substrate comprises a shallow trench isolation that includes a stress liner.
  • a second strained layer is deposited on the semiconductor substrate, which covers the gate structure and the second semiconductor material.
  • an amorphized region is formed by means of heavy ion implantation and then crystal lattice dislocation lines having different obliquities are generated at the interface through an epitaxial growth.
  • crystal lattice dislocation lines of different obliquities are formed, thereby uniaxial tensile strain and uniaxial compressive strain are formed in the channel.
  • the present invention has the following technical effects: the structure and method of forming a strain close to the channel by self-aligning with high efficiency do not change the fundamental device structure, so they are highly compatible with the existing integrated circuit integrating process, and the process thereof is simple but effect, and is applicable to CMOS, meanwhile, they overcome the technical defect of integration that the conventional pre-amorphization implantation technique releases the source-drain epitaxial SiGe and Si:C layers.
  • FIG. 1 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention
  • FIG. 2 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention
  • FIG. 3 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention
  • FIG. 4 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention
  • FIG. 5 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention
  • FIG. 6 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention
  • FIG. 7 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention.
  • FIG. 8 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention
  • FIG. 9 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention.
  • FIG. 10 shows a vertical sectional view of the final structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention.
  • FIG. 1 shows an exemplary semiconductor device manufacturing method according to an embodiment of the present invention.
  • a semiconductor substrate 1 of a first semiconductor material is provided, which comprises a semiconductor region 100 (i.e. a first semiconductor region 100 ) defined by a shallow trench isolation (STI).
  • the shallow trench isolation may include, for example, such a stress liner as silicon nitride (not shown in the figure).
  • a gate structure is formed on the semiconductor region 100 .
  • the semiconductor region 100 has a doping of a first conductive type and a first doping concentration.
  • the semiconductor region 100 may be an NMOS device region (e.g. an NMOS device region of a CMOS transistor) or a PMOS device region (e.g. a PMOS device region of a CMOS transistor), an NMOS device will be formed in the NMOS device region, and a PMOS device will be formed in the PMOS device region.
  • the first semiconductor material may be selected from, but not limited to, silicon, germanium, silicon-germanium alloy, silicon-carbon alloy, silicon-germanium-carbon alloy, gallium arsenide, indium arsenide, indium phosphide, groups III-V compound semiconductor materials, groups II-IV compound semiconductor materials, organic semiconductor materials and other compound semiconductor materials.
  • the semiconductor substrate 1 may be a bulk substrate, a semiconductor-on-insulator (SOI) substrate or a hybrid substrate having a bulk portion and an SOI portion.
  • SOI semiconductor-on-insulator
  • the gate structure comprises a gate electrode.
  • the gate electrode comprises a gate dielectric layer 130 , a gate conductor layer 132 and a gate cap layer 134 .
  • the gate structure further comprises spacers 136 formed on the two sides of the gate electrode opposite to each other, but the spacers 136 are not indispensable.
  • the material of the gate dielectric layer 130 may include a high K (dielectric constant) material or a low K material, for example, SiO 2 , ZrO 2 , HfO 2 , Al 2 O 3 , HfSiO, HfSiON and/or a mixture thereof.
  • the gate dielectric layer usually comprises a low K material, such as SiO 2 .
  • the gate dielectric layer usually comprises a high K material, such as ZrO 2 , HfO 2 , Al 2 O 3 , HfSiO, HfSiON and/or a mixture thereof.
  • Said gate dielectric layer may be formed through a thermal growing process, such as oxidizing, nitridizing, or oxynitriding.
  • the gate dielectric layer may be formed by a depositing process, for example, Chemical Vapor Deposition (CVD), plasma-assisted CVD, Atomic Layer Deposition (ALD), evaporation, reactive sputtering, chemical solution deposition or other similar depositing processes, and the gate dielectric layer may also be formed by a combination of any of the above-mentioned processes.
  • CVD Chemical Vapor Deposition
  • ALD Atomic Layer Deposition
  • evaporation reactive sputtering
  • chemical solution deposition chemical solution deposition
  • the gate conductor layer 132 may comprise a conductive material of any type, which includes, but is not limited to, polysilicon, metal or metal alloy, silicide, conductive nitride, polycrystal silicon germanium or a combination thereof.
  • the conductive material is usually polysilicon, for example, while with respect to a front gate process of a high K dielectric/metal gate, the conductive material may be metal or metal alloy.
  • the gate cap layer 134 includes such a dielectric material as dielectric oxide or dielectric nitride.
  • the gate cap layer may include silicon nitride.
  • the gate cap layer 134 may be used as an etching mask during the etching to be described later.
  • the material of the spacers 136 may include SiO 2 , Si 3 N 4 or SiON, and the like.
  • grooves 120 are formed in the semiconductor substrate on the opposite sides of the gate structure using an etching process.
  • the gate cap layer and the spacers can be used as mask in a subsequent process.
  • the grooves are formed with a rectangular cross-section by anisotropic drying etching (e.g. RIE), the sidewalls of the grooves being perpendicular to the semiconductor substrate surface.
  • the grooves may be formed with other shapes.
  • the grooves are formed by anisotropic drying etching, and the sidewalls thereof tilt at a certain angle with respect to the substrate surface; or the grooves may also be formed by isotropic wet etching, but the cross-section of the grooves thus formed is usually a curve.
  • the size of the grooves can be adjusted according to the time of etching.
  • pre-amorphization implantation 120 is performed to provide amorphized regions 122 .
  • the gate cap layer 134 and spacers 136 can be used as the blocking materials for the pre-amorphization implantation.
  • the ions for the pre-amorphization implantation may be one or more ions selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+.
  • the implantation parameters e.g. energy and dosage
  • the size and shape of the amorphized regions may be adjusted effectively according to the parameters of the pre-amorphization implantation, namely, they can be adjusted according to the obliquity of ion implantation, the energy and dosage of the ions implanted, and the like.
  • the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate
  • the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate.
  • the amorphized regions are mainly located at the bottom of the grooves and under the gate structure.
  • a stress layer 124 is formed on the semiconductor substrate.
  • the stress layer 124 covers the inner surfaces of the grooves, the gate structure and/or the shallow trench isolation. Alternatively, the stress layer 124 covers only the inner surface of the grooves.
  • a tensile-stress layer is formed; with respect to the PMOS device region, a compressive-stress layer is formed.
  • Both the tensile-stress layer and the compressive-stress layer may include silicon nitride (Si 3 N 4 ) having an inherent strain.
  • the compressive-stress layer includes high density plasma silicon nitride, i.e. silicon nitride formed by using a high density plasma deposition process.
  • annealing is performed, so that the amorphized regions are subject to a solid phase epitaxial growth and generates dislocation lines at the mask edges during the growth.
  • the annealing process may include high temperature rapid annealing, laser annealing, low temperature and long time annealing, etc.
  • the annealing can memorize the stress in the semiconductor substrate 1 and re-crystallize the amorphized regions to convert them into doped crystallized regions.
  • the annealing preferably includes using a temperature that is not lower than about 400° but not higher than about 1200°.
  • the temperature of annealing is dependent on the time of annealing and the type and concentration of ions implanted.
  • the temperature of annealing should be a temperature that enables memorization of the stress in the semiconductor substrate 1 while re-crystallizing the amorphized regions.
  • dislocation lines 150 will be formed in the semiconductor substrate under the gate structure (usually at a position corresponding to the channel). The dislocation lines in the channel will form different uniaxial tensile strains and uniaxial compressive strains.
  • the dislocation line is at an angle (i.e. the angle between the dislocation line and the channel) ⁇ to the horizontal direction (a direction parallel to the surface of the semiconductor substrate), as shown in FIG. 5 .
  • the angle ⁇ is usually defined as such: the two dislocation lines on the source and drain sides as shown in FIG. 5 are considered as two opposite sides of a quadrilateral (e.g. a trapezoid), and the inner angle at the lower left is called the angle ⁇ between the dislocation line and the channel.
  • is usually less than 90°
  • NMOS device region ⁇ is usually greater than 90°.
  • the stress layer is removed.
  • This step of removing may include wet or dry etching.
  • the silicon nitride stress layer is removed by wet stripping using hot phosphoric acid.
  • a second semiconductor material 160 is grown through selective epitaxy in the groove region.
  • the second semiconductor material can be Si, but preferably, the second semiconductor material is a material different from the first semiconductor material.
  • a second semiconductor material is deposited on the exposed semiconductor surface, while no deposition occurs in the insulator surface, namely, the growth of the second semiconductor material is selective to the insulator surface.
  • the exposed semiconductor surface mainly includes the inner surface of the grooves.
  • the second semiconductor material epitaxially grown in the grooves forms a source region and a drain region.
  • the second semiconductor material may be Si 1-x Ge x (the atomic number percentage x of Ge may be 40%, and the content of Ge may be adjusted flexibly according to the need of the process, for example, x may be any value between 10%-70%, specifically, x may be 20%, 30%, 40%, 50% or 60%).
  • the second semiconductor material may provide a compressive stress to the channel region of the PMOS device, which helps to improve the performance of the semiconductor device.
  • the second semiconductor material may be formed by an epitaxial method using the silicon substrate as seed crystal.
  • the second semiconductor material may be Si:C (the atomic number percentage of C may be 0-2%, for example, 0.5%, 1% or 1.5%, and the content of C may be adjusted flexibly according to the need of the process).
  • the second semiconductor material may provide a tensile stress to the channel region of the NMOS device, which helps to improve the performance of the semiconductor device.
  • the second semiconductor material may be formed by an epitaxial method using the silicon substrate as seed crystal.
  • Ion doping operation may be directly performed during growing the second semiconductor material, for example, doping a reactant containing a doping ion component into the reactant for generating the second semiconductor material, or the ion doping may be performed through an ion implantation process after generating the second semiconductor material.
  • Doping in situ may have the following advantage: since the dopant introduced into the second semiconductor material is incorporated into the substituent position of the crystal lattice structure during the doping in situ, the need of activating and annealing the dopant is eliminated, thus minimizing the thermal diffusion of the dopant.
  • the present invention is described by the source region and drain region being substantially co-planar with the top surface of the semiconductor substrate 1 , the source region and drain region may rise to be above the top surface of the semiconductor substrate, and said change is explicitly considered herein, in which the surface shapes of the source region and drain region may be, for example, rhombic.
  • the formed second semiconductor material is higher than the top surface of the semiconductor substrate; with respect to the NMOS device, the formed second semiconductor material is co-planar with the top surface of the semiconductor substrate.
  • spacers 136 are removed, and then ion implantation is performed again to for source-drain extension regions 162 . Then, spacers 136 ′ are formed for the second time. Alternatively, a rapid annealing is performed to activate the implanted dopant.
  • the gate cap layer 134 is removed by etching to expose the gate conductor layer 132 .
  • a metal layer is deposited and an anneal is carried out to induce the metal layer to react with the semiconductor material thereunder, thereby forming a metal semiconductor alloy 170 on the exposed semiconductor surface, as shown in FIG. 8 .
  • the source and drain metal semiconductor alloys are formed on the source region and the drain region.
  • the gate metal semiconductor alloy is formed on the gate conductor layer 132 .
  • the source and drain metal semiconductor alloys include such silicide alloy as silicide-germanide alloy or silicide-carbon alloy.
  • the methods for forming various metal semiconductor alloys are known in the art.
  • a stress layer 140 is formed on the semiconductor substrate.
  • a tensile-stress layer is formed; with respect to the PMOS device region, a compress-stress layer is formed.
  • an interlayer dielectric layer 180 is formed on the stress layer, which can be one of or a combination of doped or undoped silicon oxide glass (e.g. fluorosilicone glass, borosilicate glass, phosphorosilicate glass, boron-phosphorosilicate glass, silicon-carbon oxide or silicon carbon oxynitride) and a dielectric material with a low dielectric constant (e.g. black diamond, coral).
  • the interlayer dielectric layer may be formed by Chemical Vapor Deposition (CVD), Pulsed Laser Deposition (PLD), Atomic Layer Deposition (ALD), Plasma Enhanced Atomic Layer Deposition (PEALD) or other appropriate processes.
  • Various contact holes are formed in the stress layer and the interlayer dielectric layer and are filled with metal, so that various contact vias 190 are formed.
  • the contact vias are formed on the gate metal semiconductor alloy and are formed on the source and drain metal semiconductor alloys.
  • the second semiconductor material that is different from the first semiconductor material can apply a uniaxial stress in the channel region of the semiconductor region 100 , so that the carrier mobility is increased through said uniaxial stress.
  • the semiconductor region 100 may be a PMOS device region
  • the second semiconductor material may be silicon-germanium alloy
  • the uniaxial stress may be a compressive stress, thus the hole mobility is increased through the uniaxial compressive stress.
  • the semiconductor region 100 may be an NMOS device region
  • the second semiconductor material may be silicon-carbon alloy
  • the uniaxial stress may be a tensile stress, thus the electron mobility is increased through the uniaxial tensile stress.

Abstract

A semiconductor device and its manufacturing method are provided. The semiconductor device comprises: a semiconductor substrate of a first semiconductor material, a gate structure on the semiconductor substrate, a crystal lattice dislocation line in a channel under the gate structure for generating channel stress, wherein the crystal lattice dislocation line being at an angle to the channel.

Description

    FIELD OF THE INVENTION
  • The invention relates to the technical field of semiconductor, in particular to a semiconductor device and the manufacturing method thereof.
  • BACKGROUND OF THE INVENTION
  • It has been proved both by theories and experiences that when stress is applied to the channel of a transistor, the semiconductor crystal lattice in the channel will have a strain, by which the carrier mobility of the transistor will be increased or reduced; however, it is also known that electrons and holes have different responses to strains of the same type. For example, it is advantageous to the increase of the hole mobility by applying a compressive stress in a longitudinal direction along which the electric current flows to cause a compressive strain on the crystal lattice in the channel region, but this accordingly reduces the electron mobility; it is advantageous to the increase of the electron mobility by applying a tensile stress in the longitudinal direction to cause a tensile strain on the crystal lattice in the channel region, but this accordingly reduces the hole mobility. With the continuous reduction in the feature size of the device, the strain channel engineering for the purpose of increasing the channel carrier mobility becomes more and more important.
  • However, in the prior art, the strain introduced into the channel region is very small. Since the carrier mobility increases with the increase in the stress amplitude in the transistor channel region, the performance of the transistor will be further improved if a larger stress is generated in the channel region of the transistor.
  • In view of the above, with respect to transistor devices, there is still a need for a new method and semiconductor structure for introducing strain.
  • SUMMARY OF THE INVENTION
  • According to one aspect of the present invention, a semiconductor device is provided, which comprises:
  • a semiconductor substrate of a first semiconductor material,
  • a gate structure on the semiconductor substrate,
  • a crystal lattice dislocation line in a channel under the gate structure for generating channel stress,
  • the crystal lattice dislocation line being at an angle to the channel.
  • Wherein the crystal lattice dislocation line applies a mechanical stress to the channel, a compressive stress or a tensile stress is generated in the channel according to the angle between the crystal lattice dislocation line and the channel.
  • Wherein the crystal lattice dislocation line is formed by pre-amorphization implantation and annealing.
  • Wherein the implantation element used in pre-amorphization implantation is at least one selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+.
  • Wherein the semiconductor device is a CMOS device formed by an NMOS device and a PMOS device, and for the NMOS device the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate, and for the PMOS device the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate.
  • Wherein an angle between the crystal lattice dislocation line and the channel for the NMOS device is greater than or equal to 90°, and an angle between the crystal lattice dislocation line and the channel for the PMOS device is less than or equal to 90°.
  • Wherein the crystal lattice dislocation line generates a compressive stress in the channel region for the PMOS device, and the crystal lattice dislocation line generates a tensile stress in the channel region for the NMOS device.
  • Wherein a groove is formed in the semiconductor substrates on either side of the gate structure, which is filled with a second semiconductor material, the second semiconductor material being different from the first semiconductor material.
  • Wherein the second semiconductor material is SiGe or Si:C.
  • Wherein the semiconductor substrate comprises a shallow trench isolation that includes a stress liner.
  • Wherein the gate structure and the second semiconductor material are covered with a stress layer.
  • According to another aspect of the present invention, a semiconductor device manufacturing method is provided, which comprises:
  • providing a semiconductor substrate of a first semiconductor material, on which a gate structure is formed;
  • forming a groove in the semiconductor substrates on either side of the gate structure;
  • performing pre-amorphization implantation to the semiconductor substrate, which implants ion into the semiconductor substrate through the groove so as to generate an amorphized region in the semiconductor substrate;
  • annealing the semiconductor substrate, during which the amorphized region is subject to a solid phase epitaxial growth to generate a crystal lattice dislocation line under the gate structure that results in a channel stress.
  • Wherein before the annealing step, a first strained layer is deposited on the semiconductor substrate, said first strained layer at least covers the inner surface of the groove.
  • Wherein after the annealing step, said method further comprises a step of removing the first strained layer.
  • Wherein after the step of removing the first strained layer, said method further comprises depositing or growing a second semiconductor material in the groove, said second semiconductor material being different from the first semiconductor material.
  • Wherein the second semiconductor material is SiGe or Si:C.
  • Wherein the crystal lattice dislocation line applies a mechanical stress to the channel region, and a compressive stress or a tensile stress is generated in the channel region according to the angle between the crystal lattice dislocation line and the channel.
  • Wherein the implantation element used in pre-amorphization implantation is at least one selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+.
  • Wherein the semiconductor device is a CMOS device formed by an NMOS device and a PMOS device, and for the NMOS device the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate, and for the PMOS device the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate.
  • Wherein an angle between the crystal lattice dislocation line and the channel for the NMOS device is greater than or equal to 90°, and an angle between the crystal lattice dislocation line and the channel for the PMOS device is less than or equal to 90°.
  • Wherein the crystal lattice dislocation line generates a compressive stress in the channel region for the PMOS device, and the crystal lattice dislocation line generates a tensile stress in the channel region for the NMOS device.
  • Wherein the semiconductor substrate comprises a shallow trench isolation that includes a stress liner.
  • A second strained layer is deposited on the semiconductor substrate, which covers the gate structure and the second semiconductor material.
  • According to the structure and method of the stress of the CMOS device being self-aligned and close to the channel as put forward by the present invention, an amorphized region is formed by means of heavy ion implantation and then crystal lattice dislocation lines having different obliquities are generated at the interface through an epitaxial growth. Through implantation into the source/drain grooves, and the obliquity of pre-amorphization implantation and/or covering the surface with a strained dielectric layer, crystal lattice dislocation lines of different obliquities are formed, thereby uniaxial tensile strain and uniaxial compressive strain are formed in the channel.
  • The present invention has the following technical effects: the structure and method of forming a strain close to the channel by self-aligning with high efficiency do not change the fundamental device structure, so they are highly compatible with the existing integrated circuit integrating process, and the process thereof is simple but effect, and is applicable to CMOS, meanwhile, they overcome the technical defect of integration that the conventional pre-amorphization implantation technique releases the source-drain epitaxial SiGe and Si:C layers.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Throughout the figures, the same reference signs denote the same or similar components, wherein,
  • FIG. 1 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 2 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 3 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 4 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 5 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 6 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 7 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 8 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 9 shows a vertical sectional view of the intermediate structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention;
  • FIG. 10 shows a vertical sectional view of the final structure of an exemplary semiconductor device manufacturing method according to one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • One or more aspects of the embodiment of the present invention will be described below with reference to the figures, wherein throughout the figures, the same elements are usually represented by the same reference signs. In the descriptions below, many specific details are elucidated for the purpose of explanation, so that a thorough understanding of one or more aspects of the embodiment of the present invention can be provided. However, it is obvious to those skilled in the art that one or more aspects of the embodiment of the present invention may be implemented by a lower degree of said specific details.
  • In addition, although specific features or aspects of the embodiment are disclosed with respect to only one preferred embodiment among some preferred embodiments, such features or aspects can be combined with one or more other features or aspects of other preferred embodiments that might be desirable for and advantageous to any given or specific application.
  • FIG. 1 shows an exemplary semiconductor device manufacturing method according to an embodiment of the present invention. First, a semiconductor substrate 1 of a first semiconductor material is provided, which comprises a semiconductor region 100 (i.e. a first semiconductor region 100) defined by a shallow trench isolation (STI). The shallow trench isolation may include, for example, such a stress liner as silicon nitride (not shown in the figure). A gate structure is formed on the semiconductor region 100.
  • The semiconductor region 100 has a doping of a first conductive type and a first doping concentration. For example, the semiconductor region 100 may be an NMOS device region (e.g. an NMOS device region of a CMOS transistor) or a PMOS device region (e.g. a PMOS device region of a CMOS transistor), an NMOS device will be formed in the NMOS device region, and a PMOS device will be formed in the PMOS device region.
  • The first semiconductor material may be selected from, but not limited to, silicon, germanium, silicon-germanium alloy, silicon-carbon alloy, silicon-germanium-carbon alloy, gallium arsenide, indium arsenide, indium phosphide, groups III-V compound semiconductor materials, groups II-IV compound semiconductor materials, organic semiconductor materials and other compound semiconductor materials. Although the present invention is described with monocrystalline silicon, embodiments using other semiconductor materials are also explicitly contemplated herein.
  • The semiconductor substrate 1 may be a bulk substrate, a semiconductor-on-insulator (SOI) substrate or a hybrid substrate having a bulk portion and an SOI portion. Although the present invention is described with a bulk substrate, the embodiments using an SOI substrate or a hybrid substrate are also explicitly contemplated herein.
  • As shown in FIG. 1, the gate structure comprises a gate electrode. The gate electrode comprises a gate dielectric layer 130, a gate conductor layer 132 and a gate cap layer 134. In the example shown in FIG. 1, the gate structure further comprises spacers 136 formed on the two sides of the gate electrode opposite to each other, but the spacers 136 are not indispensable.
  • The material of the gate dielectric layer 130 may include a high K (dielectric constant) material or a low K material, for example, SiO2, ZrO2, HfO2, Al2O3, HfSiO, HfSiON and/or a mixture thereof. With respect to a conventional CMOS, the gate dielectric layer usually comprises a low K material, such as SiO2. With respect to a gate-first process or gate-last process of a high K dielectric/metal gate, the gate dielectric layer usually comprises a high K material, such as ZrO2, HfO2, Al2O3, HfSiO, HfSiON and/or a mixture thereof. Said gate dielectric layer may be formed through a thermal growing process, such as oxidizing, nitridizing, or oxynitriding. Alternatively, the gate dielectric layer may be formed by a depositing process, for example, Chemical Vapor Deposition (CVD), plasma-assisted CVD, Atomic Layer Deposition (ALD), evaporation, reactive sputtering, chemical solution deposition or other similar depositing processes, and the gate dielectric layer may also be formed by a combination of any of the above-mentioned processes.
  • The gate conductor layer 132 may comprise a conductive material of any type, which includes, but is not limited to, polysilicon, metal or metal alloy, silicide, conductive nitride, polycrystal silicon germanium or a combination thereof. With respect to a conventional CMOS device, the conductive material is usually polysilicon, for example, while with respect to a front gate process of a high K dielectric/metal gate, the conductive material may be metal or metal alloy.
  • The gate cap layer 134 includes such a dielectric material as dielectric oxide or dielectric nitride. For example, the gate cap layer may include silicon nitride. The gate cap layer 134 may be used as an etching mask during the etching to be described later. The material of the spacers 136 may include SiO2, Si3N4 or SiON, and the like.
  • Then, as shown in FIG. 2, grooves 120 are formed in the semiconductor substrate on the opposite sides of the gate structure using an etching process. The gate cap layer and the spacers can be used as mask in a subsequent process. As an example, the grooves are formed with a rectangular cross-section by anisotropic drying etching (e.g. RIE), the sidewalls of the grooves being perpendicular to the semiconductor substrate surface.
  • Those skilled in the art would understand that the grooves may be formed with other shapes. For example, the grooves are formed by anisotropic drying etching, and the sidewalls thereof tilt at a certain angle with respect to the substrate surface; or the grooves may also be formed by isotropic wet etching, but the cross-section of the grooves thus formed is usually a curve. With respect to a given etching rate, the size of the grooves can be adjusted according to the time of etching.
  • Next, as shown in FIG. 3, pre-amorphization implantation 120 is performed to provide amorphized regions 122. The gate cap layer 134 and spacers 136 can be used as the blocking materials for the pre-amorphization implantation. The ions for the pre-amorphization implantation may be one or more ions selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+. The implantation parameters (e.g. energy and dosage) may be appropriately selected to obtain lattice damage of a desired degree. It shall be understood that the size and shape of the amorphized regions may be adjusted effectively according to the parameters of the pre-amorphization implantation, namely, they can be adjusted according to the obliquity of ion implantation, the energy and dosage of the ions implanted, and the like. Preferably, with respect to the PMOS device region, the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate, with respect to the NMOS device region, the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate. The amorphized regions are mainly located at the bottom of the grooves and under the gate structure.
  • Then, as shown in FIG. 4, a stress layer 124 is formed on the semiconductor substrate. The stress layer 124 covers the inner surfaces of the grooves, the gate structure and/or the shallow trench isolation. Alternatively, the stress layer 124 covers only the inner surface of the grooves. With respect to the NMOS device region, a tensile-stress layer is formed; with respect to the PMOS device region, a compressive-stress layer is formed. Both the tensile-stress layer and the compressive-stress layer may include silicon nitride (Si3N4) having an inherent strain. However, in a preferred embodiment, the compressive-stress layer includes high density plasma silicon nitride, i.e. silicon nitride formed by using a high density plasma deposition process.
  • Next, as shown in FIG. 5, annealing is performed, so that the amorphized regions are subject to a solid phase epitaxial growth and generates dislocation lines at the mask edges during the growth. The annealing process may include high temperature rapid annealing, laser annealing, low temperature and long time annealing, etc.
  • The annealing can memorize the stress in the semiconductor substrate 1 and re-crystallize the amorphized regions to convert them into doped crystallized regions. The annealing preferably includes using a temperature that is not lower than about 400° but not higher than about 1200°. The temperature of annealing is dependent on the time of annealing and the type and concentration of ions implanted. The temperature of annealing should be a temperature that enables memorization of the stress in the semiconductor substrate 1 while re-crystallizing the amorphized regions. After annealing, dislocation lines 150 will be formed in the semiconductor substrate under the gate structure (usually at a position corresponding to the channel). The dislocation lines in the channel will form different uniaxial tensile strains and uniaxial compressive strains.
  • The dislocation line is at an angle (i.e. the angle between the dislocation line and the channel) θ to the horizontal direction (a direction parallel to the surface of the semiconductor substrate), as shown in FIG. 5. The angle θ is usually defined as such: the two dislocation lines on the source and drain sides as shown in FIG. 5 are considered as two opposite sides of a quadrilateral (e.g. a trapezoid), and the inner angle at the lower left is called the angle θ between the dislocation line and the channel. With respect to the PMOS device region, θ is usually less than 90°, with respect to the NMOS device region, θ is usually greater than 90°.
  • Next, as shown in FIG. 6, the stress layer is removed. This step of removing may include wet or dry etching. For example, the silicon nitride stress layer is removed by wet stripping using hot phosphoric acid.
  • Subsequently, as shown in FIG. 7, a second semiconductor material 160 is grown through selective epitaxy in the groove region. The second semiconductor material can be Si, but preferably, the second semiconductor material is a material different from the first semiconductor material.
  • During the epitaxial growth, a second semiconductor material is deposited on the exposed semiconductor surface, while no deposition occurs in the insulator surface, namely, the growth of the second semiconductor material is selective to the insulator surface. The exposed semiconductor surface mainly includes the inner surface of the grooves. The second semiconductor material epitaxially grown in the grooves forms a source region and a drain region.
  • With respect to a P type semiconductor device (i.e. PMOS device), the second semiconductor material may be Si1-xGex (the atomic number percentage x of Ge may be 40%, and the content of Ge may be adjusted flexibly according to the need of the process, for example, x may be any value between 10%-70%, specifically, x may be 20%, 30%, 40%, 50% or 60%). The second semiconductor material may provide a compressive stress to the channel region of the PMOS device, which helps to improve the performance of the semiconductor device. The second semiconductor material may be formed by an epitaxial method using the silicon substrate as seed crystal.
  • With respect to an N type semiconductor device (i.e. an NMOS device), the second semiconductor material may be Si:C (the atomic number percentage of C may be 0-2%, for example, 0.5%, 1% or 1.5%, and the content of C may be adjusted flexibly according to the need of the process). The second semiconductor material may provide a tensile stress to the channel region of the NMOS device, which helps to improve the performance of the semiconductor device. The second semiconductor material may be formed by an epitaxial method using the silicon substrate as seed crystal.
  • Ion doping operation (i.e. doping in situ) may be directly performed during growing the second semiconductor material, for example, doping a reactant containing a doping ion component into the reactant for generating the second semiconductor material, or the ion doping may be performed through an ion implantation process after generating the second semiconductor material.
  • Doping in situ may have the following advantage: since the dopant introduced into the second semiconductor material is incorporated into the substituent position of the crystal lattice structure during the doping in situ, the need of activating and annealing the dopant is eliminated, thus minimizing the thermal diffusion of the dopant.
  • Although the present invention is described by the source region and drain region being substantially co-planar with the top surface of the semiconductor substrate 1, the source region and drain region may rise to be above the top surface of the semiconductor substrate, and said change is explicitly considered herein, in which the surface shapes of the source region and drain region may be, for example, rhombic. Alternatively, with respect to the PMOS device, the formed second semiconductor material is higher than the top surface of the semiconductor substrate; with respect to the NMOS device, the formed second semiconductor material is co-planar with the top surface of the semiconductor substrate.
  • Next, the original spacers 136 are removed, and then ion implantation is performed again to for source-drain extension regions 162. Then, spacers 136′ are formed for the second time. Alternatively, a rapid annealing is performed to activate the implanted dopant.
  • Next, the gate cap layer 134 is removed by etching to expose the gate conductor layer 132.
  • Then, a metal layer is deposited and an anneal is carried out to induce the metal layer to react with the semiconductor material thereunder, thereby forming a metal semiconductor alloy 170 on the exposed semiconductor surface, as shown in FIG. 8. Specifically, the source and drain metal semiconductor alloys are formed on the source region and the drain region. The gate metal semiconductor alloy is formed on the gate conductor layer 132. In a case where the second semiconductor material includes such silicon alloy as silicon-germanium alloy or silicon carbon alloy, the source and drain metal semiconductor alloys include such silicide alloy as silicide-germanide alloy or silicide-carbon alloy. The methods for forming various metal semiconductor alloys are known in the art.
  • Then, as shown in FIG. 9, a stress layer 140 is formed on the semiconductor substrate. With respect to the NMOS device region, a tensile-stress layer is formed; with respect to the PMOS device region, a compress-stress layer is formed.
  • Next, as shown in FIG. 10, an interlayer dielectric layer 180 is formed on the stress layer, which can be one of or a combination of doped or undoped silicon oxide glass (e.g. fluorosilicone glass, borosilicate glass, phosphorosilicate glass, boron-phosphorosilicate glass, silicon-carbon oxide or silicon carbon oxynitride) and a dielectric material with a low dielectric constant (e.g. black diamond, coral). The interlayer dielectric layer may be formed by Chemical Vapor Deposition (CVD), Pulsed Laser Deposition (PLD), Atomic Layer Deposition (ALD), Plasma Enhanced Atomic Layer Deposition (PEALD) or other appropriate processes.
  • Various contact holes are formed in the stress layer and the interlayer dielectric layer and are filled with metal, so that various contact vias 190 are formed. To be specific, the contact vias are formed on the gate metal semiconductor alloy and are formed on the source and drain metal semiconductor alloys.
  • The second semiconductor material that is different from the first semiconductor material can apply a uniaxial stress in the channel region of the semiconductor region 100, so that the carrier mobility is increased through said uniaxial stress. When the first semiconductor material includes silicon, the semiconductor region 100 may be a PMOS device region, the second semiconductor material may be silicon-germanium alloy, the uniaxial stress may be a compressive stress, thus the hole mobility is increased through the uniaxial compressive stress. When the first semiconductor material includes silicon, the semiconductor region 100 may be an NMOS device region, the second semiconductor material may be silicon-carbon alloy, and the uniaxial stress may be a tensile stress, thus the electron mobility is increased through the uniaxial tensile stress.
  • In addition, the scope to which the present invention is applied is not limited to the process, mechanism, manufacture, material composition, means, methods and steps described in the specific embodiments in the specification. Those skilled in the art would readily appreciate from the disclosure of the present invention that the process, mechanism, manufacture, material composition, means, methods and steps currently existing or to be developed in future, which perform substantially the same functions or achieve substantially the same as that in the corresponding embodiments described in the present invention, may be applied according to the teaching of the present invention without departing from the protection scope thereof.

Claims (23)

1. A semiconductor device, comprising:
a semiconductor substrate of a first semiconductor material,
a gate structure on the semiconductor substrate, and
a crystal lattice dislocation line in a channel under the gate structure for generating channel stress,
wherein the crystal lattice dislocation line being at an angle to the channel.
2. The semiconductor device according to claim 1, wherein the crystal lattice dislocation line applies a mechanical stress to the channel, a compressive stress or a tensile stress is generated in the channel according to the angle between the crystal lattice dislocation line and the channel.
3. The semiconductor device according to claim 1, wherein the crystal lattice dislocation line is formed by pre-amorphization implantation and annealing.
4. The semiconductor device according to claim 3, wherein the implantation element used in pre-amorphization implantation is at least one selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+.
5. The semiconductor device according to claim 3, wherein the semiconductor device is a CMOS device formed by an NMOS device and a PMOS device, and for the NMOS device the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate, and for the PMOS device the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate.
6. The semiconductor device according to claim 5, wherein an angle between the crystal lattice dislocation line and the channel for the NMOS device is greater than or equal to 90°, and an angle between the crystal lattice dislocation line and the channel for the PMOS device is less than or equal to 90°.
7. The semiconductor device according to claim 6, wherein the crystal lattice dislocation line generates a compressive stress in the channel region for the PMOS device, and the crystal lattice dislocation line generates a tensile stress in the channel region for the NMOS device.
8. The semiconductor device according to claim 1, further comprising a groove formed in the semiconductor substrates on either side of the gate structure, which is filled with a second semiconductor material, the second semiconductor material being different from the first semiconductor material.
9. The semiconductor device according to claim 8, wherein the second semiconductor material is SiGe or Si:C.
10. The semiconductor device according to claim 1, wherein the semiconductor substrate comprises a shallow trench isolation that includes a stress liner.
11. The semiconductor device according to claim 8, wherein the gate structure and the second semiconductor material are covered with a stress layer.
12. A semiconductor device manufacturing method, comprising:
providing a semiconductor substrate of a first semiconductor material, on which a gate structure is formed;
forming a groove in the semiconductor substrates on either side of the gate structure;
performing pre-amorphization implantation to the semiconductor substrate, which implants ion into the semiconductor substrate through the groove so as to generate an amorphized region in the semiconductor substrate;
annealing the semiconductor substrate, during which the amorphized region is subject to a solid phase epitaxial growth to generate a crystal lattice dislocation line under the gate structure that results in a channel stress.
13. The method according to claim 12, wherein before the annealing step, a first strained layer is deposited on the semiconductor substrate, said first strained layer at least covers the inner surface of the groove.
14. The method according to claim 13, wherein after the annealing step, said method further comprises a step of removing the first strained layer.
15. The method according to claim 14, wherein after the step of removing the first strained layer, said method further comprises depositing or growing a second semiconductor material in the groove, said second semiconductor material being different from the first semiconductor material.
16. The method according to claim 15, wherein the second semiconductor material is SiGe or Si:C.
17. The method according to claim 12, wherein the crystal lattice dislocation line applies a mechanical stress to the channel region, and a compressive stress or a tensile stress is generated in the channel region according to the angle between the crystal lattice dislocation line and the channel.
18. The method according to claim 12, wherein the implantation element used in pre-amorphization implantation is at least one selected from a group consisting of Si+, C+, F+, Ge+, In+, Sb+, Xe+, Sn+, Ar+and H+.
19. The method according to claim 12, wherein the semiconductor device is a CMOS device formed by an NMOS device and a PMOS device, and for the NMOS device the direction of the pre-amorphization implantation is at an angle of less than 45° to the normal of the semiconductor substrate, and for the PMOS device the direction of the pre-amorphization implantation is at an angle of greater than 45° to the normal of the semiconductor substrate.
20. The method according to claim 19, wherein an angle between the crystal lattice dislocation line and the channel for the NMOS device is greater than or equal to 90°, and an angle between the crystal lattice dislocation line and the channel for the PMOS device is less than or equal to 90°.
21. The method according to claim 20, wherein the crystal lattice dislocation line generates a compressive stress in the channel region for the PMOS device, and the crystal lattice dislocation line generates a tensile stress in the channel region for the NMOS device.
22. The method according to claim 12, wherein the semiconductor substrate comprises a shallow trench isolation that includes a stress liner.
23. The method according to claim 15, further comprising depositing a second strained layer on the semiconductor substrate, which covers the gate structure and the second semiconductor material.
US13/394,809 2011-08-08 2011-11-25 Semiconductor device and manufacturing method thereof Active 2032-05-27 US8754482B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN201110225524.7A CN102931222B (en) 2011-08-08 2011-08-08 Semiconductor device and manufacturing method thereof
CN201110225524.7 2011-08-08
CN201110225524 2011-08-08
PCT/CN2011/001966 WO2013020255A1 (en) 2011-08-08 2011-11-25 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
US20130037822A1 true US20130037822A1 (en) 2013-02-14
US8754482B2 US8754482B2 (en) 2014-06-17

Family

ID=47645985

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/394,809 Active 2032-05-27 US8754482B2 (en) 2011-08-08 2011-11-25 Semiconductor device and manufacturing method thereof

Country Status (3)

Country Link
US (1) US8754482B2 (en)
CN (1) CN102931222B (en)
WO (1) WO2013020255A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130187221A1 (en) * 2012-01-23 2013-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US20140346576A1 (en) * 2011-10-24 2014-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mosfets with multiple dislocation planes
US20150021702A1 (en) * 2013-07-22 2015-01-22 Globalfoundries Inc. Shallow trench isolation
US9508718B2 (en) * 2014-12-29 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contact structure and method for forming the same
US20180083045A1 (en) * 2016-09-16 2018-03-22 International Business Machines Corporation Asymmetric junction engineering for narrow band gap mosfet
US20180166569A1 (en) * 2016-08-26 2018-06-14 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and fabricating method thereof
CN109473468A (en) * 2018-10-26 2019-03-15 中国科学院微电子研究所 Semiconductor devices and its production method
US10923367B2 (en) 2011-10-27 2021-02-16 Applied Materials, Inc. Process chamber for etching low K and other dielectric films

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104103570B (en) * 2013-04-11 2018-11-06 中国科学院微电子研究所 Enhance the method for shallow trench isolation stress
CN104217955B (en) * 2013-06-05 2017-11-03 中芯国际集成电路制造(上海)有限公司 N-type transistor and preparation method thereof, complementary metal oxide semiconductor
CN104425273A (en) * 2013-09-02 2015-03-18 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
CN104779286B (en) * 2014-01-10 2018-03-06 中芯国际集成电路制造(上海)有限公司 A kind of NMOSFET devices and preparation method thereof
CN104779161A (en) * 2014-01-14 2015-07-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and preparation method thereof
CN104835785A (en) * 2014-02-08 2015-08-12 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
CN104934324B (en) * 2014-03-18 2018-06-08 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacturing method
US9443957B1 (en) * 2015-03-12 2016-09-13 International Business Machines Corporation Self-aligned source and drain regions for semiconductor devices
CN105655255A (en) * 2015-12-17 2016-06-08 北京大学 Preparation method of strained germanium device
US10043903B2 (en) 2015-12-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor devices with source/drain stress liner
CN108155238B (en) * 2017-12-13 2020-08-11 电子科技大学 Strain NMOSFET device with surface stress modulation structure
US10629752B1 (en) * 2018-10-11 2020-04-21 Applied Materials, Inc. Gate all-around device
CN113555432A (en) * 2020-04-23 2021-10-26 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN111584636B (en) * 2020-05-28 2023-09-19 上海华力集成电路制造有限公司 P-type MOSFET and manufacturing method thereof
CN115411091A (en) * 2022-08-25 2022-11-29 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050017309A1 (en) * 2003-03-31 2005-01-27 Weber Cory E. Nitrogen controlled growth of dislocation loop in stress enhanced transistor
US20060011982A1 (en) * 2003-03-05 2006-01-19 Micron Technology, Inc. Micro-mechanically strained semiconductor film
US20070290264A1 (en) * 2006-06-14 2007-12-20 Nobuyuki Sugii Semiconductor device and a method of manufacturing the same
US20080014688A1 (en) * 2006-07-06 2008-01-17 Voon-Yew Thean Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US20080042211A1 (en) * 2006-08-18 2008-02-21 Micron Technology, Inc. Strained semiconductor channels and methods of formation
US20090014805A1 (en) * 2007-07-09 2009-01-15 Periannan Chidambaram Method to improve performance of secondary active components in an esige cmos technology
US20090267149A1 (en) * 2008-04-24 2009-10-29 International Business Machines Corporation Source/drain junction for high performance mosfet formed by selective epi process
US20100295127A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Method of forming a planar field effect transistor with embedded and faceted source/drain stressors on a silicon-on-insulator (soi) wafer, a planar field effect transistor structure and a design structure for the planar field effect transistor
US20110201165A1 (en) * 2008-06-30 2011-08-18 Advanced Micro Devices, Inc. Cmos device comprising mos transistors with recessed drain and source areas and non-conformal metal silicide regions

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN2720640Y (en) * 2004-04-26 2005-08-24 台湾积体电路制造股份有限公司 Strain slotted transistor structure with crystal lattice asynmmetry area
US20080121932A1 (en) 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7927989B2 (en) * 2007-07-27 2011-04-19 Freescale Semiconductor, Inc. Method for forming a transistor having gate dielectric protection and structure
US8035141B2 (en) * 2009-10-28 2011-10-11 International Business Machines Corporation Bi-layer nFET embedded stressor element and integration to enhance drive current

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060011982A1 (en) * 2003-03-05 2006-01-19 Micron Technology, Inc. Micro-mechanically strained semiconductor film
US20050017309A1 (en) * 2003-03-31 2005-01-27 Weber Cory E. Nitrogen controlled growth of dislocation loop in stress enhanced transistor
US20070290264A1 (en) * 2006-06-14 2007-12-20 Nobuyuki Sugii Semiconductor device and a method of manufacturing the same
US20080014688A1 (en) * 2006-07-06 2008-01-17 Voon-Yew Thean Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US20080042211A1 (en) * 2006-08-18 2008-02-21 Micron Technology, Inc. Strained semiconductor channels and methods of formation
US20090014805A1 (en) * 2007-07-09 2009-01-15 Periannan Chidambaram Method to improve performance of secondary active components in an esige cmos technology
US20090267149A1 (en) * 2008-04-24 2009-10-29 International Business Machines Corporation Source/drain junction for high performance mosfet formed by selective epi process
US20110201165A1 (en) * 2008-06-30 2011-08-18 Advanced Micro Devices, Inc. Cmos device comprising mos transistors with recessed drain and source areas and non-conformal metal silicide regions
US20100295127A1 (en) * 2009-05-21 2010-11-25 International Business Machines Corporation Method of forming a planar field effect transistor with embedded and faceted source/drain stressors on a silicon-on-insulator (soi) wafer, a planar field effect transistor structure and a design structure for the planar field effect transistor

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180102430A1 (en) * 2011-10-24 2018-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with Multiple Dislocation Planes
US20140346576A1 (en) * 2011-10-24 2014-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mosfets with multiple dislocation planes
US11158740B2 (en) * 2011-10-24 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
US20190245077A1 (en) * 2011-10-24 2019-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with Multiple Dislocation Planes
US9299838B2 (en) * 2011-10-24 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
US10269967B2 (en) * 2011-10-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
US9853157B2 (en) 2011-10-24 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. MOSFETs with multiple dislocation planes
US11410860B2 (en) 2011-10-27 2022-08-09 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US10923367B2 (en) 2011-10-27 2021-02-16 Applied Materials, Inc. Process chamber for etching low K and other dielectric films
US9178063B2 (en) 2012-01-23 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US20130187221A1 (en) * 2012-01-23 2013-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US8828817B2 (en) * 2012-01-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9136330B2 (en) * 2013-07-22 2015-09-15 GlobalFoundries, Inc. Shallow trench isolation
US20150021702A1 (en) * 2013-07-22 2015-01-22 Globalfoundries Inc. Shallow trench isolation
US9917088B2 (en) 2014-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contact structure and method for forming the same
US9508718B2 (en) * 2014-12-29 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contact structure and method for forming the same
US20180166569A1 (en) * 2016-08-26 2018-06-14 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and fabricating method thereof
US10790392B2 (en) * 2016-08-26 2020-09-29 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and fabricating method thereof
US20180083045A1 (en) * 2016-09-16 2018-03-22 International Business Machines Corporation Asymmetric junction engineering for narrow band gap mosfet
US10032793B2 (en) * 2016-09-16 2018-07-24 International Business Machines Corporation Asymmetric junction engineering for narrow band gap MOSFET
US10546878B2 (en) 2016-09-16 2020-01-28 International Business Machines Corporation Asymmetric junction engineering for narrow band gap MOSFET
CN109473468A (en) * 2018-10-26 2019-03-15 中国科学院微电子研究所 Semiconductor devices and its production method

Also Published As

Publication number Publication date
WO2013020255A1 (en) 2013-02-14
CN102931222B (en) 2015-05-20
CN102931222A (en) 2013-02-13
US8754482B2 (en) 2014-06-17

Similar Documents

Publication Publication Date Title
US8754482B2 (en) Semiconductor device and manufacturing method thereof
US10217842B2 (en) Method for making a semiconductor device with self-aligned inner spacers
US7772071B2 (en) Strained channel transistor and method of fabrication thereof
US7482211B2 (en) Junction leakage reduction in SiGe process by implantation
US7413961B2 (en) Method of fabricating a transistor structure
US8557692B2 (en) FinFET LDD and source drain implant technique
CN102906880B (en) Semiconductor structure and manufacture method thereof
US7700452B2 (en) Strained channel transistor
JP5431372B2 (en) Semiconductor device and manufacturing method thereof
US20120276695A1 (en) Strained thin body CMOS with Si:C and SiGe stressor
US20070298557A1 (en) Junction leakage reduction in SiGe process by tilt implantation
US7550336B2 (en) Method for fabricating an NMOS transistor
US20160322264A1 (en) Fin field effect transistor including a strained epitaxial semiconductor shell
US9865505B2 (en) Method for reducing N-type FinFET source and drain resistance
JP2005039171A (en) Semiconductor device
KR20130049540A (en) Method of manufacturing a semiconductor device using stress memorization technique(smt)
US20180197780A1 (en) Field effect transistor including strained germanium fins
JP4504392B2 (en) Semiconductor device
US10347539B2 (en) Germanium dual-fin field effect transistor
US20110254015A1 (en) METHOD FOR IMPROVING DEVICE PERFORMANCE USING EPITAXIALLY GROWN SILICON CARBON (SiC) OR SILICON-GERMANIUM (SiGe)
US8440539B2 (en) Isolation trench processing for strain control
US8642435B2 (en) Performing treatment on stressors

Legal Events

Date Code Title Description
AS Assignment

Owner name: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YIN, HUAXIANG;XU, QIUXIA;CHEN, DAPENG;REEL/FRAME:027827/0171

Effective date: 20120305

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: M2551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YR, SMALL ENTITY (ORIGINAL EVENT CODE: M2552); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY