US20130175503A1 - Compressive (PFET) and Tensile (NFET) Channel Strain in Nanowire FETs Fabricated with a Replacement Gate Process - Google Patents

Compressive (PFET) and Tensile (NFET) Channel Strain in Nanowire FETs Fabricated with a Replacement Gate Process Download PDF

Info

Publication number
US20130175503A1
US20130175503A1 US13/344,352 US201213344352A US2013175503A1 US 20130175503 A1 US20130175503 A1 US 20130175503A1 US 201213344352 A US201213344352 A US 201213344352A US 2013175503 A1 US2013175503 A1 US 2013175503A1
Authority
US
United States
Prior art keywords
nanowires
portions
hsq
strain
cross
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/344,352
Other versions
US8492208B1 (en
Inventor
Guy Cohen
Michael A. Guillorn
Conal Eugene Murray
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MURRAY, CONAL EUGENE, GUILLORN, MICHAEL A., COHEN, GUY
Priority to US13/344,352 priority Critical patent/US8492208B1/en
Priority to CN201280066327.8A priority patent/CN104040705B/en
Priority to GB1413366.4A priority patent/GB2513761B/en
Priority to PCT/US2012/070473 priority patent/WO2013103527A1/en
Priority to DE112012005084.3T priority patent/DE112012005084B4/en
Priority to US13/923,530 priority patent/US8716695B2/en
Publication of US20130175503A1 publication Critical patent/US20130175503A1/en
Publication of US8492208B1 publication Critical patent/US8492208B1/en
Application granted granted Critical
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the present invention relates to semiconductor-based electronic devices, and more particularly, to field-effect transistor (FET) devices having nanowire channels and techniques for fabrication thereof.
  • FET field-effect transistor
  • the nanowires serve as channels of the device interconnecting a source region and a drain region.
  • a gate surrounding the nanowire regulates electron flow through the channels.
  • GAA gate-all-around
  • GAA nanowire-based FETs have excellent scaling properties and are presently investigated as building blocks for future complementary metal-oxide semiconductor (CMOS) technology.
  • Process-induced channel strain is presently used to enhance device performance. Namely, compressive strain is used with p-channel FETs (PFETs) to improve the hole mobility and tensile strain is used with n-channel FETs (NFETs) to improve the electron mobility. While the techniques for inducing channel strain in planar CMOS devices are relatively straightforward, this is not the case with nanowire-based devices. Applying channel strain in the case of nanowire FETs is challenging.
  • the present invention provides field-effect transistor (FET) devices having nanowire channels and techniques for fabrication thereof.
  • FET field-effect transistor
  • a method of fabricating a FET device includes the following steps. Nanowires and pads are formed in a silicon-on-insulator (SOI) layer over a buried oxide (BOX) layer, wherein the nanowires are connected to the pads in a ladder-like configuration, and wherein the nanowires are suspended over the BOX.
  • a hydrogen silsesquioxane (HSQ) layer is deposited that surrounds the nanowires.
  • One or more portions of the HSQ layer that surround the nanowires are cross-linked, wherein the cross-linking causes the one or more portions of the HSQ layer to shrink thereby inducing strain in the nanowires.
  • One or more gates surrounding portions of each of the nanowires are formed, wherein the gates retain the strain induced in the nanowires by the cross-linking step, and wherein the portions of the nanowires surrounded by the gates comprise channel regions of the device and portions of the nanowires extending out from the gates and the pads comprise source and drain regions of the device.
  • a FET device in another aspect of the invention, includes nanowires and pads formed in a SOI layer over a BOX layer, wherein the nanowires are connected to the pads in a ladder-like configuration, and wherein the nanowires are suspended over the BOX; one or more gates surrounding portions of each of the nanowires, wherein the portions of the nanowires surrounded by the gates comprise channel regions of the device and portions of the nanowires extending out from the gates and the pads comprise source and drain regions of the device; wherein each of the nanowires has 1) at least one first region that is deformed such that a lattice constant in the at least one first region is less than a relaxed lattice constant of the nanowires and 2) at least one second region that is deformed such that a lattice constant in the at least one second region is greater than the relaxed lattice constant of the nanowires, and wherein the one or more gates surround either the at least one first region or the at least one second region of each of the nanowires
  • FIG. 1A is a cross-sectional diagram illustrating a starting structure for a field-effect transistor (FET) device fabrication process, i.e., a plurality of nanowires and pads formed in a silicon-on-insulator (SOI) layer, wherein the nanowires have been thinned/reshaped and are suspended over a buried oxide (BOX) according to an embodiment of the present invention;
  • FET field-effect transistor
  • FIG. 1B is a top-down diagram of the structure of FIG. 1A which shows that the nanowires and pads are formed in a ladder-like configuration wherein the nanowires connect the pads like rungs of a ladder according to an embodiment of the present invention
  • FIG. 2A is a cross-sectional diagram illustrating a hydrogen silsesquioxane (HSQ) layer having been deposited so as to surround the suspended nanowires and the HSQ having been patterned by cross-linking which causes the patterned HSQ to shrink thereby inducing strain in the nanowires according to an embodiment of the present invention
  • HSQ hydrogen silsesquioxane
  • FIG. 2B is a top-down diagram of the structure of FIG. 2A which illustrates the patterned HSQ surrounding the nanowires, wherein the patterned HSQ serves as dummy gates in the fabrication process according to an embodiment of the present invention
  • FIG. 3 is a cross-sectional diagram illustrating spacers having been formed adjacent to sidewalls of the patterned HSQ dummy gates and a filler material having been deposited onto the structure, surrounding exposed portions of the nanowires according to an embodiment of the present invention
  • FIG. 4 is a cross-sectional diagram illustrating the patterned HSQ dummy gates having been replaced with a gate conductor to form replacement gates according to an embodiment of the present invention
  • FIG. 5 is a cross-sectional diagram illustrating the filler material having been removed and an epitaxial film having been formed on the nanowires and pads according to an embodiment of the present invention
  • FIG. 6 is a cross-sectional diagram illustrating final processing steps being performed to complete the device, including forming form source/drain contacts according to an embodiment of the present invention
  • FIG. 7A is a cross-sectional diagram illustrating an HSQ layer surrounding suspended nanowires prior to cross-linking and annealing according to an embodiment of the present invention
  • FIG. 7B is a cross-sectional diagram illustrating the strain induced in the nanowires of FIG. 7A after the HSQ has been cross-linked and annealed according to an embodiment of the present invention
  • FIG. 8 is a cross-sectional diagram illustrating in an alternative process (following from FIG. 1A ) an HSQ layer having been deposited so as to surround the suspended nanowires and the HSQ having been patterned by cross-linking which causes the patterned HSQ to shrink thereby inducing strain in the nanowires according to an embodiment of the present invention
  • FIG. 9 is a cross-sectional diagram illustrating trenches in the patterned HSQ having been filled with a gate conductor to form gates of the device according to an embodiment of the present invention.
  • FIG. 10 is a cross-sectional diagram illustrating the patterned HSQ having been removed selective to the gate conductor followed by spacer formation according to an embodiment of the present invention
  • FIG. 11 is a cross-sectional diagram illustrating an epitaxial film having been formed on the nanowires and pads according to an embodiment of the present invention.
  • FIG. 12 is a cross-sectional diagram illustrating final processing steps being performed to complete the device, including forming form source/drain contacts according to an embodiment of the present invention.
  • FIG. 13 is a diagram illustrating compressive and tensile strain induced in a nanowire as compared to a nanowire in an unstrained or relaxed state according to an embodiment of the present invention.
  • the present methods are described using silicon (Si) nanowires and Si processing.
  • the present techniques can also be practiced with other semiconductor materials such as, for example, germanium (Ge) or III-V semiconductors.
  • the processing steps of the present teachings are basically the same except that growth temperature and dopant species applied are adapted to the specific semiconductor used.
  • Use of Si-containing semiconductor materials such as Si, silicon germanium (SiGe), Si/SiGe, silicon carbide (SiC) or silicon germanium carbide (SiGeC), for example, are however preferred. It is noted that a portion of the nanowires is used herein as the device channel or body.
  • the starting structure for the present fabrication process includes a plurality of nanowires 108 and pads 103 having been patterned in a silicon-on-insulator (SOI) layer of a SOI wafer, for example, using conventional lithography (e.g., optical or e-beam) followed by reactive ion etching (RIE).
  • SOI wafer includes a buried oxide (BOX) 102 (e.g., silicon dioxide) beneath the SOI layer, which separates the SOI layer from a substrate 101 (e.g., a silicon substrate).
  • BOX buried oxide
  • the nanowires 108 and pads 103 form a ladder-like structure wherein the nanowires 108 connect the pads 103 like the rungs of a ladder.
  • the nanowires 108 are suspended over the BOX 102 .
  • a portion 105 of the BOX 102 is recessed beneath the nanowires 108 .
  • Techniques that may be used to suspend the nanowires 108 by recessing or undercutting a portion 105 of the BOX 102 are described in U.S. Pat. No. 7,884,004 issued to Bangsaruntip et al., entitled “Maskless Process for Suspending and Thinning Nanowires” (hereinafter “U.S. Pat. No. 7,884,004”), the entire contents of which are incorporated by reference herein.
  • U.S. Pat. No. 7,884,004 the entire contents of which are incorporated by reference herein.
  • the nanowires can be suspended (released from the BOX) by etching the BOX and recessing the BOX under the nanowires. In this manner, the nanowires 108 form a suspended bridge between the pads 103 .
  • recessing of the BOX can be achieved with a diluted hydrofluoric (DHF) etch.
  • the DHF etch is isotropic. The lateral component of the etching undercuts the BOX under the narrow nanowires, however the large SOI pads are not released and remain attached to the BOX.
  • the suspension of the nanowires may be obtained during an annealing process configured to re-shape the nanowires which can also cause separation of the nanowire from the BOX.
  • annealing process configured to re-shape the nanowires which can also cause separation of the nanowire from the BOX.
  • gate length scaling of GAA FETs with nanowire channels can be achieved provided that the nanowire channel diameter can be reduced.
  • the size of the nanowires needs to be reduced further to dimensions smaller than current lithographic methods can produce.
  • U.S. Pat. No. 7,884,004 are used to thin/reshape the nanowires 108 as shown in FIG. 1A .
  • U.S. Pat. No. 7,884,004 describes that an annealing process is used to thin and reshape the nanowires wherein the SOI wafer is contacted with an inert gas at a temperature, pressure and for a duration sufficient to cause silicon to migrate from the nanowires to the pads.
  • Suitable inert gasses include any gas that does not react with silicon, e.g., hydrogen (H 2 ), xenon (Xe), helium (He) and potentially others.
  • the inert gas anneal is performed with a gas pressure of from about 30 torr to about 1000 torr, at a temperature of from about 600 degrees Celsius (° C.) to about 1100° C. and for a duration of from about one minute to about 120 minutes.
  • the suspension of the nanowires may also be obtained during the anneal since the re-shaping of the nanowire cross-section and migration of Si to the SOI pads leads to release/separation of the nanowire from the BOX.
  • FIG. 1B is a top-down diagram of the structure of FIG. 1A .
  • the nanowires 108 and the pads 103 are formed having a ladder-like configuration wherein the nanowires 108 connect the pads 103 like rungs of a ladder.
  • the anchoring of the nanowires 108 to the pads 103 aids in inducing strain into the nanowires 108 , as described in detail below.
  • the present techniques involve the use of a hydrogen silsesquioxane (HSQ) curing process to induce strain in the nanowires.
  • the HSQ is subsequently removed, but its induced strain is ultimately retained in the nanowires by one or more gates of the device.
  • Two fabrication process examples are presented.
  • the strain induced in the nanowires that is ultimately retained by the gates is a compressive strain thus being suited for p-channel FET devices.
  • the strain induced in the nanowires that is ultimately retained by the gates is a tensile strain thus being suited for n-channel FET devices.
  • HSQ is deposited onto the structure.
  • the HSQ is deposited using a spin-coating process which forms a continuous, planarizing film. Since, as described above, the nanowires 108 are suspended over the BOX 102 , the spin-coated HSQ will cover and surround at least a portion of each of the nanowires 108 .
  • FIG. 2A is a top-down view of the structure of FIG. 2A . It is notable that the number of patterned HSQ 110 A portions can be varied.
  • the HSQ is patterned by first exposing portions of the spin-coated HSQ layers (i.e., the portions of the HSQ that will remain after the patterning) to an energy-yielding process that will cure and cross-link those portions of the HSQ. It is notable that, as will be described in detail below, during the cross-linking process the HSQ will shrink. This shrinking is what is used in the present techniques to induce strain in the nanowires.
  • the HSQ is cross-linked by exposing the spin-coated HSQ to e-beam or extreme ultraviolet (EUV) radiation with wavelengths shorter than 157 nanometers (nm). This serves to cross-link the HSQ in the exposed areas 110 A.
  • EUV extreme ultraviolet
  • unexposed portions of the HSQ can then be selectively removed using a developer wash (such as a Tetramethyl-ammonium hydroxide (TMAH) based developer or a salt based developer such as an aqueous mixture of sodium hydroxide (NaOH) alkali and sodium chloride (NaCl) salt) resulting in patterned HSQ 110 A.
  • TMAH Tetramethyl-ammonium hydroxide
  • NaOH sodium hydroxide
  • NaCl sodium chloride
  • the term “patterned HSQ” as used herein also implies that these patterned portions of the HSQ are cross-linked.
  • the remaining patterned HSQ 110 A may be annealed to further increase the density of the HSQ (and thus reduce its volume).
  • the annealing temperature should be kept low enough (below 960° C.) so the HSQ will not reflow and allow the strain in the wires to relax.
  • the anneal is performed at a temperature of from about 500° C. to about 900° C. for a duration of from about 5 minutes to about 30 minutes.
  • the cross-linking causes the patterned HSQ 110 A to shrink (i.e., its volume decreases by from about 5 percent (%) to about 10% as compared to non-cross-linked HSQ). Since the patterned HSQ 110 A surrounds the nanowires 108 , the result of this shrinking is a strain being induced in the nanowires 108 . Namely, a compressive strain (see arrows 112 ) is induced in those portions of the nanowires 108 surrounded by the patterned HSQ 110 A. Further, a tensile strain (see arrows 114 ) is induced in those portions of the nanowires 108 in between the patterned HSQ 110 A.
  • the patterned HSQ 110 will act as a ‘dummy gate’ or placeholder for replacement gates employed later in the process.
  • spacers 150 are formed adjacent to sidewalls of the patterned HSQ 110 ‘dummy gates’.
  • the spacers 150 comprise an insulating nitride, oxide, oxynitride or multilayers thereof and are formed adjacent to the sidewalls of the patterned HSQ 110 ‘dummy gates’ by deposition and etching.
  • a filler material 152 is then deposited (e.g., using a spin-coating process) onto the structure, filling spaces in between the patterned HSQ 110 ‘dummy gates’ surrounding exposed portions of the nanowires 108 and covering the pads 103 .
  • the filler material 152 should 1 ) be able to hold the strain in the nanowires 108 (in this case the tensile stain induced in the nanowires 108 by the HSQ cross-link as described above); and 2) should be a material that has etch selectivity (i.e., etch resistivity) with respect to the etching process that is later used to remove the HSQ 110 A.
  • the filler material is a low-k dielectric (LKD) material available from JSR CorporationTM, Sunnyvale, Calif., such as LKD 1037.
  • LLD low-k dielectric
  • the filler material 152 is cured or annealed to remove the solvent.
  • a UV cure at about 400° C. (e.g., from about 350° C. to about 450° C.) in nitrogen for a duration of about 4 minutes (e.g., from about 3.5 minutes to about 5 minutes) may be employed to remove the remaining solvent.
  • the filler material 152 may expand during this curing process which, as described immediately below, can be one possible mechanism for introducing additional strain into the nanowires 108 .
  • the first technique involves selecting a filler material that upon curing (see above) expands. This expansion will increase the tensile strain in the regions where the filler material 152 surrounds the nanowires 108 .
  • FIG. 5 shows that this epitaxy step can be performed following removal of the filler material 152 .
  • this epitaxy step can be performed before the filler material 152 is deposited (and before the spacers 150 are formed) so as to induce additional strain in the nanowires 108 .
  • the details of this epitaxy process are described in conjunction with the description of FIG. 5 below and are the same parameters as would be applied here, just earlier in the process. This optional, implementation of an epitaxy step earlier in the process is shown illustrated in FIG.
  • the epitaxial film formed on the nanowires 108 and pads 103 may be preferable in this case to form an epitaxial film from silicon germanium (SiGe) (rather than for example Si) due to SiGe having a larger lattice constant.
  • SiGe silicon germanium
  • the filler material 152 can then be deposited onto the epitaxial film (as shown in FIG. 3 ). It is notable that if one chooses to perform the epitaxy at this point in the process, then the epitaxy step illustrated in FIG. 5 is not needed.
  • first technique using the filler material to induce additional strain in the nanowires 108
  • second technique using epitaxy to induce additional strain in the nanowires 108
  • first technique using the filler material to induce additional strain in the nanowires 108
  • second technique using epitaxy to induce additional strain in the nanowires 108
  • the gate dielectric 154 can comprise silicon dioxide (SiO 2 ), silicon oxynitride (SiON), hafnium oxide (HfO 2 ) or any other suitable high-k dielectric(s) and may be deposited around (so as to surround) the nanowires 108 using chemical vapor deposition (CVD), atomic layer deposition (ALD) or an oxidation furnace in the case of SiO 2 and SiON. As shown in FIG. 4 , this gate dielectric formation process results in the deposition of gate dielectric material surrounding the nanowires 108 , and also lining the trenches (i.e., on the spacers 150 and on the exposed BOX 102 ).
  • a gate conductor 156 constitutes a replacement gate of the device.
  • Suitable gate conductors include, but are not limited to, polysilicon, a metal (such as a tantalum nitride (TaN), titanium nitride (TiN), tungsten (W), or aluminum (Al)) or a combination of metals (such as TiN/Al).
  • Polysilicon may be deposited using a CVD process, such as low-pressure CVD (LPCVD) or plasma-enhanced CVD (PECVD).
  • Metal gate conductors may be deposited using a physical vapor deposition (PVD), ALD or an electroplating process. If so desired, any excess gate conductor material may be removed using chemical mechanical polishing (CMP) or other suitable etching technique. The replacement gates formed in this manner will surround the nanowires, making this a GAA device.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • electroplating electroplating
  • CMP chemical mechanical polishing
  • the optional epitaxial film which may be employed early in the process to induce additional strain in the nanowires 108 is shown in FIG. 4 . If, however, the epitaxy step is not carried out until the filler material 152 is removed (as in the example shown illustrated in FIG. 5 ), then this film would not yet be present.
  • the filler material 152 is then removed. See FIG. 5 .
  • the filler material is removed selective to the gate conductor 156 using reactive ion etching (RIE) or a wet etch.
  • RIE reactive ion etching
  • an epitaxial film 158 is used to thicken portions of the nanowires 108 not covered by the gate conductor 156 /spacers 150 (i.e., the source and drain regions of the device).
  • the epitaxy also takes place over exposed portions of the pads 103 (see FIG. 5 ).
  • the resulting epitaxial film 158 can be of Si or a Si alloy such as SiGe. Growth methods can include ultra-high vacuum chemical vapor deposition (UHV-CVD), rapid thermal chemical vapor deposition (RT-CVD) and ALD.
  • Typical Si precursors include, but are not limited to, dichlorosilane (SiH 2 Cl 2 ), a mixture of silane (SiH 4 ) and hydrochloric acid (HCl), silicon tetrachloride (SiCl 4 ) and germane (GeH 4 ) for SiGe growth.
  • the growth is selective in the sense that deposition of Si takes place only over Si surfaces, but not over dielectric surfaces such as oxides and silicon-nitrides. While selective Si epitaxy typically requires growth temperatures of about 800° C., maintaining selectivity when using lower growth temperatures is possible by adding Ge to the epitaxial film. With pure Ge growth, the growth temperature can be as low as 300° C.
  • Low temperature growth of SiGe is useful in the case of very thin nanowires as a way to circumvent agglomeration.
  • this epitaxy step can instead be performed prior to deposition of the filler material 152 as a way to induce additional strain in the nanowires 108 .
  • epitaxial film 158 is formed from SiGe. Namely, SiGe has a larger lattice constant than Si. When SiGe is epitaxially grown on Si it will have compressive strain and it will induce tensile strain in the (e.g., Si) nanowires as the SiGe film tries to elastically relax. From this point forward in the process, the epitaxial film would appear the same whether it is formed before or after the filler material.
  • the portions of the nanowires 108 surrounded by the replacements gates i.e., gate conductor 156
  • the pads 103 and portions of the nanowires 108 extending out from the replacement gates will serve as source and drain regions of the device.
  • the epitaxial film 158 is formed on the source and drain regions.
  • the lower resistivity silicide phase is nickel-silicon (NiSi).
  • NiSi nickel-silicon
  • the NiSi phase forms at an annealing temperature of about 420° C.
  • the etch chemistry used to remove the unreacted metal is hydrogen peroxide:sulfuric acid (H 2 O 2 :H 2 SO 4 ) 10:1 at 65° C. for 10 minutes.
  • conformal deposition methods such as ALD are used to deposit the silicide forming metal, uniform silicide will surround the expitaxial film 158 .
  • Other deposition techniques such as PVD may yield a less uniform coverage of the metal which will result in thinner silicide over sidewalls and under the nanowires 108 .
  • a conformal oxide layer that will serve as a first CMP stop layer 162 is deposited over the structure (i.e., over the silicide 160 and the gate conductor 156 ).
  • the first CMP stop layer is deposited over the structure using CVD to a thickness of from about 10 nm to about 100 nm.
  • a layer of planarizing dielectric 164 is then deposited over the structure.
  • the planarizing dielectric 164 is an organic planarizing material which is spin-coated onto the structure. Suitable organic planarizing materials contain an aromatic cross-linkable polymer (e.g., naphthalene-based) in a solvent.
  • a post-apply bake may then be performed to cross-link the organic planarizing material and bake off the solvent.
  • the post-apply bake is conducted at a temperature of up to about 250 degrees Celsius (° C.), e.g., from about 200° C. to about 250° C.
  • the planarizing dielectric 164 is then polished using (e.g., CMP) with the first CMP stop layer 162 acting as an etch stop.
  • CMP e.g., CMP
  • the first CMP stop layer 162 has to have a polish rate that is substantially smaller than that of the planarizing dielectric 164 .
  • a second CMP stop layer 166 is then deposited on the polished planarizing dielectric 164 /replacement gates.
  • the second CMP stop layer is formed from a nitride material (e.g., silicon nitride) and is deposited onto the structure to a thickness of from about 10 nm to about 100 nm.
  • Source and drain contacts 168 are then formed to the source and drain regions of the device (i.e., source/drain contacts 168 ).
  • standard lithography processes are used to form trenches that extend through the second CMP stop layer 166 , the planarizing dielectric 164 and the first CMP stop layer 162 . This may be accomplished using a series of reactive ion etching (RIE) steps, as is known in the art.
  • RIE reactive ion etching
  • the trenches may then be filled with a metal or metals to form the source/drain contacts 168 . Suitable metals include, but are not limited to, tungsten (W) and copper (Cu).
  • the source/drain contacts are formed by filling the trenches with the metal or metals and then polishing the excess metal that fills the trenches. This polishing can be done using CMP, with the second CMP stop layer 166 acting as an etch stop. The result is the formation of conductive vias in the trenches. Next, metal pads and/or lines can be formed in contact with the conductive vias. These pads/lines may be formed from the same metal or combination of metals as the vias using, for example, a RIE patterning process can be used to define the metal pads and/or lines.
  • FIGS. 7A and 7B are now presented to further illustrate how the patterning of HSQ dummy gates induces strain in the nanowires channels.
  • the same reference numerals from FIGS. 1-6 are used in FIGS. 7A and 7B and thus are intended to refer to the same structures.
  • a spin-coated HSQ layer 118 covers/surrounds the nanowires 108 .
  • the regions of the HSQ to be exposed 120 are indicated with cross-hatching. As shown in FIG.
  • Cross-linked HSQ 112 is the same as the patterned HSQ dummy gates in the embodiment described above.
  • the cross-hatching from FIG. 7A is transposed onto FIG. 7B to illustrate that the HSQ upon cross-linking shrinks thereby inducing compressive strain (see arrows 112 ) and tensile strain (see arrows 114 ) in the nanowires 108 . Further, as shown in FIG.
  • the nanowires 108 may be pulled closer to the recessed oxide 105 (see arrow 115 ) which further contributes to the tensile strain at the ends of the nanowires 108 (i.e., since the nanowires 108 are attached at each end to the pads 103 .
  • the concept of compressive/tensile strain induced in the nanowires 108 by the present techniques is further described in conjunction with the description of FIG. 13 , below.
  • FIGS. 8-12 An alternative embodiment employing the present strain inducing techniques will now be presented by way of reference to FIGS. 8-12 .
  • the present techniques will be used to induce tensile strain in the nanowires channels.
  • the starting structure for this example is the same as that shown in FIGS. 1A and 1B (i.e., having nanowires 108 and pads 103 , wherein a buried oxide 102 is undercut beneath the nanowires 108 ).
  • an HSQ layer is first deposited (e.g., spin-coated) onto the structure. Since, as described above, the nanowires 108 are suspended over the BOX 102 , the spin-coated HSQ will cover and surround a portion of each of the nanowires 108 . See FIG. 8 .
  • the HSQ is patterned by first exposing portions of the spin-coated HSQ layers (i.e., the portions of the HSQ that will remain after the patterning) to an energy-yielding process that will cure and cross-link those portions of the HSQ. As described above, during the cross-linking process the HSQ will shrink, thereby inducing strain in the nanowires 108 .
  • the spin-coated HSQ is cross-linked by exposing the HSQ to e-beam or EUV radiation with wavelengths shorter than 157 nm. This serves to cross-link the HSQ in the exposed areas.
  • the cross-linking causes the patterned HSQ 110 B to shrink (i.e., its volume decreases by from about 5% to about 10% as compared to non-cross-linked HSQ). Since the patterned HSQ 110 B surrounds the nanowires 108 , the result of this shrinking is a strain being induced in the nanowires 108 . Namely, a compressive strain (see arrows 112 ) is induced in those portions of the nanowires 108 surrounded by the patterned HSQ 110 B. Further, a tensile strain (see arrows 114 ) is induced in those portions of the nanowires 108 in between the patterned HSQ 110 B.
  • the gate dielectric 174 can include SiO 2 , SiON, HfO 2 or any other suitable high-k dielectric(s) and may be deposited around (so as to surround) the nanowires 108 using CVD, ALD or an oxidation furnace in the case of SiO 2 and SiON. As shown in FIG. 9 , this gate dielectric formation process results in the deposition of the gate dielectric material around the nanowires 108 , and also lining the trenches including the exposed BOX 102 .
  • Suitable gate conductors include, but are not limited to, polysilicon, a metal (such as a W, TiN, TaN, or aluminum) or a combination of metals (such as TiN/Al).
  • Polysilicon may be deposited using a CVD process, such as low-pressure CVD (LPCVD) or plasma-enhanced CVD (PECVD).
  • Metal gate conductors may be deposited using ALD, CVD or an electroplating process. If so desired, any excess gate conductor may be removed using chemical mechanical polishing (CMP) or other suitable etching technique.
  • CMP chemical mechanical polishing
  • the gates as now formed serve to retain the tensile strain induced in the nanowires 108 (see FIG. 8 ) even when the patterned HSQ 110 B is next removed.
  • the gates formed in this manner will surround the nanowires, making this a GAA device.
  • the patterned HSQ 110 B is then removed. See FIG. 10 .
  • the patterned HSQ 110 B is removed selective to the gate conductor 176 using an etchant, e.g., DHF.
  • an etchant e.g., DHF.
  • spacers 178 are formed adjacent to sidewalls of the gates.
  • the spacers 178 are made up of an insulating nitride, oxide, oxynitride or multilayers thereof and are formed adjacent to the sidewalls of the gates by deposition and etching.
  • an epitaxial film 180 is used to thicken portions of the nanowires 108 not covered by the gate conductor 176 /spacers 178 (i.e., the source and drain regions of the device).
  • the epitaxy also takes place over exposed portions of the pads 103 (see FIG. 11 ).
  • the resulting epitaxial film 180 can be of Si or a Si alloy such as SiGe. Growth methods can include UHV-CVD, RT-CVD and ALD.
  • Typical Si precursors include, but are not limited to, dichlorosilane (SiH 2 Cl 2 ), a mixture of silane (SiH 4 ) and hydrochloric acid (HCl), silicon tetrachloride (SiCl 4 ) and germane (GeH 4 ) for SiGe growth.
  • the growth is selective in the sense that deposition of Si takes place only over Si surfaces, but not over dielectric surfaces such as oxides and silicon-nitrides. While selective Si epitaxy typically requires growth temperatures of about 800° C., maintaining selectivity when using lower growth temperatures is possible by adding Ge to the epitaxial film. With pure Ge growth, the growth temperature can be as low as 300° C. Low temperature growth of SiGe is useful in the case of very thin nanowires as a way to circumvent agglomeration.
  • the portions of the nanowires 108 surrounded by the gates i.e., gate conductor 176
  • the pads 103 and portions of the nanowires 108 extending out from the gates will serve as source and drain regions of the device.
  • the epitaxial film 180 is formed on the source and drain regions.
  • a self-aligned silicide 182 is formed over the epitaxial film 180 . More specifically, a metal(s) such as Ni, Pt, Co and/or Ti is blanket deposited over the device. The assembly is annealed to allow the metal to react with the exposed Si, Ge or SiGe of the epitaxial film 180 . The metal over non-Si, -Ge or -SiGe surfaces remains unreacted. A selective etch is then used to remove the unreacted metal, leaving the silicide 182 . As an example, in the case where Ni is used, the lower resistivity silicide phase is nickel-silicon (NiSi).
  • the NiSi phase forms at an annealing temperature of about 420° C.
  • the etch chemistry used to remove the unreacted metal is hydrogen peroxide:sulfuric acid (H 2 O 2 :H 2 SO 4 ) 10:1 at 65° C. for 10 minutes.
  • a conformal oxide layer that will serve as a first CMP stop layer 184 is deposited over the structure (i.e., over the silicide 182 and the gate conductor 176 ).
  • the first CMP stop layer 184 is deposited over the structure using CVD to a thickness of from about 10 nm to about 100 nm.
  • a layer of planarizing dielectric 186 is then deposited over the structure.
  • the planarizing dielectric 186 is an organic planarizing material which is spin-coated onto the structure. Suitable organic planarizing materials contain an aromatic cross-linkable polymer (e.g., naphthalene-based) in a solvent.
  • a post-apply bake may then be performed to cross-link the organic planarizing material and bake off the solvent.
  • the post-apply bake is conducted at a temperature of up to about 250 degrees Celsius (° C.), e.g., from about 200° C. to about 250° C.
  • the planarizing dielectric 186 is then polished using (e.g., CMP) with the first CMP stop layer 184 acting as an etch stop.
  • CMP e.g., CMP
  • the first CMP stop layer 184 has to have a polish rate that is substantially smaller than that of the planarizing dielectric 186 .
  • a second CMP stop layer 188 is then deposited on the polished planarizing dielectric 186 /gates.
  • the second CMP stop layer 188 is formed from a nitride material (such as silicon nitride) and is deposited onto the structure to a thickness of from about 10 nm to about 100 nm.
  • Source and drain contacts 190 are then formed to the source and drain regions of the device (i.e., source/drain contacts 190 ).
  • standard lithography processes are used to form trenches that extend through the second CMP stop layer 188 , the planarizing dielectric 186 and the first CMP stop layer 184 . This may be accomplished using a series of RIE steps, as is known in the art.
  • the trenches may then be filled with a metal or metals to form the source/drain contacts 190 . Suitable metals include, but are not limited to, W and Cu.
  • the source/drain contacts are formed by filling the trenches with the metal or metals and then polishing the excess metal that fills the trenches.
  • This polishing can be done using CMP, with the second CMP stop layer 188 acting as an etch stop.
  • the result is the formation of conductive vias in the trenches.
  • metal pads and/or lines can be formed in contact with the conductive vias. These pads/lines may be formed from the same metal or combination of metals as the vias using, for example, a RIE patterning process can be used to define the metal pads and/or lines.
  • FIG. 13 is a diagram illustrating, at a microscopic structural level, compressive and tensile strain induced in a nanowire as compared to a nanowire in an untrained or relaxed state. Specifically, FIG. 13 shows a first nanowire (the top nanowires shown in the figure) which is in a relaxed state, and a second nanowire (the bottom nanowire shown in the figure) that has both compressive and tensile strain being induced therein. Each of these nanowires shown in FIG. 13 is representative of any of the semiconductor nanowires (e.g., nanowires 108 ) present in the above-described fabrication processes. As highlighted above, through use of the present HSQ strain inducing processes, tensile and/or compressive strain can be advantageously induced in the nanowires. FIG. 13 further illustrates this process.
  • Strain by definition has a microscopic structural meaning.
  • a semiconductor crystal structure such as is present in semiconductor nanowires 108
  • the lattice constant “a” of the crystal at least in one axis or more
  • the strain value is defined as
  • a is the relaxed lattice constant and ⁇ a is expansion or contraction of the lattice constant.
  • the amount of strain corresponds to the amount of elastic deformation in the semiconductor crystal structure. It is noted that when the lattice constant is deformed in one axis, there will also be a deformation of the lattice constant in the other two axes as described by the Possion ratio (see, for example, James M. Gere, Mechanics of Materials, 6 th Ed., pgs. 24-25 (2004), the contents of which are incorporated by reference herein).
  • the deformation or change in the lattice constant can be measured by methods such as x-ray diffraction, or Raman spectroscopy.
  • the nanowires are made of a semiconductor crystal (for example silicon).
  • a semiconductor crystal for example silicon
  • the lattice constant in the nanowire is therefore deformed such that there are region capped by a gate where the lattice constant is smaller than that of the relaxed silicon lattice constant and/or there are other regions capped by a gate where the lattice constant is larger than that of relaxed silicon.
  • FIG. 13 For longitudinal tensile strain the lattice constant will be larger (parallel to the length of the nanowire) since the nanowire is stretched. For longitudinal compressive strain the lattice constant will be smaller (parallel to the length of the nanowire) since the nanowire is contracted.
  • FIG. 13 the unit cells of the crystals are depicted schematically as boxes within the nanowires. Of course, there may be many more cells (and in different arrangements) than those depicted, but what is shown in FIG. 13 is meant merely to illustrate the different strain scenarios at a microscopic, crystal structure level.
  • the nanowires e.g., nanowires 108 in the relaxed state (relaxed lattice constant a 0 ) have a repeating crystal unit structure. Due to compressive strain (by way of the HSQ strain-inducing processes described above) the nanowires become deformed as compared to the relaxed state, i.e., the compressed strain lattice constant a 1 ⁇ a 0 . This is illustrated in FIG. 13 by the unit cells in the compressive strain region of the nanowires being smaller (parallel to the length of the nanowire).
  • the nanowires become deformed as compared to the relaxed state, i.e., the tensile strain lattice constant a 2 >a 0 .
  • the unit cells in the tensile strain region of the nanowires being larger (parallel to the length of the nanowire).
  • each of the nanowires 108 will have a plurality of first regions that are deformed such that the semiconductor lattice constant in those regions is smaller (less) than that of the relaxed semiconductor lattice constant (i.e., a 1 ⁇ a 0 ), which is due, in this example, to the compressive strain induced in the nanowires by the HSQ dummy gate/replacement gate process (see for example arrows 112 in FIG.
  • each of the nanowires will also have a plurality of second regions that are deformed such that the semiconductor lattice constant in those regions is larger (greater) than that of the relaxed semiconductor lattice constant (i.e., a 2 >a 0 ).
  • each of the nanowires 108 will have a plurality of first regions that are deformed such that the semiconductor lattice constant in those regions is smaller (less) than that of the relaxed semiconductor lattice constant (i.e., a 1 ⁇ a 0 ), which is due, in this example, to the compressive strain induced in the nanowires by the HSQ dummy gate/replacement gate process (see for example arrows 112 in FIG. 8 indicating compressive strain in those first regions of the nanowires 108 ).
  • each of the nanowires will also have a plurality of second regions that are deformed such that the semiconductor lattice constant in those regions is larger (greater) than that of the relaxed semiconductor lattice constant (i.e., a 2 >a 0 ).
  • the gate conductor 176 i.e., the replacement gates of the device
  • a difference between the embodiment of FIGS. 1-6 and that of FIGS. 8-12 is whether the replacement gate in the completed device surrounds the regions of the nanowires with induced compressive strain ( FIGS. 1-6 ) or tensile strain ( FIGS. 8-12 ).
  • the strain profile resulting from the present techniques is different from instances where strain is induced from the ends of the nanowires. In the latter case, the maximum strain would be present at the ends of the nanowires.

Abstract

A method of fabricating a FET device is provided which includes the following steps. Nanowires/pads are formed in a SOI layer over a BOX layer, wherein the nanowires are suspended over the BOX. A HSQ layer is deposited that surrounds the nanowires. A portion(s) of the HSQ layer that surround the nanowires are cross-linked, wherein the cross-linking causes the portion(s) of the HSQ layer to shrink thereby inducing strain in the nanowires. One or more gates are formed that retain the strain induced in the nanowires. A FET device is also provided wherein each of the nanowires has a first region(s) that is deformed such that a lattice constant in the first region(s) is less than a relaxed lattice constant of the nanowires and a second region(s) that is deformed such that a lattice constant in the second region(s) is greater than the relaxed lattice constant of the nanowires.

Description

    FIELD OF THE INVENTION
  • The present invention relates to semiconductor-based electronic devices, and more particularly, to field-effect transistor (FET) devices having nanowire channels and techniques for fabrication thereof.
  • BACKGROUND OF THE INVENTION
  • With nanowire-based field effect transistors (FETs), the nanowires serve as channels of the device interconnecting a source region and a drain region. A gate surrounding the nanowire regulates electron flow through the channels. When the gate completely surrounds a portion of each of the nanowire channels, this configuration is referred to as a gate-all-around (GAA) device. GAA nanowire-based FETs have excellent scaling properties and are presently investigated as building blocks for future complementary metal-oxide semiconductor (CMOS) technology.
  • Process-induced channel strain is presently used to enhance device performance. Namely, compressive strain is used with p-channel FETs (PFETs) to improve the hole mobility and tensile strain is used with n-channel FETs (NFETs) to improve the electron mobility. While the techniques for inducing channel strain in planar CMOS devices are relatively straightforward, this is not the case with nanowire-based devices. Applying channel strain in the case of nanowire FETs is challenging.
  • Therefore, process-induced channel strain techniques for GAA nanowire-based FETs would be desirable.
  • SUMMARY OF THE INVENTION
  • The present invention provides field-effect transistor (FET) devices having nanowire channels and techniques for fabrication thereof. In one aspect of the invention, a method of fabricating a FET device is provided. The method includes the following steps. Nanowires and pads are formed in a silicon-on-insulator (SOI) layer over a buried oxide (BOX) layer, wherein the nanowires are connected to the pads in a ladder-like configuration, and wherein the nanowires are suspended over the BOX. A hydrogen silsesquioxane (HSQ) layer is deposited that surrounds the nanowires. One or more portions of the HSQ layer that surround the nanowires are cross-linked, wherein the cross-linking causes the one or more portions of the HSQ layer to shrink thereby inducing strain in the nanowires. One or more gates surrounding portions of each of the nanowires are formed, wherein the gates retain the strain induced in the nanowires by the cross-linking step, and wherein the portions of the nanowires surrounded by the gates comprise channel regions of the device and portions of the nanowires extending out from the gates and the pads comprise source and drain regions of the device.
  • In another aspect of the invention, a FET device is provided. The FET device includes nanowires and pads formed in a SOI layer over a BOX layer, wherein the nanowires are connected to the pads in a ladder-like configuration, and wherein the nanowires are suspended over the BOX; one or more gates surrounding portions of each of the nanowires, wherein the portions of the nanowires surrounded by the gates comprise channel regions of the device and portions of the nanowires extending out from the gates and the pads comprise source and drain regions of the device; wherein each of the nanowires has 1) at least one first region that is deformed such that a lattice constant in the at least one first region is less than a relaxed lattice constant of the nanowires and 2) at least one second region that is deformed such that a lattice constant in the at least one second region is greater than the relaxed lattice constant of the nanowires, and wherein the one or more gates surround either the at least one first region or the at least one second region of each of the nanowires. A more complete understanding of the present invention, as well as further features and advantages of the present invention, will be obtained by reference to the following detailed description and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a cross-sectional diagram illustrating a starting structure for a field-effect transistor (FET) device fabrication process, i.e., a plurality of nanowires and pads formed in a silicon-on-insulator (SOI) layer, wherein the nanowires have been thinned/reshaped and are suspended over a buried oxide (BOX) according to an embodiment of the present invention;
  • FIG. 1B is a top-down diagram of the structure of FIG. 1A which shows that the nanowires and pads are formed in a ladder-like configuration wherein the nanowires connect the pads like rungs of a ladder according to an embodiment of the present invention;
  • FIG. 2A is a cross-sectional diagram illustrating a hydrogen silsesquioxane (HSQ) layer having been deposited so as to surround the suspended nanowires and the HSQ having been patterned by cross-linking which causes the patterned HSQ to shrink thereby inducing strain in the nanowires according to an embodiment of the present invention;
  • FIG. 2B is a top-down diagram of the structure of FIG. 2A which illustrates the patterned HSQ surrounding the nanowires, wherein the patterned HSQ serves as dummy gates in the fabrication process according to an embodiment of the present invention;
  • FIG. 3 is a cross-sectional diagram illustrating spacers having been formed adjacent to sidewalls of the patterned HSQ dummy gates and a filler material having been deposited onto the structure, surrounding exposed portions of the nanowires according to an embodiment of the present invention;
  • FIG. 4 is a cross-sectional diagram illustrating the patterned HSQ dummy gates having been replaced with a gate conductor to form replacement gates according to an embodiment of the present invention;
  • FIG. 5 is a cross-sectional diagram illustrating the filler material having been removed and an epitaxial film having been formed on the nanowires and pads according to an embodiment of the present invention;
  • FIG. 6 is a cross-sectional diagram illustrating final processing steps being performed to complete the device, including forming form source/drain contacts according to an embodiment of the present invention;
  • FIG. 7A is a cross-sectional diagram illustrating an HSQ layer surrounding suspended nanowires prior to cross-linking and annealing according to an embodiment of the present invention;
  • FIG. 7B is a cross-sectional diagram illustrating the strain induced in the nanowires of FIG. 7A after the HSQ has been cross-linked and annealed according to an embodiment of the present invention;
  • FIG. 8 is a cross-sectional diagram illustrating in an alternative process (following from FIG. 1A) an HSQ layer having been deposited so as to surround the suspended nanowires and the HSQ having been patterned by cross-linking which causes the patterned HSQ to shrink thereby inducing strain in the nanowires according to an embodiment of the present invention;
  • FIG. 9 is a cross-sectional diagram illustrating trenches in the patterned HSQ having been filled with a gate conductor to form gates of the device according to an embodiment of the present invention;
  • FIG. 10 is a cross-sectional diagram illustrating the patterned HSQ having been removed selective to the gate conductor followed by spacer formation according to an embodiment of the present invention;
  • FIG. 11 is a cross-sectional diagram illustrating an epitaxial film having been formed on the nanowires and pads according to an embodiment of the present invention;
  • FIG. 12 is a cross-sectional diagram illustrating final processing steps being performed to complete the device, including forming form source/drain contacts according to an embodiment of the present invention; and
  • FIG. 13 is a diagram illustrating compressive and tensile strain induced in a nanowire as compared to a nanowire in an unstrained or relaxed state according to an embodiment of the present invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • The present teachings related to processes for fabricating gate-all-around (GAA) nanowire-based field-effect transistors (FETs) having process-induced channel strain. In this discussion, reference will be made to various drawings that illustrate embodiments of the present teachings. Since the drawings of the embodiments of the present teachings are provided for illustrative purposes, the structures contained therein are not drawn to scale.
  • The present methods are described using silicon (Si) nanowires and Si processing. However, the present techniques can also be practiced with other semiconductor materials such as, for example, germanium (Ge) or III-V semiconductors. When non-Si-containing semiconductors are used, the processing steps of the present teachings are basically the same except that growth temperature and dopant species applied are adapted to the specific semiconductor used. Use of Si-containing semiconductor materials such as Si, silicon germanium (SiGe), Si/SiGe, silicon carbide (SiC) or silicon germanium carbide (SiGeC), for example, are however preferred. It is noted that a portion of the nanowires is used herein as the device channel or body.
  • As shown in FIGS. 1A and 1B, the starting structure for the present fabrication process includes a plurality of nanowires 108 and pads 103 having been patterned in a silicon-on-insulator (SOI) layer of a SOI wafer, for example, using conventional lithography (e.g., optical or e-beam) followed by reactive ion etching (RIE). The SOI wafer includes a buried oxide (BOX) 102 (e.g., silicon dioxide) beneath the SOI layer, which separates the SOI layer from a substrate 101 (e.g., a silicon substrate). As will be described in conjunction with the description of FIG. 1B, below, the nanowires 108 and pads 103 form a ladder-like structure wherein the nanowires 108 connect the pads 103 like the rungs of a ladder.
  • As shown in FIG. 1A, the nanowires 108 are suspended over the BOX 102. Namely, a portion 105 of the BOX 102 is recessed beneath the nanowires 108. Techniques that may be used to suspend the nanowires 108 by recessing or undercutting a portion 105 of the BOX 102 are described in U.S. Pat. No. 7,884,004 issued to Bangsaruntip et al., entitled “Maskless Process for Suspending and Thinning Nanowires” (hereinafter “U.S. Pat. No. 7,884,004”), the entire contents of which are incorporated by reference herein. For example, in U.S. Pat. No. 7,884,004 it is described that the nanowires can be suspended (released from the BOX) by etching the BOX and recessing the BOX under the nanowires. In this manner, the nanowires 108 form a suspended bridge between the pads 103. As described in U.S. Pat. No. 7,884,004, recessing of the BOX can be achieved with a diluted hydrofluoric (DHF) etch. The DHF etch is isotropic. The lateral component of the etching undercuts the BOX under the narrow nanowires, however the large SOI pads are not released and remain attached to the BOX.
  • Alternatively, instead of using a DHF etch, the suspension of the nanowires may be obtained during an annealing process configured to re-shape the nanowires which can also cause separation of the nanowire from the BOX. Namely, as described in U.S. Pat. No. 7,884,004, gate length scaling of GAA FETs with nanowire channels can be achieved provided that the nanowire channel diameter can be reduced. In many instances, however, the size of the nanowires needs to be reduced further to dimensions smaller than current lithographic methods can produce.
  • According to an exemplary embodiment, the techniques described in U.S. Pat. No. 7,884,004 are used to thin/reshape the nanowires 108 as shown in FIG. 1A. By way of example only, U.S. Pat. No. 7,884,004 describes that an annealing process is used to thin and reshape the nanowires wherein the SOI wafer is contacted with an inert gas at a temperature, pressure and for a duration sufficient to cause silicon to migrate from the nanowires to the pads. Suitable inert gasses include any gas that does not react with silicon, e.g., hydrogen (H2), xenon (Xe), helium (He) and potentially others. According to an exemplary embodiment, the inert gas anneal is performed with a gas pressure of from about 30 torr to about 1000 torr, at a temperature of from about 600 degrees Celsius (° C.) to about 1100° C. and for a duration of from about one minute to about 120 minutes.
  • As highlighted above, instead of using a DHF etch, the suspension of the nanowires may also be obtained during the anneal since the re-shaping of the nanowire cross-section and migration of Si to the SOI pads leads to release/separation of the nanowire from the BOX.
  • FIG. 1B is a top-down diagram of the structure of FIG. 1A. As shown in FIG. 1B, the nanowires 108 and the pads 103 are formed having a ladder-like configuration wherein the nanowires 108 connect the pads 103 like rungs of a ladder. The anchoring of the nanowires 108 to the pads 103 aids in inducing strain into the nanowires 108, as described in detail below.
  • As will be described in detail below, the present techniques involve the use of a hydrogen silsesquioxane (HSQ) curing process to induce strain in the nanowires. The HSQ is subsequently removed, but its induced strain is ultimately retained in the nanowires by one or more gates of the device. Two fabrication process examples are presented. In the first example (see FIGS. 2-6), the strain induced in the nanowires that is ultimately retained by the gates is a compressive strain thus being suited for p-channel FET devices. In the second example (see FIGS. 8-12), the strain induced in the nanowires that is ultimately retained by the gates is a tensile strain thus being suited for n-channel FET devices.
  • Now, by way of reference to FIGS. 2-6, an embodiment will be presented for inducing compressive strain in the nanowire channels. First, HSQ is deposited onto the structure. According to an exemplary embodiment, the HSQ is deposited using a spin-coating process which forms a continuous, planarizing film. Since, as described above, the nanowires 108 are suspended over the BOX 102, the spin-coated HSQ will cover and surround at least a portion of each of the nanowires 108.
  • Next, as shown in FIG. 2A, the HSQ is patterned, forming patterned HSQ 110A. FIG. 2B is a top-down view of the structure of FIG. 2A. It is notable that the number of patterned HSQ 110A portions can be varied. The HSQ is patterned by first exposing portions of the spin-coated HSQ layers (i.e., the portions of the HSQ that will remain after the patterning) to an energy-yielding process that will cure and cross-link those portions of the HSQ. It is notable that, as will be described in detail below, during the cross-linking process the HSQ will shrink. This shrinking is what is used in the present techniques to induce strain in the nanowires. According to an exemplary embodiment, the HSQ is cross-linked by exposing the spin-coated HSQ to e-beam or extreme ultraviolet (EUV) radiation with wavelengths shorter than 157 nanometers (nm). This serves to cross-link the HSQ in the exposed areas 110A. Next, unexposed portions of the HSQ (not cross-linked) can then be selectively removed using a developer wash (such as a Tetramethyl-ammonium hydroxide (TMAH) based developer or a salt based developer such as an aqueous mixture of sodium hydroxide (NaOH) alkali and sodium chloride (NaCl) salt) resulting in patterned HSQ 110A. Since cross-linking is used herein to pattern the HSQ, i.e., allowing selective removal of the uncross-linked portions of the HSQ, the term “patterned HSQ” as used herein also implies that these patterned portions of the HSQ are cross-linked. Following the developer wash, the remaining patterned HSQ 110A may be annealed to further increase the density of the HSQ (and thus reduce its volume). The annealing temperature should be kept low enough (below 960° C.) so the HSQ will not reflow and allow the strain in the wires to relax. According to an exemplary embodiment, the anneal is performed at a temperature of from about 500° C. to about 900° C. for a duration of from about 5 minutes to about 30 minutes.
  • As highlighted above, the cross-linking (and optional anneal) causes the patterned HSQ 110A to shrink (i.e., its volume decreases by from about 5 percent (%) to about 10% as compared to non-cross-linked HSQ). Since the patterned HSQ 110A surrounds the nanowires 108, the result of this shrinking is a strain being induced in the nanowires 108. Namely, a compressive strain (see arrows 112) is induced in those portions of the nanowires 108 surrounded by the patterned HSQ 110A. Further, a tensile strain (see arrows 114) is induced in those portions of the nanowires 108 in between the patterned HSQ 110A. Specifically, by inducing compressive strain in the portions of the nanowires 108 surrounded by the patterned HSQ 110A (i.e., due to the shrinking of the patterned HSQ 110A) and since the nanowires 108 are anchored on their ends to the pads 103, those portions of the nanowires 108 not surrounded by the patterned HSQ 110A are effectively being pulled, thus resulting in tensile strain. See also, FIGS. 7A and 7B, described below. The concept of compressive/tensile strain induced in the nanowires 108 by the present techniques is further described in conjunction with the description of FIG. 13, below.
  • The amount of strain induced in the nanowires 108 depends on the volume change in the HSQ and also on the diameter of the nanowires. For example, with nanowires having a diameter of about 10 nm and as-deposited 87 nm thick HSQ, a strain of ΔL/L=0.7% for a 10% reduction in the HSQ film thickness was measured after exposure.
  • In this example, the patterned HSQ 110 will act as a ‘dummy gate’ or placeholder for replacement gates employed later in the process. As shown in FIG. 3, spacers 150 are formed adjacent to sidewalls of the patterned HSQ 110 ‘dummy gates’. According to an exemplary embodiment, the spacers 150 comprise an insulating nitride, oxide, oxynitride or multilayers thereof and are formed adjacent to the sidewalls of the patterned HSQ 110 ‘dummy gates’ by deposition and etching. A filler material 152 is then deposited (e.g., using a spin-coating process) onto the structure, filling spaces in between the patterned HSQ 110 ‘dummy gates’ surrounding exposed portions of the nanowires 108 and covering the pads 103. The filler material 152 should 1) be able to hold the strain in the nanowires 108 (in this case the tensile stain induced in the nanowires 108 by the HSQ cross-link as described above); and 2) should be a material that has etch selectivity (i.e., etch resistivity) with respect to the etching process that is later used to remove the HSQ 110A. According to an exemplary embodiment, the filler material is a low-k dielectric (LKD) material available from JSR Corporation™, Sunnyvale, Calif., such as LKD 1037. By holding the tensile strain in the nanowires 108, the filler material 152 allows the dummy gates to be removed and replaced with replacement gates (see below). The replacement gates will then retain the strain (in this case the compressive strain) induced in the nanowires 108, even after the filler material 152 is removed.
  • Following deposition of the filler material 152, as is known in the art, the filler material is cured or annealed to remove the solvent. By way of example only, when LKD 1037 is used as the filler material 152, then a UV cure at about 400° C. (e.g., from about 350° C. to about 450° C.) in nitrogen for a duration of about 4 minutes (e.g., from about 3.5 minutes to about 5 minutes) may be employed to remove the remaining solvent. Additionally, the filler material 152 may expand during this curing process which, as described immediately below, can be one possible mechanism for introducing additional strain into the nanowires 108.
  • Namely, in addition to the HSQ inducing strain in the nanowires 108 (as described above), additional strain can be induced in the nanowires 108 through a couple of other mechanisms according to the present techniques. The first technique involves selecting a filler material that upon curing (see above) expands. This expansion will increase the tensile strain in the regions where the filler material 152 surrounds the nanowires 108. When the filler material 152 adds to the tensile strain in the nanowires 108, additional compressive strain will be induced in the regions of the nanowires 108 that are occupied by the patterned HSQ 110A dummy gate following the dummy gate removal (see below, where the dummy gates are removed forming trenches in the filler material 152; it is the portions of the nanowires 108 within the trenches that can experience additional compressive strain from the filler material 152).
  • As will be described in detail below, an epitaxy step is used to thicken the nanowires 108. FIG. 5 (described below) shows that this epitaxy step can be performed following removal of the filler material 152. Alternatively, this epitaxy step can be performed before the filler material 152 is deposited (and before the spacers 150 are formed) so as to induce additional strain in the nanowires 108. This is the second technique for inducing additional strain in the nanowires. The details of this epitaxy process are described in conjunction with the description of FIG. 5 below and are the same parameters as would be applied here, just earlier in the process. This optional, implementation of an epitaxy step earlier in the process is shown illustrated in FIG. 3 with a dotted line indicating the epitaxial film formed on the nanowires 108 and pads 103. As will be described in detail below, it may be preferable in this case to form an epitaxial film from silicon germanium (SiGe) (rather than for example Si) due to SiGe having a larger lattice constant. The filler material 152 can then be deposited onto the epitaxial film (as shown in FIG. 3). It is notable that if one chooses to perform the epitaxy at this point in the process, then the epitaxy step illustrated in FIG. 5 is not needed. Further, either the first technique (using the filler material to induce additional strain in the nanowires 108) or the second technique (using epitaxy to induce additional strain in the nanowires 108) can be used independently or both in combination to induce additional strain in the nanowires 108.
  • As highlighted above, the patterned HSQ 110A dummy gates serve as a placeholder for a replacement gate. The formation of a replacement gate is now described. First, the patterned HSQ 110A dummy gates are removed selective to the filler material 152. According to an exemplary embodiment, the patterned HSQ 110A dummy gates are removed selective to the filler material 152 using an etchant, for example, DHF. As highlighted above, one criteria for the selection of the filler material 152 is this etch selectivity vis-à-vis the patterned HSQ. The result is the formation of one or more trenches in the filler material 152 where the patterned HSQ 110A dummy gates were. As also highlighted above, the filler material must also be able to retain the strain in the nanowires 108 once the patterned HSQ 110A dummy gates are removed.
  • Next, the portions of the nanowires 108 exposed by removal of the patterned HSQ 110 dummy gates are coated with a gate dielectric 154. The gate dielectric 154 can comprise silicon dioxide (SiO2), silicon oxynitride (SiON), hafnium oxide (HfO2) or any other suitable high-k dielectric(s) and may be deposited around (so as to surround) the nanowires 108 using chemical vapor deposition (CVD), atomic layer deposition (ALD) or an oxidation furnace in the case of SiO2 and SiON. As shown in FIG. 4, this gate dielectric formation process results in the deposition of gate dielectric material surrounding the nanowires 108, and also lining the trenches (i.e., on the spacers 150 and on the exposed BOX 102).
  • Next, as shown in FIG. 4, the trenches are filled with a gate conductor 156. This gate conductor 156 constitutes a replacement gate of the device. See above. Suitable gate conductors include, but are not limited to, polysilicon, a metal (such as a tantalum nitride (TaN), titanium nitride (TiN), tungsten (W), or aluminum (Al)) or a combination of metals (such as TiN/Al). Polysilicon may be deposited using a CVD process, such as low-pressure CVD (LPCVD) or plasma-enhanced CVD (PECVD). Metal gate conductors may be deposited using a physical vapor deposition (PVD), ALD or an electroplating process. If so desired, any excess gate conductor material may be removed using chemical mechanical polishing (CMP) or other suitable etching technique. The replacement gates formed in this manner will surround the nanowires, making this a GAA device.
  • As with FIG. 3, the optional epitaxial film which may be employed early in the process to induce additional strain in the nanowires 108 is shown in FIG. 4. If, however, the epitaxy step is not carried out until the filler material 152 is removed (as in the example shown illustrated in FIG. 5), then this film would not yet be present.
  • The filler material 152 is then removed. See FIG. 5. According to an exemplary embodiment, the filler material is removed selective to the gate conductor 156 using reactive ion etching (RIE) or a wet etch.
  • As also shown in FIG. 5, an epitaxial film 158 is used to thicken portions of the nanowires 108 not covered by the gate conductor 156/spacers 150 (i.e., the source and drain regions of the device). The epitaxy also takes place over exposed portions of the pads 103 (see FIG. 5). The resulting epitaxial film 158 can be of Si or a Si alloy such as SiGe. Growth methods can include ultra-high vacuum chemical vapor deposition (UHV-CVD), rapid thermal chemical vapor deposition (RT-CVD) and ALD. Typical Si precursors include, but are not limited to, dichlorosilane (SiH2Cl2), a mixture of silane (SiH4) and hydrochloric acid (HCl), silicon tetrachloride (SiCl4) and germane (GeH4) for SiGe growth. The growth is selective in the sense that deposition of Si takes place only over Si surfaces, but not over dielectric surfaces such as oxides and silicon-nitrides. While selective Si epitaxy typically requires growth temperatures of about 800° C., maintaining selectivity when using lower growth temperatures is possible by adding Ge to the epitaxial film. With pure Ge growth, the growth temperature can be as low as 300° C. Low temperature growth of SiGe is useful in the case of very thin nanowires as a way to circumvent agglomeration. As highlighted above, this epitaxy step can instead be performed prior to deposition of the filler material 152 as a way to induce additional strain in the nanowires 108. In that case, it is preferable that epitaxial film 158 is formed from SiGe. Namely, SiGe has a larger lattice constant than Si. When SiGe is epitaxially grown on Si it will have compressive strain and it will induce tensile strain in the (e.g., Si) nanowires as the SiGe film tries to elastically relax. From this point forward in the process, the epitaxial film would appear the same whether it is formed before or after the filler material.
  • It is notable that the portions of the nanowires 108 surrounded by the replacements gates (i.e., gate conductor 156) will serve as channels of the device. The pads 103 and portions of the nanowires 108 extending out from the replacement gates (including those portions of the nanowires 108 in between the replacement gates) will serve as source and drain regions of the device. Thus, in the exemplary embodiment shown illustrated in FIG. 5, the epitaxial film 158 is formed on the source and drain regions.
  • Final processing is then performed to complete the device. According to the exemplary embodiment shown in FIG. 6, a self-aligned silicide 160 is formed over the epitaxial film 158. More specifically, a silicide forming metal such as nickel (Ni), platinum (Pt), cobalt (Co) and/or titanium (Ti) is blanket deposited over the device using, for example, ALD or PVD. The assembly is annealed to allow the metal to react with the exposed Si, Ge or SiGe of the epitaxial film 158. The metal over non-Si, -Ge or -SiGe surfaces remains unreacted. A selective etch is then used to remove the unreacted metal, leaving the silicide 160. As an example, in the case where Ni is used, the lower resistivity silicide phase is nickel-silicon (NiSi). The NiSi phase forms at an annealing temperature of about 420° C., and the etch chemistry used to remove the unreacted metal is hydrogen peroxide:sulfuric acid (H2O2:H2SO4) 10:1 at 65° C. for 10 minutes. When conformal deposition methods such as ALD are used to deposit the silicide forming metal, uniform silicide will surround the expitaxial film 158. Other deposition techniques such as PVD may yield a less uniform coverage of the metal which will result in thinner silicide over sidewalls and under the nanowires 108.
  • Next a conformal oxide layer that will serve as a first CMP stop layer 162 is deposited over the structure (i.e., over the silicide 160 and the gate conductor 156). According to an exemplary embodiment, the first CMP stop layer is deposited over the structure using CVD to a thickness of from about 10 nm to about 100 nm. A layer of planarizing dielectric 164 is then deposited over the structure. According to an exemplary embodiment, the planarizing dielectric 164 is an organic planarizing material which is spin-coated onto the structure. Suitable organic planarizing materials contain an aromatic cross-linkable polymer (e.g., naphthalene-based) in a solvent. Spin-coating ensures that the organic planarizing material sufficiently fills all of the gaps. When possible, the organic planarizing material should fill under the nanowires (as is the case in the example shown in FIG. 6). A post-apply bake may then be performed to cross-link the organic planarizing material and bake off the solvent. According to an exemplary embodiment, the post-apply bake is conducted at a temperature of up to about 250 degrees Celsius (° C.), e.g., from about 200° C. to about 250° C.
  • The planarizing dielectric 164 is then polished using (e.g., CMP) with the first CMP stop layer 162 acting as an etch stop. In order to sufficiently serve as an etch stop, the first CMP stop layer 162 has to have a polish rate that is substantially smaller than that of the planarizing dielectric 164.
  • A second CMP stop layer 166 is then deposited on the polished planarizing dielectric 164/replacement gates. According to an exemplary embodiment, the second CMP stop layer is formed from a nitride material (e.g., silicon nitride) and is deposited onto the structure to a thickness of from about 10 nm to about 100 nm.
  • Contacts are then formed to the source and drain regions of the device (i.e., source/drain contacts 168). According to an exemplary embodiment, standard lithography processes are used to form trenches that extend through the second CMP stop layer 166, the planarizing dielectric 164 and the first CMP stop layer 162. This may be accomplished using a series of reactive ion etching (RIE) steps, as is known in the art. The trenches may then be filled with a metal or metals to form the source/drain contacts 168. Suitable metals include, but are not limited to, tungsten (W) and copper (Cu). According to an exemplary embodiment, the source/drain contacts are formed by filling the trenches with the metal or metals and then polishing the excess metal that fills the trenches. This polishing can be done using CMP, with the second CMP stop layer 166 acting as an etch stop. The result is the formation of conductive vias in the trenches. Next, metal pads and/or lines can be formed in contact with the conductive vias. These pads/lines may be formed from the same metal or combination of metals as the vias using, for example, a RIE patterning process can be used to define the metal pads and/or lines.
  • FIGS. 7A and 7B are now presented to further illustrate how the patterning of HSQ dummy gates induces strain in the nanowires channels. For clarity and consistency of description, the same reference numerals from FIGS. 1-6 are used in FIGS. 7A and 7B and thus are intended to refer to the same structures. As shown in FIG. 7A, a spin-coated HSQ layer 118 covers/surrounds the nanowires 108. The regions of the HSQ to be exposed 120 (e.g., to e-beam or EUV radiation so as to become cross-linked) are indicated with cross-hatching. As shown in FIG. 7B, after cross-linking, and removal of the unexposed portions of the spin-coated HSQ 118, what remains are cross-linked HSQ 122 portions. Cross-linked HSQ 112 is the same as the patterned HSQ dummy gates in the embodiment described above. The cross-hatching from FIG. 7A is transposed onto FIG. 7B to illustrate that the HSQ upon cross-linking shrinks thereby inducing compressive strain (see arrows 112) and tensile strain (see arrows 114) in the nanowires 108. Further, as shown in FIG. 7B, since the cross-linked HSQ will shrink in from all directions, the nanowires 108 may be pulled closer to the recessed oxide 105 (see arrow 115) which further contributes to the tensile strain at the ends of the nanowires 108 (i.e., since the nanowires 108 are attached at each end to the pads 103. The concept of compressive/tensile strain induced in the nanowires 108 by the present techniques is further described in conjunction with the description of FIG. 13, below.
  • An alternative embodiment employing the present strain inducing techniques will now be presented by way of reference to FIGS. 8-12. In this case, the present techniques will be used to induce tensile strain in the nanowires channels. The starting structure for this example is the same as that shown in FIGS. 1A and 1B (i.e., having nanowires 108 and pads 103, wherein a buried oxide 102 is undercut beneath the nanowires 108). Thus, following from FIGS. 1A and 1B, an HSQ layer is first deposited (e.g., spin-coated) onto the structure. Since, as described above, the nanowires 108 are suspended over the BOX 102, the spin-coated HSQ will cover and surround a portion of each of the nanowires 108. See FIG. 8.
  • As described above, the HSQ is then patterned. However, by comparison with the compressive strain embodiment presented above wherein the patterned HSQ served as dummy gates and thus marked the locations of the gates of the device, in this case patterned HSQ 110A will mark the locations of the source/drain regions of the device, rather than the gates. Namely, the HSQ will be removed from areas in which the gates of the device will be formed.
  • The HSQ is patterned by first exposing portions of the spin-coated HSQ layers (i.e., the portions of the HSQ that will remain after the patterning) to an energy-yielding process that will cure and cross-link those portions of the HSQ. As described above, during the cross-linking process the HSQ will shrink, thereby inducing strain in the nanowires 108. According to an exemplary embodiment, the spin-coated HSQ is cross-linked by exposing the HSQ to e-beam or EUV radiation with wavelengths shorter than 157 nm. This serves to cross-link the HSQ in the exposed areas. Next, unexposed portions of the HSQ can then be selectively removed using a developer (e.g., a TMAH based developer or an aqueous mixture of NaOH alkali and NaCl salt), resulting in patterned HSQ 110B. Following the developer wash, the remaining patterned HSQ 110B may be annealed to further increase the density of the HSQ (and thus reduce its volume). The annealing temperature should be kept low enough (below 960° C.) so the HSQ will not reflow and allow the strain in the wires to relax. According to an exemplary embodiment, the anneal is performed at a temperature of from about 500° C. to about 900° C. for a duration of from about 5 minutes to about 30 minutes.
  • As described above, the cross-linking causes the patterned HSQ 110B to shrink (i.e., its volume decreases by from about 5% to about 10% as compared to non-cross-linked HSQ). Since the patterned HSQ 110B surrounds the nanowires 108, the result of this shrinking is a strain being induced in the nanowires 108. Namely, a compressive strain (see arrows 112) is induced in those portions of the nanowires 108 surrounded by the patterned HSQ 110B. Further, a tensile strain (see arrows 114) is induced in those portions of the nanowires 108 in between the patterned HSQ 110B. Specifically, by inducing compressive strain in the portions of the nanowires 108 surrounded by the patterned HSQ 110B (i.e., due to the shrinking of the patterned HSQ 110B) and since the nanowires 108 are anchored on their ends to the pads 103, those portions of the nanowires 108 not surrounded by the patterned HSQ 110B are effectively being pulled, thus resulting in tensile strain. The concept of compressive/tensile strain induced in the nanowires 108 by the present techniques is further described in conjunction with the description of FIG. 13, below.
  • As shown in FIG. 8, by patterning the HSQ, one or more trenches are formed in between the patterned HSQ 110B portions. In this example, gates of the device will be formed in these trenches. First, however, exposed portions of the nanowires 108 (i.e., within the trenches) are coated with a gate dielectric 174. The gate dielectric 174 can include SiO2, SiON, HfO2 or any other suitable high-k dielectric(s) and may be deposited around (so as to surround) the nanowires 108 using CVD, ALD or an oxidation furnace in the case of SiO2 and SiON. As shown in FIG. 9, this gate dielectric formation process results in the deposition of the gate dielectric material around the nanowires 108, and also lining the trenches including the exposed BOX 102.
  • Next, as shown in FIG. 9, the trenches are filled with a gate conductor 176 so as to form the gates of the device. Suitable gate conductors include, but are not limited to, polysilicon, a metal (such as a W, TiN, TaN, or aluminum) or a combination of metals (such as TiN/Al). Polysilicon may be deposited using a CVD process, such as low-pressure CVD (LPCVD) or plasma-enhanced CVD (PECVD). Metal gate conductors may be deposited using ALD, CVD or an electroplating process. If so desired, any excess gate conductor may be removed using chemical mechanical polishing (CMP) or other suitable etching technique. The gates as now formed serve to retain the tensile strain induced in the nanowires 108 (see FIG. 8) even when the patterned HSQ 110B is next removed. The gates formed in this manner will surround the nanowires, making this a GAA device.
  • The patterned HSQ 110B is then removed. See FIG. 10. According to an exemplary embodiment, the patterned HSQ 110B is removed selective to the gate conductor 176 using an etchant, e.g., DHF. As shown in FIG. 10, spacers 178 are formed adjacent to sidewalls of the gates. According to an exemplary embodiment, the spacers 178 are made up of an insulating nitride, oxide, oxynitride or multilayers thereof and are formed adjacent to the sidewalls of the gates by deposition and etching.
  • Next, as shown in FIG. 11, an epitaxial film 180 is used to thicken portions of the nanowires 108 not covered by the gate conductor 176/spacers 178 (i.e., the source and drain regions of the device). The epitaxy also takes place over exposed portions of the pads 103 (see FIG. 11). The resulting epitaxial film 180 can be of Si or a Si alloy such as SiGe. Growth methods can include UHV-CVD, RT-CVD and ALD. Typical Si precursors include, but are not limited to, dichlorosilane (SiH2Cl2), a mixture of silane (SiH4) and hydrochloric acid (HCl), silicon tetrachloride (SiCl4) and germane (GeH4) for SiGe growth. The growth is selective in the sense that deposition of Si takes place only over Si surfaces, but not over dielectric surfaces such as oxides and silicon-nitrides. While selective Si epitaxy typically requires growth temperatures of about 800° C., maintaining selectivity when using lower growth temperatures is possible by adding Ge to the epitaxial film. With pure Ge growth, the growth temperature can be as low as 300° C. Low temperature growth of SiGe is useful in the case of very thin nanowires as a way to circumvent agglomeration.
  • It is notable that the portions of the nanowires 108 surrounded by the gates (i.e., gate conductor 176) will serve as channels of the device. The pads 103 and portions of the nanowires 108 extending out from the gates (including those portions of the nanowires 108 in between the gates) will serve as source and drain regions of the device. Thus, in the exemplary embodiment shown illustrated in FIG. 11, the epitaxial film 180 is formed on the source and drain regions.
  • Final processing is then performed to complete the device. According to the exemplary embodiment shown in FIG. 12, a self-aligned silicide 182 is formed over the epitaxial film 180. More specifically, a metal(s) such as Ni, Pt, Co and/or Ti is blanket deposited over the device. The assembly is annealed to allow the metal to react with the exposed Si, Ge or SiGe of the epitaxial film 180. The metal over non-Si, -Ge or -SiGe surfaces remains unreacted. A selective etch is then used to remove the unreacted metal, leaving the silicide 182. As an example, in the case where Ni is used, the lower resistivity silicide phase is nickel-silicon (NiSi). The NiSi phase forms at an annealing temperature of about 420° C., and the etch chemistry used to remove the unreacted metal is hydrogen peroxide:sulfuric acid (H2O2:H2SO4) 10:1 at 65° C. for 10 minutes.
  • Next a conformal oxide layer that will serve as a first CMP stop layer 184 is deposited over the structure (i.e., over the silicide 182 and the gate conductor 176). According to an exemplary embodiment, the first CMP stop layer 184 is deposited over the structure using CVD to a thickness of from about 10 nm to about 100 nm. A layer of planarizing dielectric 186 is then deposited over the structure. According to an exemplary embodiment, the planarizing dielectric 186 is an organic planarizing material which is spin-coated onto the structure. Suitable organic planarizing materials contain an aromatic cross-linkable polymer (e.g., naphthalene-based) in a solvent. Spin-coating ensures that the organic planarizing material sufficiently fills all of the gaps. When possible, the organic planarizing material should fill under the nanowires (as is the case in the example shown in FIG. 12). A post-apply bake may then be performed to cross-link the organic planarizing material and bake off the solvent. According to an exemplary embodiment, the post-apply bake is conducted at a temperature of up to about 250 degrees Celsius (° C.), e.g., from about 200° C. to about 250° C.
  • The planarizing dielectric 186 is then polished using (e.g., CMP) with the first CMP stop layer 184 acting as an etch stop. In order to sufficiently serve as an etch stop, the first CMP stop layer 184 has to have a polish rate that is substantially smaller than that of the planarizing dielectric 186.
  • A second CMP stop layer 188 is then deposited on the polished planarizing dielectric 186/gates. According to an exemplary embodiment, the second CMP stop layer 188 is formed from a nitride material (such as silicon nitride) and is deposited onto the structure to a thickness of from about 10 nm to about 100 nm.
  • Contacts are then formed to the source and drain regions of the device (i.e., source/drain contacts 190). According to an exemplary embodiment, standard lithography processes are used to form trenches that extend through the second CMP stop layer 188, the planarizing dielectric 186 and the first CMP stop layer 184. This may be accomplished using a series of RIE steps, as is known in the art. The trenches may then be filled with a metal or metals to form the source/drain contacts 190. Suitable metals include, but are not limited to, W and Cu. According to an exemplary embodiment, the source/drain contacts are formed by filling the trenches with the metal or metals and then polishing the excess metal that fills the trenches. This polishing can be done using CMP, with the second CMP stop layer 188 acting as an etch stop. The result is the formation of conductive vias in the trenches. Next, metal pads and/or lines can be formed in contact with the conductive vias. These pads/lines may be formed from the same metal or combination of metals as the vias using, for example, a RIE patterning process can be used to define the metal pads and/or lines.
  • FIG. 13 is a diagram illustrating, at a microscopic structural level, compressive and tensile strain induced in a nanowire as compared to a nanowire in an untrained or relaxed state. Specifically, FIG. 13 shows a first nanowire (the top nanowires shown in the figure) which is in a relaxed state, and a second nanowire (the bottom nanowire shown in the figure) that has both compressive and tensile strain being induced therein. Each of these nanowires shown in FIG. 13 is representative of any of the semiconductor nanowires (e.g., nanowires 108) present in the above-described fabrication processes. As highlighted above, through use of the present HSQ strain inducing processes, tensile and/or compressive strain can be advantageously induced in the nanowires. FIG. 13 further illustrates this process.
  • Strain by definition has a microscopic structural meaning. When a semiconductor crystal structure (such as is present in semiconductor nanowires 108) is strained it means that the lattice constant “a” of the crystal (at least in one axis or more) has changed from the relaxed size (unstrained value) to another size. The strain value is defined as
  • Δ a a ,
  • where “a” is the relaxed lattice constant and Δa is expansion or contraction of the lattice constant. In other words, the amount of strain corresponds to the amount of elastic deformation in the semiconductor crystal structure. It is noted that when the lattice constant is deformed in one axis, there will also be a deformation of the lattice constant in the other two axes as described by the Possion ratio (see, for example, James M. Gere, Mechanics of Materials, 6th Ed., pgs. 24-25 (2004), the contents of which are incorporated by reference herein). The deformation or change in the lattice constant can be measured by methods such as x-ray diffraction, or Raman spectroscopy.
  • Referring to the present device structures, the nanowires (e.g., nanowires 108) are made of a semiconductor crystal (for example silicon). There are several gates formed over the nanowires. As described above, in some portions of the nanowires there is tensile strain and in other portions there is compressive strain (see for example FIGS. 7B, 2A and 8, described above). The lattice constant in the nanowire is therefore deformed such that there are region capped by a gate where the lattice constant is smaller than that of the relaxed silicon lattice constant and/or there are other regions capped by a gate where the lattice constant is larger than that of relaxed silicon. For longitudinal tensile strain the lattice constant will be larger (parallel to the length of the nanowire) since the nanowire is stretched. For longitudinal compressive strain the lattice constant will be smaller (parallel to the length of the nanowire) since the nanowire is contracted. These strain scenarios are shown illustrated in FIG. 13. Namely, in FIG. 13, the unit cells of the crystals are depicted schematically as boxes within the nanowires. Of course, there may be many more cells (and in different arrangements) than those depicted, but what is shown in FIG. 13 is meant merely to illustrate the different strain scenarios at a microscopic, crystal structure level.
  • As shown in FIG. 13, the nanowires (e.g., nanowires 108) in the relaxed state (relaxed lattice constant a0) have a repeating crystal unit structure. Due to compressive strain (by way of the HSQ strain-inducing processes described above) the nanowires become deformed as compared to the relaxed state, i.e., the compressed strain lattice constant a1<a0. This is illustrated in FIG. 13 by the unit cells in the compressive strain region of the nanowires being smaller (parallel to the length of the nanowire). By comparison, due to tensile strain (by way of the HSQ strain-inducing processes described above) the nanowires become deformed as compared to the relaxed state, i.e., the tensile strain lattice constant a2>a0. This is illustrated in FIG. 13 by the unit cells in the tensile strain region of the nanowires being larger (parallel to the length of the nanowire).
  • With the present techniques, depending on the gate configuration/strain-inducing process employed any combination of regions of compressive strain, regions of tensile strain and/or relaxed regions (see above) can be achieved in any given one of the nanowires. Thus, by way of example only, based on the process outlined in FIGS. 1-6, each of the nanowires 108 will have a plurality of first regions that are deformed such that the semiconductor lattice constant in those regions is smaller (less) than that of the relaxed semiconductor lattice constant (i.e., a1<a0), which is due, in this example, to the compressive strain induced in the nanowires by the HSQ dummy gate/replacement gate process (see for example arrows 112 in FIG. 2A indicated compressive strain in those first regions of the nanowires 108). The gate conductor 156 (i.e., the replacement gates of the device) surround these regions of the nanowires 108 (see for example FIG. 5). As described above, the HSQ strain-inducing process will also result in regions of tensile strain being induced in the nanowires 108 (see, for example, FIG. 2A). Thus, each of the nanowires will also have a plurality of second regions that are deformed such that the semiconductor lattice constant in those regions is larger (greater) than that of the relaxed semiconductor lattice constant (i.e., a2>a0).
  • Similarly, by way of reference to the process outlined in FIGS. 8-12, each of the nanowires 108 will have a plurality of first regions that are deformed such that the semiconductor lattice constant in those regions is smaller (less) than that of the relaxed semiconductor lattice constant (i.e., a1<a0), which is due, in this example, to the compressive strain induced in the nanowires by the HSQ dummy gate/replacement gate process (see for example arrows 112 in FIG. 8 indicating compressive strain in those first regions of the nanowires 108). As described above, the HSQ strain-inducing process will also result in regions of tensile strain being induced in the nanowires 108 (see, for example, FIG. 8). Thus, each of the nanowires will also have a plurality of second regions that are deformed such that the semiconductor lattice constant in those regions is larger (greater) than that of the relaxed semiconductor lattice constant (i.e., a2>a0). The gate conductor 176 (i.e., the replacement gates of the device) surrounds these regions of the nanowires 108 (see for example FIG. 10). Thus, a difference between the embodiment of FIGS. 1-6 and that of FIGS. 8-12 is whether the replacement gate in the completed device surrounds the regions of the nanowires with induced compressive strain (FIGS. 1-6) or tensile strain (FIGS. 8-12).
  • It is notable that with the above-described configuration wherein the nanowires have regions of compressive/tensile strain and/or relaxed regions, that the strain is not necessarily constant for every unit cell of the crystal. Namely, in the transition from a region of strain to a relaxed region, the maximum strain is experienced in the center of the given strained region, and the level of strain drops moving out (parallel to the length of the nanowires) towards the relaxed regions. Thus, the strain profile resulting from the present techniques (i.e., by inducing strain in one or more portions along the length of the nanowires) is different from instances where strain is induced from the ends of the nanowires. In the latter case, the maximum strain would be present at the ends of the nanowires.
  • Although illustrative embodiments of the present invention have been described herein, it is to be understood that the invention is not limited to those precise embodiments, and that various other changes and modifications may be made by one skilled in the art without departing from the scope of the invention.

Claims (21)

1. A method of fabricating a field-effect transistor (FET) device, comprising the steps of:
forming nanowires and pads in a silicon-on-insulator (SOI) layer over a buried oxide (BOX) layer, wherein the nanowires are connected to the pads in a ladder-like configuration, and wherein the nanowires are suspended over the BOX;
depositing a hydrogen silsesquioxane (HSQ) layer that surrounds the nanowires;
cross-linking one or more portions of the HSQ layer that surround the nanowires, wherein the cross-linking causes the one or more portions of the HSQ layer to shrink thereby inducing strain in the nanowires, wherein the strain induced in the nanowires comprises a) tensile strain being induced in one or more portions of the nanowires and b) compressive strain being introduced in one or more other portions of the nanowires; and
forming one or more gates surrounding portions of each of the nanowires, wherein the gates retain the strain induced in the nanowires by the cross-linking step, and wherein the portions of the nanowires surrounded by the gates comprise channel regions of the device and portions of the nanowires extending out from the gates and the pads comprise source and drain regions of the device.
2-3. (canceled)
4. The method of claim 1, further comprising the steps of:
removing one or more uncross-linked portions of the HSQ layer;
depositing a filler material to replace the one or more uncross-linked portions of the HSQ layer that are removed;
removing the one or more portions of the HSQ layer that are cross-linked using an etchant forming trenches in the filler material, wherein portions of the nanowires are exposed within the trenches;
forming a gate dielectric surrounding the portions of the nanowires exposed within the trenches; and
filling the trenches with a gate conductor to form the one or more gates of the device.
5. The method of claim 4, wherein the one or more uncross-linked portions of the HSQ layer are removed using a developer selected from the group consisting of a Tetramethyl-ammonium hydroxide based developer and an aqueous mixture of sodium hydroxide alkali and sodium chloride salt.
6. The method of claim 4, wherein the one or more portions of the HSQ layer that are cross-linked are removed using DHF.
7. The method of claim 4, wherein the filler material i) can retain the strain induced in the nanowires by the cross-linking step, and ii) has an etching resistance to the etchant used to remove the one or more portions of the HSQ layer that are cross-linked.
8. The method of claim 4, further comprising the step of:
forming an epitaxial SiGe film on regions of the nanowires exposed by removal of the one or more uncross-linked portions of the HSQ layer prior to deposition of the filler material.
9. The method of claim 4, wherein the one or more portions of the HSQ layer that are cross-linked comprise dummy gates, the method further comprising the step of:
forming spacers adjacent to sidewalls of the dummy gates.
10. The method of claim 4, wherein the gate dielectric comprises silicon dioxide (SiO2), silicon oxynitride (SiON) or hafnium oxide (HfO2).
11. The method of claim 4, wherein the gate conductor comprises polysilicon, a metal or a combination of metals.
12. The method of claim 1, further comprising the steps of:
removing one or more uncross-linked portions of the HSQ layer forming trenches between the one or more portions of the HSQ layer that are cross-linked, wherein portions of the nanowires are exposed within the trenches;
forming a gate dielectric surrounding the portions of the nanowires exposed within the trenches; and
filling the trenches with a gate conductor to form the one or more gates of the device.
13. The method of claim 12, wherein the one or more uncross-linked portions of the HSQ layer are removed using a developer selected from the group consisting of a Tetramethyl-ammonium hydroxide based developer and an aqueous mixture of sodium hydroxide alkali and sodium chloride salt.
14. The method of claim 12, further comprising the step of:
forming spacers adjacent to sidewalls of the gates.
15. The method of claim 12, wherein the gate dielectric comprises silicon dioxide (SiO2), silicon oxynitride (SiON) or hafnium oxide (HfO2).
16. The method of claim 12, wherein the gate conductor comprises polysilicon, a metal or a combination of metals.
17. The method of claim 1, further comprising the step of:
forming contacts to the source and drain regions of the device.
18. The method of claim 1, wherein the HSQ layer is deposited using spin-coating.
19. The method of claim 1, wherein the one or more portions of the HSQ layer are cross-linked by exposure to e-beam or extreme ultraviolet (EUV) radiation with wavelengths shorter than 157 nanometers.
20. The method of claim 1, wherein the cross-linking causes the one or more portions of the HSQ layer to decrease in volume by from about 5% to about 10%.
21. The method of claim 1, further comprising the step of:
thickening the source and drain regions by epitaxy.
22. (canceled)
US13/344,352 2012-01-05 2012-01-05 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process Active US8492208B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US13/344,352 US8492208B1 (en) 2012-01-05 2012-01-05 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process
CN201280066327.8A CN104040705B (en) 2012-01-05 2012-12-19 Use the compression (PFET) in the nano-wire fet of replacement gate process manufacture and stretching (NFET) channel strain
GB1413366.4A GB2513761B (en) 2012-01-05 2012-12-19 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETS fabricated with a replacement gate process
PCT/US2012/070473 WO2013103527A1 (en) 2012-01-05 2012-12-19 Compressive (pfet) and tensile (nfet) channel strain in nanowire fets fabricated with a replacement gate process
DE112012005084.3T DE112012005084B4 (en) 2012-01-05 2012-12-19 Channel Compressive Stress (PFET) and Tensile Stress (NFET) in nanowire FETs fabricated using a replacement gate process
US13/923,530 US8716695B2 (en) 2012-01-05 2013-06-21 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/344,352 US8492208B1 (en) 2012-01-05 2012-01-05 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/923,530 Division US8716695B2 (en) 2012-01-05 2013-06-21 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process

Publications (2)

Publication Number Publication Date
US20130175503A1 true US20130175503A1 (en) 2013-07-11
US8492208B1 US8492208B1 (en) 2013-07-23

Family

ID=48743294

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/344,352 Active US8492208B1 (en) 2012-01-05 2012-01-05 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process
US13/923,530 Active US8716695B2 (en) 2012-01-05 2013-06-21 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/923,530 Active US8716695B2 (en) 2012-01-05 2013-06-21 Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process

Country Status (5)

Country Link
US (2) US8492208B1 (en)
CN (1) CN104040705B (en)
DE (1) DE112012005084B4 (en)
GB (1) GB2513761B (en)
WO (1) WO2013103527A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887162A (en) * 2014-03-27 2014-06-25 上海华力微电子有限公司 Method for preparing highly-dielectric SiON gate medium
CN104658897A (en) * 2013-11-19 2015-05-27 中芯国际集成电路制造(上海)有限公司 Forming method of semiconductor device
US9312366B1 (en) 2015-03-23 2016-04-12 International Business Machines Corporation Processing of integrated circuit for metal gate replacement
CN105489654A (en) * 2015-12-01 2016-04-13 商丘师范学院 Nanowire transistor and manufacturing method thereof
US9373638B1 (en) 2015-01-15 2016-06-21 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US20160284805A1 (en) * 2015-03-24 2016-09-29 International Business Machines Corporation Iii-v compound and germanium compound nanowire suspension with germanium-containing release layer
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9570552B1 (en) * 2016-03-22 2017-02-14 Globalfoundries Inc. Forming symmetrical stress liners for strained CMOS vertical nanowire field-effect transistors
FR3055470A1 (en) * 2016-08-30 2018-03-02 St Microelectronics Crolles 2 Sas FIELD-FIELD EFFECT TRANSISTOR SURROUNDING
US10026821B2 (en) * 2016-08-30 2018-07-17 Stmicroelectronics (Crolles 2) Sas All-around gate field-effect transistor
CN109585301A (en) * 2014-10-22 2019-04-05 意法半导体公司 For including the technique with the production of integrated circuits of liner silicide of low contact resistance
US20190259616A1 (en) * 2018-02-21 2019-08-22 International Business Machines Corporation High temperature ultra-fast annealed soft mask for semiconductor devices
US10483164B2 (en) * 2017-11-14 2019-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US10923574B2 (en) * 2015-12-23 2021-02-16 Intel Corporation Transistor with inner-gate spacer

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101539669B1 (en) * 2008-12-16 2015-07-27 삼성전자주식회사 Method of forming core-shell type structure and method of manufacturing transistor using the same
DE112011106031B4 (en) 2011-12-23 2018-12-06 Intel Corporation Uniaxially strained nanowire structures
US9525053B2 (en) 2013-11-01 2016-12-20 Samsung Electronics Co., Ltd. Integrated circuit devices including strained channel regions and methods of forming the same
US9684753B2 (en) 2014-06-09 2017-06-20 International Business Machines Corporation Techniques for generating nanowire pad data from pre-existing design data
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9378320B2 (en) * 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9361418B2 (en) * 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
CN111584625B (en) * 2015-01-26 2023-07-11 联华电子股份有限公司 Nanowire transistor element
KR102235612B1 (en) 2015-01-29 2021-04-02 삼성전자주식회사 Semiconductor device having work-function metal and method of forming the same
US9443949B1 (en) * 2015-03-27 2016-09-13 International Business Machines Corporation Techniques for multiple gate workfunctions for a nanowire CMOS technology
US9455317B1 (en) 2015-06-24 2016-09-27 International Business Machines Corporation Nanowire semiconductor device including lateral-etch barrier region
US10283638B2 (en) 2015-08-03 2019-05-07 Samsung Electronics Co., Ltd. Structure and method to achieve large strain in NS without addition of stack-generated defects
US9607990B2 (en) 2015-08-28 2017-03-28 International Business Machines Corporation Method to form strained nFET and strained pFET nanowires on a same substrate
CN106558603B (en) * 2015-09-30 2019-05-31 中国科学院微电子研究所 A kind of nanowire structure encloses gate nano line device and its manufacturing method
CN106558489B (en) * 2015-09-30 2019-03-15 中国科学院微电子研究所 A kind of nanowire structure encloses gate nano line device and its manufacturing method
DE102015015452A1 (en) * 2015-12-02 2017-06-08 Forschungszentrum Jülich GmbH Process for planarizing nanostructures
US10259704B2 (en) 2016-04-07 2019-04-16 Regents Of The University Of Minnesota Nanopillar-based articles and methods of manufacture
US9735269B1 (en) 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
TWI747956B (en) * 2016-09-30 2021-12-01 美商道康寧公司 Bridged silicone resin, film, electronic device and related methods
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
US10601413B2 (en) * 2017-09-08 2020-03-24 Cree, Inc. Power switching devices with DV/DT capability and methods of making such devices
US10727320B2 (en) 2017-12-29 2020-07-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of manufacturing at least one field effect transistor having epitaxially grown electrodes
US10411128B1 (en) 2018-05-22 2019-09-10 International Business Machines Corporation Strained fin channel devices
US10714569B1 (en) 2019-03-27 2020-07-14 International Business Machines Corporation Producing strained nanosheet field effect transistors using a phase change material
CN110176459B (en) * 2019-06-19 2020-07-03 英特尔半导体(大连)有限公司 Channel pillar for memory and method of fabricating the same
CN113964202B (en) * 2021-10-14 2023-01-24 上海集成电路制造创新中心有限公司 Test method and system for gate-all-around device preparation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100183853A1 (en) * 2007-06-12 2010-07-22 Takashi Ihara Stripping agent for resist film on/above conductive polymer, method for stripping resist film, and substrate having patterned conductive polymer
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US20110233522A1 (en) * 2010-03-25 2011-09-29 International Business Machines Corporation p-FET with a Strained Nanowire Channel and Embedded SiGe Source and Drain Stressors

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5250454A (en) 1992-12-10 1993-10-05 Allied Signal Inc. Method for forming thickened source/drain contact regions for field effect transistors
US6897098B2 (en) 2003-07-28 2005-05-24 Intel Corporation Method of fabricating an ultra-narrow channel semiconductor device
US7101761B2 (en) 2003-12-23 2006-09-05 Intel Corporation Method of fabricating semiconductor devices with replacement, coaxial gate structure
US7193254B2 (en) 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
US7629603B2 (en) 2006-06-09 2009-12-08 Intel Corporation Strain-inducing semiconductor regions
US7554621B2 (en) 2006-06-26 2009-06-30 Panasonic Corporation Nanostructured integrated circuits with capacitors
KR101612749B1 (en) 2006-09-06 2016-04-27 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 A two-dimensional stretchable and bendable device
US7534675B2 (en) 2007-09-05 2009-05-19 International Business Machiens Corporation Techniques for fabricating nanowire field-effect transistors
US20090146194A1 (en) 2007-12-05 2009-06-11 Ecole Polytechnique Federale De Lausanne (Epfl) Semiconductor device and method of manufacturing a semiconductor device
JP4575471B2 (en) 2008-03-28 2010-11-04 株式会社東芝 Semiconductor device and manufacturing method of semiconductor device
US7884004B2 (en) 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US8368125B2 (en) 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
US8614492B2 (en) * 2009-10-26 2013-12-24 International Business Machines Corporation Nanowire stress sensors, stress sensor integrated circuits, and design structures for a stress sensor integrated circuit
US8415220B2 (en) 2010-02-22 2013-04-09 International Business Machines Corporation Constrained oxidation of suspended micro- and nano-structures
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
CN103210492B (en) * 2010-11-17 2016-03-23 国际商业机器公司 Nano-wire devices, field-effect transistor and the method for the formation of nano-wire devices
US8685823B2 (en) * 2011-11-09 2014-04-01 International Business Machines Corporation Nanowire field effect transistor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100183853A1 (en) * 2007-06-12 2010-07-22 Takashi Ihara Stripping agent for resist film on/above conductive polymer, method for stripping resist film, and substrate having patterned conductive polymer
US7902541B2 (en) * 2009-04-03 2011-03-08 International Business Machines Corporation Semiconductor nanowire with built-in stress
US7989233B2 (en) * 2009-04-03 2011-08-02 International Business Machines Corporation Semiconductor nanowire with built-in stress
US20110233522A1 (en) * 2010-03-25 2011-09-29 International Business Machines Corporation p-FET with a Strained Nanowire Channel and Embedded SiGe Source and Drain Stressors

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Choi et al., "Comparaitive Study of thermally cured and electron-beam-exposed hydrogen silsesquioxane resists," J. Vac. Sci. Technol. B 26, pp.1654-1659 (09-2008) *

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104658897A (en) * 2013-11-19 2015-05-27 中芯国际集成电路制造(上海)有限公司 Forming method of semiconductor device
CN103887162A (en) * 2014-03-27 2014-06-25 上海华力微电子有限公司 Method for preparing highly-dielectric SiON gate medium
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
CN109585301A (en) * 2014-10-22 2019-04-05 意法半导体公司 For including the technique with the production of integrated circuits of liner silicide of low contact resistance
US9373638B1 (en) 2015-01-15 2016-06-21 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels
US9466673B2 (en) 2015-01-15 2016-10-11 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels
US9543388B2 (en) 2015-01-15 2017-01-10 International Business Machines Corporation Complementary metal-oxide silicon having silicon and silicon germanium channels
US9312366B1 (en) 2015-03-23 2016-04-12 International Business Machines Corporation Processing of integrated circuit for metal gate replacement
US9570563B2 (en) * 2015-03-24 2017-02-14 International Business Machines Corporation III-V compound and Germanium compound nanowire suspension with Germanium-containing release layer
US20160284805A1 (en) * 2015-03-24 2016-09-29 International Business Machines Corporation Iii-v compound and germanium compound nanowire suspension with germanium-containing release layer
CN105489654A (en) * 2015-12-01 2016-04-13 商丘师范学院 Nanowire transistor and manufacturing method thereof
US10923574B2 (en) * 2015-12-23 2021-02-16 Intel Corporation Transistor with inner-gate spacer
US9570552B1 (en) * 2016-03-22 2017-02-14 Globalfoundries Inc. Forming symmetrical stress liners for strained CMOS vertical nanowire field-effect transistors
FR3055470A1 (en) * 2016-08-30 2018-03-02 St Microelectronics Crolles 2 Sas FIELD-FIELD EFFECT TRANSISTOR SURROUNDING
US10026821B2 (en) * 2016-08-30 2018-07-17 Stmicroelectronics (Crolles 2) Sas All-around gate field-effect transistor
US10483164B2 (en) * 2017-11-14 2019-11-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US20190259616A1 (en) * 2018-02-21 2019-08-22 International Business Machines Corporation High temperature ultra-fast annealed soft mask for semiconductor devices
US10804106B2 (en) * 2018-02-21 2020-10-13 International Business Machines Corporation High temperature ultra-fast annealed soft mask for semiconductor devices

Also Published As

Publication number Publication date
US20130285020A1 (en) 2013-10-31
US8492208B1 (en) 2013-07-23
DE112012005084B4 (en) 2015-02-05
GB201413366D0 (en) 2014-09-10
US8716695B2 (en) 2014-05-06
GB2513761B (en) 2015-07-15
DE112012005084T5 (en) 2014-09-18
CN104040705B (en) 2016-11-16
CN104040705A (en) 2014-09-10
WO2013103527A1 (en) 2013-07-11
GB2513761A (en) 2014-11-05

Similar Documents

Publication Publication Date Title
US8492208B1 (en) Compressive (PFET) and tensile (NFET) channel strain in nanowire FETs fabricated with a replacement gate process
JP5883432B2 (en) Field effect transistor (FET) and method of forming the same
US9029834B2 (en) Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8309991B2 (en) Nanowire FET having induced radial strain
US8313990B2 (en) Nanowire FET having induced radial strain
US8420455B2 (en) Generation of multiple diameter nanowire field effect transistors
US8927968B2 (en) Accurate control of distance between suspended semiconductor nanowires and substrate surface
US8039332B2 (en) Method of manufacturing a buried-gate semiconductor device and corresponding integrated circuit
US7893492B2 (en) Nanowire mesh device and method of fabricating same
US8445337B2 (en) Generation of multiple diameter nanowire field effect transistors
US8642403B1 (en) Replacement contacts for all-around contacts
US9793401B1 (en) Vertical field effect transistor including extension and stressors
CN102301482A (en) Maskless Process for Suspending and Thinning Nanowires
US9054188B2 (en) Curved wafer processing on method and apparatus
US9378952B1 (en) Tall relaxed high percentage silicon germanium fins on insulator

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COHEN, GUY;GUILLORN, MICHAEL A.;MURRAY, CONAL EUGENE;SIGNING DATES FROM 20111229 TO 20120104;REEL/FRAME:027490/0136

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117