US20130283217A1 - Method and system for forming patterns using charged particle beam lithography - Google Patents

Method and system for forming patterns using charged particle beam lithography Download PDF

Info

Publication number
US20130283217A1
US20130283217A1 US13/862,471 US201313862471A US2013283217A1 US 20130283217 A1 US20130283217 A1 US 20130283217A1 US 201313862471 A US201313862471 A US 201313862471A US 2013283217 A1 US2013283217 A1 US 2013283217A1
Authority
US
United States
Prior art keywords
pattern
substrate
reticle
mask
charged particle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/862,471
Inventor
Akira Fujimura
Anatoly Aadamov
Eldar Khaliullin
Ingo Bork
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
D2S Inc
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by D2S Inc filed Critical D2S Inc
Priority to US13/862,471 priority Critical patent/US20130283217A1/en
Priority to TW102113633A priority patent/TWI605306B/en
Priority to TW102113610A priority patent/TWI605302B/en
Assigned to D2S, INC. reassignment D2S, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AADAMOV, Anatoly, KHALIULLIN, Eldar, BORK, INGO, FUJIMURA, AKIRA
Publication of US20130283217A1 publication Critical patent/US20130283217A1/en
Priority to US14/331,008 priority patent/US9343267B2/en
Priority to US15/157,278 priority patent/US9859100B2/en
Priority to US15/841,167 priority patent/US10431422B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • G06F17/5009
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Definitions

  • D2SiP041c entitled “Method and System For Forming Patterns Using Charged Particle Beam Lithography” filed on even date herewith; 3) Pearman, U.S. patent application Ser. No. ______, entitled “Method And System For Critical Dimension Uniformity Using Charged Particle Beam Lithography”, (Attorney Docket No. D2SiP042) filed on even date herewith; and 4) U.S. Patent Publication No. 2013/0070222 published on Mar. 21, 2013, entitled “Method And System For Optimization Of An Image On A Substrate To Be Manufactured Using Optical Lithography”; all of which are hereby incorporated by reference for all purposes.
  • optical lithography may be used to fabricate the semiconductor devices.
  • Optical lithography is a printing process in which a lithographic mask or photomask manufactured from a reticle is used to transfer patterns to a substrate such as a semiconductor or silicon wafer to create the integrated circuit (I.C.).
  • substrates could include flat panel displays, holographic masks or even other reticles.
  • conventional optical lithography uses a light source having a wavelength of 193 nm, extreme ultraviolet (EUV) or X-ray lithography are also considered types of optical lithography in this application.
  • EUV extreme ultraviolet
  • X-ray lithography are also considered types of optical lithography in this application.
  • the reticle or multiple reticles may contain a circuit pattern corresponding to an individual layer of the integrated circuit, and this pattern can be imaged onto a certain area on the substrate that has been coated with a layer of radiation-sensitive material known as photoresist or resist.
  • the layer may undergo various other processes such as etching, ion-implantation (doping), metallization, oxidation, and polishing. These processes are employed to finish an individual layer in the substrate. If several layers are required, then the whole process or variations thereof will be repeated for each new layer. Eventually, a combination of multiples of devices or integrated circuits will be present on the substrate. These integrated circuits may then be separated from one another by dicing or sawing and then may be mounted into individual packages.
  • the patterns on the substrate may be used to define artifacts such as display pixels, holograms, directed self-assembly (DSA) guard bands, or magnetic recording heads.
  • DSA directed self-assembly
  • Conventional optical lithography writing machines typically reduce the photomask pattern by a factor of four during the optical lithographic process. Therefore, patterns formed on the reticle or mask must be four times larger than the size of the desired pattern on the substrate or wafer.
  • Nanoimprint lithography is an example of a non-optical lithography process.
  • a lithographic mask pattern is transferred to a surface through contact of the lithography mask with the surface.
  • variable shaped beam and character projection (CP).
  • VSB variable shaped beam
  • CP character projection
  • VSB variable shaped beam
  • CP character projection
  • VSB these shapes are simple shapes, usually limited to rectangles of certain minimum and maximum sizes and with sides which are parallel to the axes of a Cartesian coordinate plane (i.e. of “manhattan” orientation), and 45 degree right triangles (i.e. triangles with their three internal angles being 45 degrees, 45 degrees, and 90 degrees) of certain minimum and maximum sizes.
  • CP character projection
  • apertures or characters which may be complex shapes such as rectilinear, arbitrary-angled linear, circular, nearly circular, annular, nearly annular, oval, nearly oval, partially circular, partially nearly circular, partially annular, partially nearly annular, partially nearly oval, or arbitrary curvilinear shapes, and which may be a connected set of complex shapes or a group of disjointed sets of a connected set of complex shapes.
  • An electron beam can be shot through a character on the stencil to efficiently produce more complex patterns on the reticle.
  • an E-shaped pattern shot with a VSB system takes four shots, but the same E-shaped pattern can be shot with one shot with a character projection system.
  • VSB systems can be thought of as a special (simple) case of character projection, where the characters are just simple characters, usually rectangles or 45-45-90 degree triangles.
  • the E-shaped pattern described above can be partially exposed as an F-shaped pattern or an I-shaped pattern, where different parts of the beam are cut off by an aperture.
  • Shaped beam charged particle beam lithography may use either a single shaped beam, or may use a plurality of shaped beams simultaneously exposing the surface, the plurality of shaped beams producing a higher writing speed than a single shaped beam.
  • the lithographic mask or reticle comprises geometric patterns corresponding to the circuit components to be integrated onto a substrate.
  • the patterns used to manufacture the reticle may be generated utilizing computer-aided design (CAD) software or programs.
  • CAD computer-aided design
  • the CAD program may follow a set of pre-determined design rules in order to create the reticle.
  • These rules are set by processing, design, and end-use limitations.
  • An example of an end-use limitation is defining the geometry of a transistor in a way in which it cannot sufficiently operate at the required supply voltage.
  • design rules can define the space tolerance between circuit devices or interconnect lines. The design rules are, for example, used to ensure that the circuit devices or lines do not interact with one another in an undesirable manner.
  • the design rules are used so that lines do not get too close to each other in a way that may cause a short circuit.
  • the design rule limitations reflect, among other things, the smallest dimensions that can be reliably fabricated. When referring to these small dimensions, one usually introduces the concept of a critical dimension. These are, for instance, defined as the smallest width of a line or the smallest space between two lines, those dimensions requiring extraordinarily control.
  • One goal in integrated circuit fabrication by optical lithography is to reproduce the original circuit design on the substrate by use of the reticle.
  • Integrated circuit fabricators are always attempting to use the semiconductor wafer real estate as efficiently as possible.
  • Engineers keep shrinking the size of the circuits to allow the integrated circuits to contain more circuit elements and to use less power.
  • the critical dimension of the circuit pattern or physical design approaches the resolution limit of the optical exposure tool used in conventional optical lithography.
  • the critical dimensions of the circuit pattern become smaller and approach the resolution value of the exposure tool, the accurate transcription of the physical design to the actual circuit pattern developed on the resist layer becomes difficult.
  • OPC optical proximity correction
  • OPC may add sub-resolution lithographic features to mask patterns to reduce differences between the original physical design pattern, that is, the design, and the final transferred circuit pattern on the substrate.
  • the sub-resolution lithographic features interact with the original patterns in the physical design and with each other and compensate for proximity effects to improve the final transferred circuit pattern.
  • One feature that is used to improve the transfer of the pattern is a sub-resolution assist feature (SRAF).
  • SRAF sub-resolution assist feature
  • Serifs are small features that can be positioned on an interior or exterior corner of a pattern to sharpen the corner in the final transferred image.
  • OPC-decorated patterns to be written on a reticle in terms of designed features, that is features that reflect the design before OPC decoration, and OPC features, where OPC features might include serifs, jogs, and SRAF.
  • designed features that is features that reflect the design before OPC decoration
  • OPC features might include serifs, jogs, and SRAF.
  • a typical slight variation in OPC decoration from neighborhood to neighborhood might be 5% to 80% of a designed feature size. Note that for clarity, variations in the design of the OPC are what is being referenced. Manufacturing variations, such as line-edge roughness and corner rounding, will also be present in the actual surface patterns.
  • OPC variations produce substantially the same patterns on the wafer, what is meant is that the geometry on the wafer is targeted to be the same within a specified error, which depends on the details of the function that that geometry is designed to perform, e.g., a transistor or a wire. Nevertheless, typical specifications are in the 2%-50% of a designed feature range. There are numerous manufacturing factors that also cause variations, but the OPC component of that overall error is often in the range listed.
  • OPC shapes such as sub-resolution assist features are subject to various design rules, such as a rule based on the size of the smallest feature that can be transferred to the wafer using optical lithography.
  • ILT Inverse lithography technology
  • ILT is a process in which a pattern to be formed on a reticle is directly computed from a pattern which is desired to be formed on a substrate such as a silicon wafer. This may include simulating the optical lithography process in the reverse direction, using the desired pattern on the substrate as input.
  • ILT-computed reticle patterns may be purely curvilinear—i.e. completely non-rectilinear—and may include circular, nearly circular, annular, nearly annular, oval and/or nearly oval patterns.
  • ILT curvilinear patterns are difficult and expensive to form on a reticle using conventional techniques
  • rectilinear approximations or rectilinearizations of the ideal curvilinear patterns may be used.
  • the rectilinear approximations decrease accuracy, however, compared to the ideal ILT curvilinear patterns. Additionally, if the rectilinear approximations are produced from the ideal ILT curvilinear patterns, the overall calculation time is increased compared to ideal ILT curvilinear patterns.
  • ILT, OPC, source mask optimization (SMO), and computational lithography are terms that are used interchangeably.
  • VSD variable shaped beam
  • the doses or shots of electrons are designed to avoid overlap wherever possible, so as to greatly simplify calculation of how the resist on the reticle will register the pattern.
  • the set of shots is designed so as to completely cover the pattern area that is to be formed on the reticle.
  • 7,754,401 owned by the assignee of the present patent application and incorporated by reference for all purposes, discloses a method of mask writing in which intentional shot overlap for writing patterns is used. When overlapping shots are used, charged particle beam simulation can be used to determine the pattern that the resist on the reticle will register. Use of overlapping shots may allow patterns to be written with reduced shot count.
  • U.S. Pat. No. 7,754,401 also discloses use of dose modulation, where the assigned dosages of shots vary with respect to the dosages of other shots. The term model-based fracturing is used to describe the process of determining shots using the techniques of U.S. Pat. No. 7,754,401.
  • Reticle writing for the most advanced technology nodes typically involves multiple passes of charged particle beam writing, a process called multi-pass exposure, whereby the given shape on the reticle is written and overwritten.
  • multi-pass exposure typically, two to four passes are used to write a reticle to average out precision errors in the charged particle beam writer, allowing the creation of more accurate photomasks.
  • the list of shots, including the dosages is the same for every pass.
  • the lists of shots may vary among exposure passes, but the union of the shots in any exposure pass covers the same area.
  • Multi-pass writing can reduce over-heating of the resist coating the surface.
  • Multi-pass writing also averages out random errors of the charged particle beam writer. Multi-pass writing using different shot lists for different exposure passes can also reduce the effects of certain systemic errors in the writing process.
  • a method for mask data preparation in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots.
  • a method for optical proximity correction (OPC) or mask data preparation (MDP) is also disclosed in which an inputted set of charged particle beam shots is used to calculate a reticle pattern, which is then used to calculate a substrate pattern that would be formed using an optical lithographic process with the calculated reticle pattern.
  • OPC optical proximity correction
  • MDP mask data preparation
  • a method for optical proximity correction (OPC) or mask data preparation (MDP) is disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern.
  • OPC optical proximity correction
  • MDP mask data preparation
  • a method for optical proximity correction (OPC) or mask data preparation (MDP) is disclosed, in which a previously-generated preliminary set of shots is input, and the shots are then modified by calculating a reticle pattern using a final mask model.
  • a substrate pattern is also calculated using a substrate model and the calculated reticle pattern.
  • a method for optical proximity correction is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.
  • a method for optical proximity correction is also disclosed, in which a mask model is determined to model reticle writing effects such as forward scattering, backward scattering, Coulomb effect, fogging, loading and reticle resist charging.
  • a substrate model is subsequently determined using the mask model, whereby the mask model excludes the effects which are included in the mask model.
  • FIG. 1 illustrates an example of a variable shaped beam (VSB) charged particle beam system
  • FIG. 2 illustrates a prior art conceptual flow diagram of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography;
  • FIG. 3 illustrates a conceptual flow diagram of an exemplary method of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography;
  • FIG. 4 illustrates an exemplary conceptual flow diagram detailing OPC optimization from FIG. 3 ;
  • FIG. 5 illustrates a prior art method for creating a calibrated model for the prior art design flow of FIG. 2 ;
  • FIG. 6 illustrates a conceptual flow diagram of an exemplary method of creating a mask model
  • FIG. 7 illustrates a conceptual flow diagram of an exemplary method of creating a substrate model
  • FIG. 8 illustrates a conceptual flow diagram of another exemplary method of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography;
  • FIG. 9 illustrates a conceptual flow diagram of a method for optimizing a pre-existing shot list.
  • FIG. 10 illustrates complex patterns such as those which may be output by processing using inverse lithography technology (ILT).
  • ILT inverse lithography technology
  • the present disclosure is related to lithography, and more particularly to the design and manufacture of a surface which may be a reticle, a wafer, or any other surface, using charged particle beam lithography.
  • FIG. 1 illustrates an embodiment of a lithography system, such as a charged particle beam writer system, in this case an electron beam writer system 10 , that employs a variable shaped beam (VSB) to manufacture a surface 12 .
  • the electron beam writer system 10 has an electron beam source 14 that projects an electron beam 16 toward an aperture plate 18 .
  • the plate 18 has an aperture 20 formed therein which allows the electron beam 16 to pass. Once the electron beam 16 passes through the aperture 20 it is directed or deflected by a system of lenses (not shown) as electron beam 22 toward another rectangular aperture plate or stencil mask 24 .
  • the stencil 24 has formed therein a number of openings or apertures 26 that define various simple shapes such as rectangles and triangles.
  • Each aperture 26 formed in the stencil 24 may be used to form a pattern in the surface 12 of a substrate 34 , such as a silicon wafer, a reticle or other substrate.
  • An electron beam 30 emerges from one of the apertures 26 and passes through an electromagnetic or electrostatic reduction lens 38 , which reduces the size of the pattern emerging from the aperture 26 .
  • the reduction factor is between 10 and 60.
  • the reduced electron beam 40 emerges from the reduction lens 38 and is directed by a series of deflectors 42 onto the surface 12 as a pattern 28 .
  • the surface 12 is coated with resist (not shown) which reacts with the electron beam 40 .
  • the electron beam 22 may be directed to overlap a variable portion of an aperture 26 , affecting the size and shape of the pattern 28 .
  • Blanking plates are used to deflect the beam 16 or the shaped beam 22 so to prevent the electron beam from reaching the surface 12 during a period after each shot when the lenses directing the beam 22 and the deflectors 42 are being re-adjusted for the succeeding shot.
  • the blanking plates are positioned so as to deflect the electron beam 16 to prevent it from illuminating aperture 20 .
  • the blanking period may be a fixed length of time, or it may vary depending, for example, on how much the deflector 42 must be re-adjusted for the position of the succeeding shot.
  • the substrate 34 is mounted on a movable platform 32 .
  • the platform 32 allows substrate 34 to be repositioned so that patterns which are larger than the maximum deflection capability or field size of the charged particle beam 40 may be written to surface 12 in a series of subfields, where each subfield is within the capability of deflector 42 to deflect the beam 40 .
  • the substrate 34 may be a reticle.
  • the reticle after being exposed with the pattern, undergoes various manufacturing steps through which it becomes a lithographic mask or photomask.
  • the mask may then be used in an optical lithography machine to project an image of the reticle pattern 28 , generally reduced in size, onto a silicon wafer to produce an integrated circuit. More generally, the mask is used in another device or machine to transfer the pattern 28 on to a substrate (not illustrated).
  • FIG. 1 illustrates a charged particle beam system in which a single beam 40 illuminates the surface 12
  • some charged particle beam writers can simultaneously illuminate a surface with a plurality of beams, which may or may not be independently adjustable and positionable.
  • Multi-beam charged particle beam writers generally have higher writing speeds than single-beam writers. Some types of multi-beam writers are shaped beam, while in others the beams are scanned across the surface.
  • the term “shot” includes exposure information for both shaped beam and scanned beam multi-beam charged particle beam writers, as well as for shaped single-beam writers.
  • the minimum size pattern that can be projected with reasonable accuracy onto the surface 12 is limited by a variety of short-range physical effects associated with the electron beam writer system 10 and with the surface 12 , which normally comprises a resist coating on the substrate 34 . These effects include forward scattering, Coulomb effect, and resist diffusion. Beam blur, also called ⁇ f , is a term used to include all of these short-range effects. The most modern electron beam writer systems can achieve an effective beam blur radius or ⁇ f in the range of 20 nm to 30 nm. Forward scattering may constitute one quarter to one half of the total beam blur. Modern electron beam writer systems contain numerous mechanisms to reduce each of the constituent pieces of beam blur to a minimum.
  • ⁇ f of two particle beam writers of the same design may differ.
  • the diffusion characteristics of resists may also vary. Variation of ⁇ f based on shot size or shot dose can be simulated and systemically accounted for. But there are other effects that cannot or are not accounted for, and they appear as random variation.
  • the shot dosage of a charged particle beam writer such as an electron beam writer system is a function of the intensity of the beam source 14 and the exposure time for each shot.
  • the beam intensity remains nominally fixed, and the exposure time is varied to obtain variable shot dosages.
  • the exposure time may be varied to compensate for various long-range effects such as back scatter, fogging and loading effects in a process called proximity effect correction (PEC).
  • Electron beam writer systems usually allow setting an overall dosage, called a base dosage, which affects all shots in an exposure pass. Some electron beam writer systems perform dosage compensation calculations within the electron beam writer system itself, and do not allow the dosage of each shot to be assigned individually as part of the input shot list, the input shots therefore having unassigned shot dosages.
  • shots are designed so as to completely cover an input pattern with rectangular shots, while avoiding shot overlap wherever possible.
  • all shots are designed to have a normal dosage, which is a dosage at which a relatively large rectangular shot, in the absence of long-range effects, will produce a pattern on the surface which is the same size as is the shot size.
  • Process variations can cause the width of a pattern on the photomask to vary from the intended or target width.
  • the pattern width variation on the photomask will cause a pattern width variation on a wafer which has been exposed using the photomask in an optical lithographic process.
  • the sensitivity of the wafer pattern width to variations in photomask pattern width is called mask edge error factor, or MEEF.
  • MEEF mask edge error factor
  • a MEEF of 1 for example means that for each 1 nm error in pattern width on a photomask, the pattern width on the wafer will change by 0.25 nm.
  • a MEEF of 2 means that for a 1 nm error in photomask pattern width, the pattern width on the wafer will change by 0.5 nm.
  • MEEF may be greater than 2.
  • FIG. 2 is a conceptual flow diagram of a prior art method 250 of forming patterns on a substrate such as a silicon wafer using optical lithography.
  • a physical design such as a physical design of an integrated circuit
  • This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design of the integrated circuit.
  • OPC optical proximity correction
  • ILT inverse lithography technology
  • the ILT process uses mask plus substrate model 282 to guide its calculations.
  • mask plus substrate model 282 is normally intended to model substrate effects, the method of determining this model may also include mask effects, as will be explained further below.
  • Raw or “ideal” ILT-generated patterns are usually curvilinear and are therefore difficult to fracture using conventional non-overlapping VSB shots.
  • ILT OPC step 254 the patterns are therefore simplified to be more rectilinear in a rectilinearization sub-step of ILT OPC 254 , so as to ease subsequent fracturing by VSB shots. Rectilinearization of the ILT-generated patterns reduces the accuracy of the ILT process.
  • the resulting target mask design 256 is fractured into charged particle beam shots in mask data preparation (MDP) step 258 .
  • MDP mask data preparation
  • Non-overlapping VSB shots are generated, either with unassigned shot dosages or where all assigned shot dosages are the same.
  • the output of MDP 258 is a shot list 260 .
  • shot dosages are adjusted to account for proximity effect, creating a final shot list 264 .
  • the final shot list 264 is used to generate a surface in a mask writing step 266 , which uses a charged particle beam writer such as an electron beam writer system.
  • PEC refinement 262 may be performed by the charged particle beam writer.
  • Mask writing step 266 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form a mask image comprising patterns on the surface as shown in a step 268 .
  • the completed surface may then be used in an optical lithography machine, which is shown in a step 270 , to expose a resist-coated substrate such as a silicon wafer to create a latent image 272 on the resist.
  • the exposed substrate then undergoes additional resist processing steps 274 , such as resist baking and resist development, to create a pattern 276 on the substrate.
  • the patterns comprising the wafer image 272 may differ from the physical design 252 for a variety of reasons, including:
  • FIG. 3 is a conceptual flow diagram 300 for forming patterns on a substrate such as a silicon wafer using optical lithography, according to one embodiment of the current disclosure.
  • a physical design such as a physical design of an integrated circuit
  • This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design such as the physical design of an integrated circuit.
  • OPC optical proximity correction
  • ILT is used in OPC step 304 .
  • the OPC/ILT process does not use rectilinearization as in the flow 252 , but rather creates ideal patterns in ideal mask design 306 .
  • the pattern in ideal mask design 306 may be, for example, a complex shape represented using polygons with non-manhattan, non-45 degree edges. Such patterns are illustrated in FIG. 10 , which shows exemplary complex shapes 1002 that may be represented using polygons with non-manhattan, non-45 degree edges.
  • the OPC/ILT step 304 in FIG. 3 uses a substrate model 340 which incorporates optical lithography and substrate processing effects, but which does not incorporate charged particle beam exposure and reticle processing effects.
  • ideal mask design 306 is closer to the ideal pattern for the reticle.
  • the ideal mask design is fractured into a preliminary set of charged particle beam shots 310 .
  • Preliminary shot generation 308 may use a relatively simple preliminary mask model 342 , such as a single Gaussian.
  • Preliminary shot list 310 is capable of forming a preliminary pattern on the reticle that approximates the desired pattern for the reticle.
  • the preliminary shot list 310 may have a target accuracy of, for example, 4 nm on the mask, compared to the ideal mask design 306 .
  • the target shot count may be within, for example, 2% of the final yet-undetermined shot count.
  • OPC optimization is performed. OPC optimization inputs both the preliminary shot list 310 and the physical design 302 .
  • OPC optimization 312 uses two simulation sub-steps, which shall be defined as “double-simulation” in this disclosure. A final mask model 346 and the substrate model 340 are used by the two simulation sub-steps in OPC optimization 312 . The details of OPC optimization 312 are described in more detail in FIG. 4 and associated description below. In some embodiments the final mask model 346 and the preliminary mask model 342 may be the same. In some embodiments, OPC optimization 312 may reduce shot count. The output of OPC optimization 312 is optimized shot list 314 . In one embodiment, the target shot count of optimized shot list 314 may be within 1% of the final shot count. In another embodiment, the optimized shot list may have a target accuracy of 1 nm on the wafer.
  • a proximity effect correction (PEC) refinement step 320 shot dosages of the shots in the optimized shot list 314 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging.
  • the output of PEC refinement 320 is a final shot list with adjusted dosages 322 .
  • the final shot list with adjusted dosages 322 is used to generate a reticle in a mask writing step 324 , which uses a charged particle beam writer such as an electron beam writer system.
  • PEC refinement 320 may be performed by the charged particle beam writer.
  • Mask writing step 324 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects one or more beams of electrons through a stencil onto a reticle to form a mask image comprising patterns on the reticle as shown in a step 326 .
  • the completed reticle may then be used in an optical lithography machine, which is shown in a step 328 , to expose a resist-coated substrate such as a silicon wafer to create a latent image 330 on the resist.
  • the exposed substrate then undergoes additional resist processing steps 332 , such as resist baking and resist development, to create a pattern 334 on the substrate.
  • FIG. 4 is a conceptual flow diagram 400 which illustrates details of one embodiment of OPC optimization step 312 .
  • One input to process 400 is the physical design 402 , which corresponds to FIG. 3 physical design 302 .
  • the physical design 402 is processed to determine a desired wafer image 436 . In one embodiment, this processing comprises rounding the corners—both inside and outside corners—in the physical design patterns. In other embodiments, other processing may be done in step 434 on the patterns in physical design 402 . In yet other embodiments, the physical design 402 is itself used as the desired wafer image 436 .
  • Another input to process 400 is preliminary shot list 404 , which corresponds to FIG. 3 preliminary shot list 310 .
  • charged particle beam simulation calculates a calculated mask image 408 .
  • the charged particle beam simulation 406 uses a final mask model 430 , which corresponds to FIG. 3 final mask model 346 .
  • the output of charged particle beam simulation 406 is calculated mask image 408 .
  • Lithography simulation 410 then calculates a calculated pattern on a wafer 412 , which is the aerial image or pattern that will be imaged on a resist-coated wafer with an optical lithographic process using a reticle or photomask containing calculated mask image 408 .
  • Lithography simulation 410 uses substrate model 432 , which corresponds to FIG. 3 substrate model 340 .
  • Lithography simulation 410 may also output aerial intensity information 416 of the calculated aerial image on the substrate resist.
  • the calculated pattern on the wafer 412 may be compared with the desired wafer image 436 , to determine if the calculated wafer pattern 412 and the desired wafer image 436 are within a pre-determined tolerance of each other.
  • image quality measurements 418 may be made on the calculated wafer pattern 412 and/or the aerial intensity information 416 . Parameters that may be measured in image quality measurement step 418 include critical dimension (CD), critical dimension uniformity (CDU), depth of focus (DOF), exposure latitude (EL) and process variation (PV) band.
  • the mask error enhancement factor (MEEF) may be calculated in step 420 using the calculated mask image 408 and output from lithography simulation 410 .
  • Some measurements may require that charged particle beam simulation 406 and/or lithography simulation 410 be run for more than one manufacturing condition, such as to measure the effect of process variations.
  • the different manufacturing conditions may be reflected as different variations of final mask model 430 and substrate model 432 .
  • some measurements may require that charged particle beam simulation 406 be run for more than one manufacturing condition as determined by final mask model 430 .
  • Wafer pattern comparison 414 , image quality measurement 418 and/or MEEF measurement 420 communicate to a conditional step 422 , which determines if the shots that were simulated are within the desired tolerance(s). If the shots are not within the desired tolerances, the shot list is modified in a step 424 such that the pattern formed by the modified set of shots more closely approximates the desired substrate pattern.
  • the shot list which is modified in step 424 may be the preliminary shots or may be derived from the preliminary set of shots.
  • Shot modification 424 may comprise, for example, changing the location, or shape or dose of an existing shot. Shot modification 424 may also comprise deleting shots and adding shots. In some embodiments, the required shot modifications may be calculated directly, so that the result of shot list modification 424 is an optimized shot list 426 . In other embodiments, shot list modification 424 may be iterative, in which case shot list modification 424 outputs a revised set of shots back to charged particle beam simulation 406 .
  • the tolerance used in conditional step 422 may change due to changes in processing of the photomask or the substrate. Eventually, conditional step 422 determines that the desired tolerances have been reached, so that the current shot list is output as the optimized shot list 426 . In one embodiment, the target shot count of the optimized shot list 426 may be within 1% of the final shot count.
  • FIG. 5 illustrates a conceptual flow diagram 500 of a prior art method for creating a mask plus substrate model such as the mask plus substrate model 282 in FIG. 2 flow 250 .
  • first step 502 specialized test patterns are designed.
  • the output of step 502 is a mask design 504 .
  • the resulting mask design 504 is fractured into charged particle beam shots in mask data preparation (MDP) step 506 .
  • MDP mask data preparation
  • Conventionally, non-overlapping VSB shots are generated in MDP step 506 , either with unassigned shot dosages or where all assigned shot dosages are the same.
  • the output of MDP 506 is a shot list 508 .
  • a proximity effect correction (PEC) refinement step 510 shot dosages of the shots in the shot list 508 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging.
  • the output of PEC refinement 510 is a final shot list with adjusted dosages 512 .
  • the final shot list 512 is used to generate a surface in a reticle writing step 514 , which uses a charged particle beam writer such as an electron beam writer system.
  • PEC refinement 510 may be performed by the charged particle beam writer.
  • Reticle writing step 514 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form an image on the reticle.
  • the reticle containing the image then undergoes various reticle processing steps 516 through which it becomes a photomask 518 .
  • the photomask 518 is used in an optical lithography machine 520 to form a latent image on a resist-coated substrate such as a silicon wafer.
  • the resist-coated surface containing the latent image 522 is then processed in step 524 , such as by baking and developing, to form patterns on the resist 526 .
  • various measurements are made on the patterns on the processed resist coating on the substrate. These measurements are one of the inputs to model calibration step 540 .
  • Mask design 504 is also input to a step 530 which calculates a desired wafer image on the resist.
  • Step 530 may comprise rounding of the corners, such as 90 degree corners, on the mask design patterns.
  • Step 530 may also comprise fast 3-dimensional near field image calculation.
  • the result of step 530 is a desired latent wafer resist image 532 .
  • step 534 the effects of resist processing steps are calculated on the patterns of the desired latent resist image 532 to create a desired wafer resist pattern 536 .
  • the desired wafer resist pattern 536 is one of the inputs to model calibration step 540 .
  • Model calibration step 540 uses the test pattern measurements 528 and the desired wafer resist pattern 536 to create a mask plus substrate model 542 .
  • the processes that have been modeled include charged particle beam reticle exposure and mask processing, and also the transfer of the mask pattern to the resist-coated substrate using optical lithography to create a latent image.
  • the resist processing step 524 is not included in mask plus substrate model 542 because its effects are pre-calculated in step 534 .
  • the mask plus substrate model 542 corresponds to mask plus substrate model 282 in prior art flow 250 .
  • mask plus substrate mode 542 is due to the mask-making part of the process being relatively well-behaved, so that providing a similar dosage to all shapes before PEC can produce a predictable shape on a mask.
  • the charged particle beam exposure process is not so well-behaved that a similar dosage to all pattern shapes will produce a predictable pattern on the reticle.
  • FIG. 6 is a conceptual flow diagram 600 for creation of the final mask model 346 of flow 300 .
  • test patterns are designed, to create a mask design 604 .
  • the resulting mask design 604 is fractured into charged particle beam shots in MDP step 606 . Shots may have assigned or unassigned shot dosages.
  • the output of MDP is a shot list 608 .
  • PEC proximity effect correction
  • shot dosages of the shots in the shot list 608 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging.
  • the output of PEC refinement 610 is a final shot list with adjusted dosages 612 .
  • the final shot list 612 is used to generate a surface in a reticle writing step 614 , which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 610 may be performed by the charged particle beam writer.
  • Reticle writing step 614 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects one or more beams of electrons through a stencil onto a resist-coated reticle surface to form a latent image on the reticle resist.
  • Resist processing step 616 converts the latent image on the resist into a resist image 618 .
  • the reticle containing the image then undergoes etch processing steps 620 and possibly other processing steps through which it becomes a photomask 622 .
  • patterns on the reticle resist image 618 may be measured in step 626 and these measurements used in a reticle model calibration step 628 .
  • patterns on the completed photomask 622 are measured in a step 624 , and the measurement results used in a mask model calibration step 646 .
  • Mask design 604 is also input to a step 634 which calculates a desired aerial image on the reticle.
  • the result of step 634 is a desired latent reticle image 636 .
  • step 638 the effects of reticle resist processing is calculated on the patterns of the desired latent reticle image 636 to create a desired reticle resist pattern 640 .
  • the desired reticle resist pattern 640 is one of the inputs to model calibration step 628 .
  • the results of etch processing are calculated in step 642 to create a desired mask pattern 644 , which becomes input to model calibration step 646 .
  • reticle model calibration step 628 creates a detailed reticle resist model 630 .
  • An etch calculation step 632 calculates the effects of etching to create a final mask model 648 .
  • Etch calculation may be a simple bias, or may be a more complex calculation.
  • mask model calibration 646 creates the final mask model 648 directly.
  • Final mask model 648 corresponds to final mask model 346 in FIG. 3 flow 300 .
  • Physical phenomena that may be included in final mask model 346 include forward scattering, backward scattering, Coulomb effect, fogging, resist charging, loading, resist bake, resist development, and resist etch.
  • the preliminary mask model 342 of flow 300 can be created with a flow similar to flow 600 .
  • the model may be constrained to be simpler and faster to compute than final mask model 346 .
  • preliminary mask model 342 is a single Gaussian.
  • FIG. 7 is a conceptual flow diagram illustrating a flow 700 for creating a substrate model according to another embodiment.
  • test patterns are designed. These patterns comprise shapes which, after mask fabrication and transfer to a resist-coated substrate with optical lithography, will be measured.
  • the output of step 702 is a mask design 704 .
  • the resulting mask design 704 is fractured into charged particle beam shots in MDP step 706 . Shots may have assigned or unassigned dosages.
  • the output of MDP 706 is a shot list 708 .
  • PEC proximity effect correction
  • shot dosages of the shots in the shot list 708 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging.
  • the output of PEC refinement 710 is a final shot list with adjusted dosages 712 .
  • the final shot list 712 is used to generate a surface in a reticle writing step 714 , which uses a charged particle beam writer such as an electron beam writer system.
  • PEC refinement 710 may be performed by the charged particle beam writer.
  • Reticle writing step 714 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form an image on the reticle.
  • the reticle containing the image then undergoes various processing steps 716 through which it becomes a photomask 718 .
  • the photomask 718 is used in an optical lithography machine 720 to form a latent image on a resist-coated substrate such as a silicon wafer.
  • the resist-coated surface containing the latent image 722 is then processed in step 724 , such as by baking and developing, to form patterns on the resist 726 .
  • step 728 various measurements are made on the patterns on the processed resist coating on the substrate. These measurements are one of the inputs to substrate model calibration step 744 .
  • Mask design 704 is also input to a step 730 which calculates an expected mask image, such as by using charged particle beam simulation.
  • the calculation uses a previously-determined mask model 732 , which in one embodiment corresponds to final mask model 648 in flow 600 .
  • the output of step 730 is an expected mask image 734 .
  • the expected mask image is used to calculate a desired image on the resist.
  • Step 736 may comprise rounding of the corners, such as 90 degree corners, on the mask design patterns.
  • Step 736 may also comprise fast 3-dimensional near field image calculation.
  • the result of step 736 is a desired latent resist image 738 .
  • step 740 the effects of resist processing steps are calculated on the patterns of the desired latent resist image 738 to create a desired wafer resist pattern 742 .
  • the desired wafer resist pattern 742 is one of the inputs to substrate model calibration step 744 .
  • Substrate model calibration step 744 uses the test pattern measurements 728 and the desired wafer pattern 742 to create a substrate model 748 .
  • the substrate model 748 corresponds to substrate model 340 in flow 300 .
  • the desired wafer resist pattern 742 is calculated from expected mask image 734 .
  • the desired wafer resist pattern 536 is calculated from mask design 504 directly.
  • step 730 to flow 700 which requires pre-calculated mask model 732 , allows creation of substrate model 748 which does not contain mask effects such as forward scattering, backward scattering and Coulomb effect.
  • the substrate model calibration step 744 sees mask effects on both of its data sources—wafer resist measurements 728 and desired wafer resist pattern 742 .
  • the modeled mask effects included in the desired wafer resist pattern 742 will equal the actual mask effects from reticle writing 714 and reticle processing 716 which are included in the wafer resist measurements 728 , so that mask effects are essentially subtracted from the wafer resist measurements 728 during model calibration step 744 , resulting in a substrate model 748 that does not account for and is not influenced by mask effects.
  • ideal ILT step 304 is then able to compute an ideal mask design 306 .
  • the preliminary shot generation 308 step uses preliminary mask model 342 for shot generation. Therefore, inclusion of mask effects into the model used for ideal ILT 304 , as would occur in the prior art flow 250 mask plus substrate model 282 , would incorrectly double-compensate for mask effects.
  • the target mask design 256 which is the output of ILT OPC 254 , contains compensation for mask effects because the mask plus substrate model 282 includes mask effects, including charged particle beam effects, as well as optical lithography and wafer effects.
  • FIG. 8 is a conceptual flow diagram 800 for forming patterns on substrates such as a silicon wafer using optical lithography, according to another embodiment of the current disclosure.
  • a physical design such as a physical design of an integrated circuit
  • This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design such as the physical design of an integrated circuit.
  • OPC is done on the patterns in the physical design 802 or on a portion of the patterns in the physical design using ILT to create an ideal mask design 806 .
  • the OPC/ILT process does not use rectilinearization as in step 254 of the flow 250 , but rather creates ideal—and typically curvilinear—patterns in ideal mask design 806 .
  • the ideal ILT step 804 uses a substrate model 840 which incorporates lithography and substrate processing effects, but which does not incorporate charged particle beam exposure and reticle processing effects as in the flow 250 .
  • Substrate processing effects may include, for example, substrate resist bake and substrate resist development. Therefore, ideal mask design 806 is actually a computed target pattern for the mask.
  • MDP step 808 the ideal mask design 806 is fractured into a set of charged particle beam shots 810 .
  • MDP step 808 uses double simulation to determine the pattern that will be formed on the substrate with a set of shots. Double simulation is a two-part procedure. In the first part, a mask model 842 is used to calculate a pattern that will be produced on a reticle with the set of shots. In the second part, substrate model 840 is used to calculate a pattern that will be formed on the substrate using the calculated reticle pattern. Charged particle beam simulation may be used to calculate the reticle pattern using the mask model. Lithography simulation may be used to calculate the substrate pattern using the substrate model.
  • the substrate model 840 may include optical lithography effects, and may also include resist effects such as resist bake and resist development.
  • MDP 808 includes comparison of the calculated reticle pattern with the physical design 802 , and may include optimization of the CD of the substrate pattern, critical dimension uniformity (CDU) of the substrate pattern, depth of focus (DOF) of the substrate pattern, exposure latitude of the substrate pattern, process variation (PV) band of the reticle pattern or mask error enhancement factor (MEEF) of the reticle pattern.
  • CDU critical dimension uniformity
  • DOF depth of focus
  • PV process variation
  • MEEF mask error enhancement factor
  • MDP 808 outputs shot list 810 .
  • MDP 808 may also output calculated aerial intensity information 844 for the substrate resist pattern, either for part of the pattern or for the entire pattern.
  • a proximity effect correction (PEC) refinement step 820 shot dosages of the shots in the shot list 810 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging.
  • the output of PEC refinement 820 is a final shot list with adjusted dosages 822 .
  • the final shot list with adjusted dosages 822 is used to generate a surface in a mask writing step 824 , which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 820 may be performed by the charged particle beam writer.
  • Mask writing step 824 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form a mask image comprising patterns on the surface as shown in a step 826 .
  • the completed surface may then be used in an optical lithography machine, which is shown in a step 828 , to expose a resist-coated substrate such as a silicon wafer to create a latent image 830 on the resist.
  • the exposed substrate then undergoes additional resist processing steps 832 , such as resist baking and resist development, to create a pattern 834 on the substrate.
  • FIG. 9 is a conceptual flow diagram 900 for optimizing a pre-existing shot list.
  • Flow 900 begins with a desired reticle pattern 902 and a preliminary shot list 904 , where the preliminary shot list 904 is capable of approximately forming the desired reticle pattern 902 .
  • preliminary shot list 904 will have previously been created in an MDP/fracturing step 930 using a preliminary mask model 932 , such as a single Gaussian model.
  • MDP step 910 modifies shots in original charged particle beam shot list 904 , to create a resulting optimized shot list 912 that is capable of forming a desired reticle pattern 902 more accurately than the original shot list 904 .
  • Shots in the optimized shot list 912 may overlap, and may have different assigned dosages.
  • MDP step 910 calculates a reticle pattern from the original and/or modified shot lists, using a final mask model 906 .
  • PEC proximity effect correction
  • shot dosages of the shots in the optimized shot list 912 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, resist charging and other long-range effects.
  • the output of PEC refinement 914 is a final shot list 916 .
  • the final shot list 916 is used to generate a surface in a mask writing step 918 , which uses a charged particle beam writer such as an electron beam writer system.
  • PEC refinement 914 may be performed by the charged particle beam writer.
  • Mask writing step 918 may comprise a single exposure pass or multiple exposure passes.
  • the electron beam writer system projects one or more beams of electrons onto a surface to form a mask image comprising patterns on the surface as shown in a step 920 .
  • the completed surface may then be used in an optical lithography machine, which is shown in a step 922 , to expose a resist-coated substrate such as a silicon wafer to create a latent image 924 on the resist.
  • the exposed substrate then undergoes additional resist processing steps 926 , such as resist baking and resist development, to create a pattern 928 on the substrate.
  • Flow 900 may be useful when, for example, the mask process has changed since the preliminary shot list 904 was created, causing a mask model change, which requires re-optimization of the shots in the preliminary shot list 904 using final mask model 906 which corresponds to the revised mask process.
  • the mask process change may, for example, be a mask process bias change.
  • Some embodiments of the flows described herein may use complex character projection (CP) characters during MDP/shot generation.
  • CP complex character projection
  • circular CP characters can be used.
  • a complete desired pattern for a reticle is input, and a previously-determined set of shots is also input.
  • a reticle pattern is calculated using the set of previously-determined shots, and then the calculated reticle pattern is compared with the desired pattern for the reticle. For areas where the difference between the calculated reticle pattern and the desired reticle pattern exceed a pre-determined threshold, such as, for example, 2 nm, shots are regenerated using the flow 300 or the flow 800 .
  • a pre-determined threshold such as, for example, 2 nm
  • shots are regenerated using the flow 300 or the flow 800 .
  • the existing shots in those areas are optimized with flow 900 .
  • calculations described or referred to herein may be accomplished in various ways. Generally, calculations may be accomplished by in-process, pre-process or post-process methods. In-process calculation involves performing a calculation at the time when its results are needed. Pre-process calculation involves pre-calculating and then storing results for later retrieval during a subsequent processing step, and may improve processing performance, particularly for calculations that may be repeated many times. Calculations may also be deferred from a processing step and then done in a later post-processing step.
  • An example of pre-process calculation is pre-calculating a pattern formed by two overlapping shots in the vicinity of the shot overlap.
  • a shot group which is a pre-calculation of dosage pattern information for one or more shots associated with a given input pattern or set of input pattern characteristics.
  • the shot group and the associated input pattern may be saved in a library of pre-calculated shot groups, so that the set of shots comprising the shot group can be quickly generated for additional instances of the input pattern, without pattern re-calculation.
  • the pre-calculation may comprise simulation of the dosage pattern that the shot group will produce on a resist-coated surface.
  • the shot group may be determined without simulation, such as by using correct-by-construction techniques.
  • the pre-calculated shot groups may be stored in the shot group library in the form of a list of shots.
  • the pre-calculated shot groups may be stored in the form of computer code that can generate shots for a specific type or types of input patterns.
  • a plurality of pre-calculated shot groups may be stored in the form of a table, where entries in the table correspond to various input patterns or input pattern characteristics such as pattern width, and where each table entry provides either a list of shots in the shot group, or information for how to generate the appropriate set of shots.
  • different shot groups may be stored in different forms in the shot group library.
  • the dosage pattern which a given shot group can produce may also be stored in the shot group library.
  • the dosage pattern may be stored as a two-dimensional (X and Y) dosage map called a glyph.
  • the fracturing, mask data preparation, proximity effect correction and shot group creation flows described in this disclosure may be implemented using general-purpose computers with appropriate computer software as computation devices. Due to the large amount of calculations required, multiple computers or processor cores may also be used in parallel. In one embodiment, the computations may be subdivided into a plurality of 2-dimensional geometric regions for one or more computation-intensive steps in the flow, to support parallel processing. In another embodiment, a special-purpose hardware device, either used singly or in multiples, may be used to perform the computations of one or more steps with greater speed than using general-purpose computers or processor cores. In one embodiment, the special-purpose hardware device may be a graphics processing unit (GPU).
  • GPU graphics processing unit
  • the optimization and simulation processes described in this disclosure may include iterative processes of revising and recalculating possible solutions, so as to minimize either the total number of shots, or the total charged particle beam writing time, or some other parameter.
  • an initial set of shots may be determined in a correct-by-construction method, so that no shot modifications are required.

Abstract

A method for mask data preparation (MDP) is disclosed, in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots. A method for optical proximity correction (OPC) or MDP is also disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern. A method for OPC is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.

Description

    RELATED APPLICATIONS
  • This application claims priority from U.S. Provisional Patent Application No. 61/625,789 filed on Apr. 18, 2012, entitled “Method And System For Forming Patterns Using Charged Particle Beam Lithography,” which is hereby incorporated by reference for all purposes. This application also is related to 1) Fujimura, U.S. patent application Ser. No. ______ (Attorney Docket No. D2SiP041b) entitled “Method and System For Forming Patterns Using Charged Particle Beam Lithography” filed on even date herewith; 2) Fujimura, U.S. patent application Ser. No. ______ (Attorney Docket No. D2SiP041c) entitled “Method and System For Forming Patterns Using Charged Particle Beam Lithography” filed on even date herewith; 3) Pearman, U.S. patent application Ser. No. ______, entitled “Method And System For Critical Dimension Uniformity Using Charged Particle Beam Lithography”, (Attorney Docket No. D2SiP042) filed on even date herewith; and 4) U.S. Patent Publication No. 2013/0070222 published on Mar. 21, 2013, entitled “Method And System For Optimization Of An Image On A Substrate To Be Manufactured Using Optical Lithography”; all of which are hereby incorporated by reference for all purposes.
  • BACKGROUND OF THE DISCLOSURE
  • In the production or manufacturing of semiconductor devices, such as integrated circuits, optical lithography may be used to fabricate the semiconductor devices. Optical lithography is a printing process in which a lithographic mask or photomask manufactured from a reticle is used to transfer patterns to a substrate such as a semiconductor or silicon wafer to create the integrated circuit (I.C.). Other substrates could include flat panel displays, holographic masks or even other reticles. While conventional optical lithography uses a light source having a wavelength of 193 nm, extreme ultraviolet (EUV) or X-ray lithography are also considered types of optical lithography in this application. The reticle or multiple reticles may contain a circuit pattern corresponding to an individual layer of the integrated circuit, and this pattern can be imaged onto a certain area on the substrate that has been coated with a layer of radiation-sensitive material known as photoresist or resist. Once the patterned layer is transferred the layer may undergo various other processes such as etching, ion-implantation (doping), metallization, oxidation, and polishing. These processes are employed to finish an individual layer in the substrate. If several layers are required, then the whole process or variations thereof will be repeated for each new layer. Eventually, a combination of multiples of devices or integrated circuits will be present on the substrate. These integrated circuits may then be separated from one another by dicing or sawing and then may be mounted into individual packages. In the more general case, the patterns on the substrate may be used to define artifacts such as display pixels, holograms, directed self-assembly (DSA) guard bands, or magnetic recording heads. Conventional optical lithography writing machines typically reduce the photomask pattern by a factor of four during the optical lithographic process. Therefore, patterns formed on the reticle or mask must be four times larger than the size of the desired pattern on the substrate or wafer.
  • In the production or manufacturing of semiconductor devices, such as integrated circuits, non-optical methods may be used to transfer a pattern on a lithographic mask to a substrate such as a silicon wafer. Nanoimprint lithography (NIL) is an example of a non-optical lithography process. In nanoimprint lithography, a lithographic mask pattern is transferred to a surface through contact of the lithography mask with the surface.
  • Two common types of charged particle beam lithography are variable shaped beam (VSB) and character projection (CP). These are both sub-categories of shaped beam charged particle beam lithography, in which a precise electron beam is shaped and steered so as to expose a resist-coated surface, such as the surface of a wafer or the surface of a reticle. In VSB, these shapes are simple shapes, usually limited to rectangles of certain minimum and maximum sizes and with sides which are parallel to the axes of a Cartesian coordinate plane (i.e. of “manhattan” orientation), and 45 degree right triangles (i.e. triangles with their three internal angles being 45 degrees, 45 degrees, and 90 degrees) of certain minimum and maximum sizes. At predetermined locations, doses of electrons are shot into the resist with these simple shapes. The total writing time for this type of system increases with the number of shots. In character projection (CP), there is a stencil in the system that has in it a variety of apertures or characters which may be complex shapes such as rectilinear, arbitrary-angled linear, circular, nearly circular, annular, nearly annular, oval, nearly oval, partially circular, partially nearly circular, partially annular, partially nearly annular, partially nearly oval, or arbitrary curvilinear shapes, and which may be a connected set of complex shapes or a group of disjointed sets of a connected set of complex shapes. An electron beam can be shot through a character on the stencil to efficiently produce more complex patterns on the reticle. In theory, such a system can be faster than a VSB system because it can shoot more complex shapes with each time-consuming shot. Thus, an E-shaped pattern shot with a VSB system takes four shots, but the same E-shaped pattern can be shot with one shot with a character projection system. Note that VSB systems can be thought of as a special (simple) case of character projection, where the characters are just simple characters, usually rectangles or 45-45-90 degree triangles. It is also possible to partially expose a character. This can be done by, for instance, blocking part of the particle beam. For example, the E-shaped pattern described above can be partially exposed as an F-shaped pattern or an I-shaped pattern, where different parts of the beam are cut off by an aperture. This is the same mechanism as how various sized rectangles can be shot using VSB. In this disclosure, partial projection is used to mean both character projection and VSB projection. Shaped beam charged particle beam lithography may use either a single shaped beam, or may use a plurality of shaped beams simultaneously exposing the surface, the plurality of shaped beams producing a higher writing speed than a single shaped beam.
  • As indicated, in lithography the lithographic mask or reticle comprises geometric patterns corresponding to the circuit components to be integrated onto a substrate. The patterns used to manufacture the reticle may be generated utilizing computer-aided design (CAD) software or programs. In designing the patterns the CAD program may follow a set of pre-determined design rules in order to create the reticle. These rules are set by processing, design, and end-use limitations. An example of an end-use limitation is defining the geometry of a transistor in a way in which it cannot sufficiently operate at the required supply voltage. In particular, design rules can define the space tolerance between circuit devices or interconnect lines. The design rules are, for example, used to ensure that the circuit devices or lines do not interact with one another in an undesirable manner. For example, the design rules are used so that lines do not get too close to each other in a way that may cause a short circuit. The design rule limitations reflect, among other things, the smallest dimensions that can be reliably fabricated. When referring to these small dimensions, one usually introduces the concept of a critical dimension. These are, for instance, defined as the smallest width of a line or the smallest space between two lines, those dimensions requiring exquisite control.
  • One goal in integrated circuit fabrication by optical lithography is to reproduce the original circuit design on the substrate by use of the reticle. Integrated circuit fabricators are always attempting to use the semiconductor wafer real estate as efficiently as possible. Engineers keep shrinking the size of the circuits to allow the integrated circuits to contain more circuit elements and to use less power. As the size of an integrated circuit critical dimension is reduced and its circuit density increases, the critical dimension of the circuit pattern or physical design approaches the resolution limit of the optical exposure tool used in conventional optical lithography. As the critical dimensions of the circuit pattern become smaller and approach the resolution value of the exposure tool, the accurate transcription of the physical design to the actual circuit pattern developed on the resist layer becomes difficult. To further the use of optical lithography to transfer patterns having features that are smaller than the light wavelength used in the optical lithography process, a process known as optical proximity correction (OPC) has been developed. OPC alters the physical design to compensate for distortions caused by effects such as optical diffraction and the optical interaction of features with proximate features. OPC includes all resolution enhancement technologies performed with a reticle.
  • OPC may add sub-resolution lithographic features to mask patterns to reduce differences between the original physical design pattern, that is, the design, and the final transferred circuit pattern on the substrate. The sub-resolution lithographic features interact with the original patterns in the physical design and with each other and compensate for proximity effects to improve the final transferred circuit pattern. One feature that is used to improve the transfer of the pattern is a sub-resolution assist feature (SRAF). Another feature that is added to improve pattern transference is referred to as “serifs”. Serifs are small features that can be positioned on an interior or exterior corner of a pattern to sharpen the corner in the final transferred image. It is often the case that the precision demanded of the surface manufacturing process for SRAFs is less than the precision demanded for patterns that are intended to print on the substrate, often referred to as main features. Serifs are a part of a main feature. As the limits of optical lithography are being extended far into the sub-wavelength regime, the OPC features must be made more and more complex in order to compensate for even more subtle interactions and effects. As imaging systems are pushed closer to their limits, the ability to produce reticles with sufficiently fine OPC features becomes critical. Although adding serifs or other OPC features to a mask pattern is advantageous, it also substantially increases the total feature count in the mask pattern. For example, adding a serif to each of the corners of a square using conventional techniques adds eight more rectangles to a mask or reticle pattern. Adding OPC features is a very laborious task, requires costly computation time, and results in more expensive reticles. Not only are OPC patterns complex, but since optical proximity effects are long range compared to minimum line and space dimensions, the correct OPC patterns in a given location depend significantly on what other geometry is in the neighborhood. Thus, for instance, a line end will have different size serifs depending on what is near it on the reticle. This is even though the objective might be to produce exactly the same shape on the wafer. These slight but critical variations are important and have prevented others from being able to form reticle patterns. It is conventional to discuss the OPC-decorated patterns to be written on a reticle in terms of designed features, that is features that reflect the design before OPC decoration, and OPC features, where OPC features might include serifs, jogs, and SRAF. To quantify what is meant by slight variations, a typical slight variation in OPC decoration from neighborhood to neighborhood might be 5% to 80% of a designed feature size. Note that for clarity, variations in the design of the OPC are what is being referenced. Manufacturing variations, such as line-edge roughness and corner rounding, will also be present in the actual surface patterns. When these OPC variations produce substantially the same patterns on the wafer, what is meant is that the geometry on the wafer is targeted to be the same within a specified error, which depends on the details of the function that that geometry is designed to perform, e.g., a transistor or a wire. Nevertheless, typical specifications are in the 2%-50% of a designed feature range. There are numerous manufacturing factors that also cause variations, but the OPC component of that overall error is often in the range listed. OPC shapes such as sub-resolution assist features are subject to various design rules, such as a rule based on the size of the smallest feature that can be transferred to the wafer using optical lithography. Other design rules may come from the mask manufacturing process or, if a character projection charged particle beam writing system is used to form the pattern on a reticle, from the stencil manufacturing process. It should also be noted that the accuracy requirement of the SRAF features on the mask may be lower than the accuracy requirements for the designed features on the mask. As process nodes continue to shrink, the size of the smallest SRAFs on a photomask also shrinks. For example, at the 20 nm logic process node, 40 nm to 60 nm SRAFs are needed on the mask for the highest precision layers.
  • Inverse lithography technology (ILT) is one type of OPC technique. ILT is a process in which a pattern to be formed on a reticle is directly computed from a pattern which is desired to be formed on a substrate such as a silicon wafer. This may include simulating the optical lithography process in the reverse direction, using the desired pattern on the substrate as input. ILT-computed reticle patterns may be purely curvilinear—i.e. completely non-rectilinear—and may include circular, nearly circular, annular, nearly annular, oval and/or nearly oval patterns. Since these ideal ILT curvilinear patterns are difficult and expensive to form on a reticle using conventional techniques, rectilinear approximations or rectilinearizations of the ideal curvilinear patterns may be used. The rectilinear approximations decrease accuracy, however, compared to the ideal ILT curvilinear patterns. Additionally, if the rectilinear approximations are produced from the ideal ILT curvilinear patterns, the overall calculation time is increased compared to ideal ILT curvilinear patterns. In this disclosure ILT, OPC, source mask optimization (SMO), and computational lithography are terms that are used interchangeably.
  • In EUV lithography, OPC features are generally not required. Therefore, the complexity of the pattern to be manufactured on the reticle is less than with conventional 193 nm wavelength optical lithography, and shot count reduction is correspondingly less important. In EUV, however, mask accuracy requirements are very high because the patterns on the mask, which are typically 4× the size of the patterns on the wafer, are sufficiently small that they are challenging to form precisely using charged particle beam technology such as electron beam.
  • There are a number of technologies used for forming patterns on a reticle, including using optical lithography or charged particle beam lithography. The most commonly used system is the variable shaped beam (VSB), where, as described above, doses of electrons with simple shapes such as manhattan rectangles and 45-degree right triangles expose a resist-coated reticle surface. In conventional mask writing, the doses or shots of electrons are designed to avoid overlap wherever possible, so as to greatly simplify calculation of how the resist on the reticle will register the pattern. Similarly, the set of shots is designed so as to completely cover the pattern area that is to be formed on the reticle. U.S. Pat. No. 7,754,401, owned by the assignee of the present patent application and incorporated by reference for all purposes, discloses a method of mask writing in which intentional shot overlap for writing patterns is used. When overlapping shots are used, charged particle beam simulation can be used to determine the pattern that the resist on the reticle will register. Use of overlapping shots may allow patterns to be written with reduced shot count. U.S. Pat. No. 7,754,401 also discloses use of dose modulation, where the assigned dosages of shots vary with respect to the dosages of other shots. The term model-based fracturing is used to describe the process of determining shots using the techniques of U.S. Pat. No. 7,754,401.
  • Reticle writing for the most advanced technology nodes typically involves multiple passes of charged particle beam writing, a process called multi-pass exposure, whereby the given shape on the reticle is written and overwritten. Typically, two to four passes are used to write a reticle to average out precision errors in the charged particle beam writer, allowing the creation of more accurate photomasks. Also typically, the list of shots, including the dosages, is the same for every pass. In one variation of multi-pass exposure, the lists of shots may vary among exposure passes, but the union of the shots in any exposure pass covers the same area. Multi-pass writing can reduce over-heating of the resist coating the surface. Multi-pass writing also averages out random errors of the charged particle beam writer. Multi-pass writing using different shot lists for different exposure passes can also reduce the effects of certain systemic errors in the writing process.
  • SUMMARY OF THE DISCLOSURE
  • A method for mask data preparation (MDP) is disclosed, in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots.
  • A method for optical proximity correction (OPC) or mask data preparation (MDP) is also disclosed in which an inputted set of charged particle beam shots is used to calculate a reticle pattern, which is then used to calculate a substrate pattern that would be formed using an optical lithographic process with the calculated reticle pattern.
  • A method for optical proximity correction (OPC) or mask data preparation (MDP) is disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern.
  • A method for optical proximity correction (OPC) or mask data preparation (MDP) is disclosed, in which a previously-generated preliminary set of shots is input, and the shots are then modified by calculating a reticle pattern using a final mask model. In some embodiments a substrate pattern is also calculated using a substrate model and the calculated reticle pattern.
  • A method for optical proximity correction (OPC) is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.
  • A method for optical proximity correction (OPC) is also disclosed, in which a mask model is determined to model reticle writing effects such as forward scattering, backward scattering, Coulomb effect, fogging, loading and reticle resist charging. A substrate model is subsequently determined using the mask model, whereby the mask model excludes the effects which are included in the mask model.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates an example of a variable shaped beam (VSB) charged particle beam system;
  • FIG. 2 illustrates a prior art conceptual flow diagram of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography;
  • FIG. 3 illustrates a conceptual flow diagram of an exemplary method of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography;
  • FIG. 4 illustrates an exemplary conceptual flow diagram detailing OPC optimization from FIG. 3;
  • FIG. 5 illustrates a prior art method for creating a calibrated model for the prior art design flow of FIG. 2;
  • FIG. 6 illustrates a conceptual flow diagram of an exemplary method of creating a mask model;
  • FIG. 7 illustrates a conceptual flow diagram of an exemplary method of creating a substrate model;
  • FIG. 8 illustrates a conceptual flow diagram of another exemplary method of how to prepare a surface, such as a reticle, for use in fabricating a substrate such as an integrated circuit on a silicon wafer using optical lithography;
  • FIG. 9 illustrates a conceptual flow diagram of a method for optimizing a pre-existing shot list; and
  • FIG. 10 illustrates complex patterns such as those which may be output by processing using inverse lithography technology (ILT).
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • The present disclosure is related to lithography, and more particularly to the design and manufacture of a surface which may be a reticle, a wafer, or any other surface, using charged particle beam lithography.
  • Referring now to the drawings, wherein like numbers refer to like items, FIG. 1 illustrates an embodiment of a lithography system, such as a charged particle beam writer system, in this case an electron beam writer system 10, that employs a variable shaped beam (VSB) to manufacture a surface 12. The electron beam writer system 10 has an electron beam source 14 that projects an electron beam 16 toward an aperture plate 18. The plate 18 has an aperture 20 formed therein which allows the electron beam 16 to pass. Once the electron beam 16 passes through the aperture 20 it is directed or deflected by a system of lenses (not shown) as electron beam 22 toward another rectangular aperture plate or stencil mask 24. The stencil 24 has formed therein a number of openings or apertures 26 that define various simple shapes such as rectangles and triangles. Each aperture 26 formed in the stencil 24 may be used to form a pattern in the surface 12 of a substrate 34, such as a silicon wafer, a reticle or other substrate. An electron beam 30 emerges from one of the apertures 26 and passes through an electromagnetic or electrostatic reduction lens 38, which reduces the size of the pattern emerging from the aperture 26. In commonly available charged particle beam writer systems, the reduction factor is between 10 and 60. The reduced electron beam 40 emerges from the reduction lens 38 and is directed by a series of deflectors 42 onto the surface 12 as a pattern 28. The surface 12 is coated with resist (not shown) which reacts with the electron beam 40. The electron beam 22 may be directed to overlap a variable portion of an aperture 26, affecting the size and shape of the pattern 28. Blanking plates (not shown) are used to deflect the beam 16 or the shaped beam 22 so to prevent the electron beam from reaching the surface 12 during a period after each shot when the lenses directing the beam 22 and the deflectors 42 are being re-adjusted for the succeeding shot. Typically the blanking plates are positioned so as to deflect the electron beam 16 to prevent it from illuminating aperture 20. The blanking period may be a fixed length of time, or it may vary depending, for example, on how much the deflector 42 must be re-adjusted for the position of the succeeding shot.
  • In electron beam writer system 10, the substrate 34 is mounted on a movable platform 32. The platform 32 allows substrate 34 to be repositioned so that patterns which are larger than the maximum deflection capability or field size of the charged particle beam 40 may be written to surface 12 in a series of subfields, where each subfield is within the capability of deflector 42 to deflect the beam 40. In one embodiment the substrate 34 may be a reticle. In this embodiment, the reticle, after being exposed with the pattern, undergoes various manufacturing steps through which it becomes a lithographic mask or photomask. The mask may then be used in an optical lithography machine to project an image of the reticle pattern 28, generally reduced in size, onto a silicon wafer to produce an integrated circuit. More generally, the mask is used in another device or machine to transfer the pattern 28 on to a substrate (not illustrated).
  • Although FIG. 1 illustrates a charged particle beam system in which a single beam 40 illuminates the surface 12, some charged particle beam writers can simultaneously illuminate a surface with a plurality of beams, which may or may not be independently adjustable and positionable. Multi-beam charged particle beam writers generally have higher writing speeds than single-beam writers. Some types of multi-beam writers are shaped beam, while in others the beams are scanned across the surface. For the purposes of this disclosure, the term “shot” includes exposure information for both shaped beam and scanned beam multi-beam charged particle beam writers, as well as for shaped single-beam writers.
  • The minimum size pattern that can be projected with reasonable accuracy onto the surface 12 is limited by a variety of short-range physical effects associated with the electron beam writer system 10 and with the surface 12, which normally comprises a resist coating on the substrate 34. These effects include forward scattering, Coulomb effect, and resist diffusion. Beam blur, also called βf, is a term used to include all of these short-range effects. The most modern electron beam writer systems can achieve an effective beam blur radius or βf in the range of 20 nm to 30 nm. Forward scattering may constitute one quarter to one half of the total beam blur. Modern electron beam writer systems contain numerous mechanisms to reduce each of the constituent pieces of beam blur to a minimum. Since some components of beam blur are a function of the calibration level of a particle beam writer, the βf of two particle beam writers of the same design may differ. The diffusion characteristics of resists may also vary. Variation of βf based on shot size or shot dose can be simulated and systemically accounted for. But there are other effects that cannot or are not accounted for, and they appear as random variation.
  • The shot dosage of a charged particle beam writer such as an electron beam writer system is a function of the intensity of the beam source 14 and the exposure time for each shot. Typically the beam intensity remains nominally fixed, and the exposure time is varied to obtain variable shot dosages. The exposure time may be varied to compensate for various long-range effects such as back scatter, fogging and loading effects in a process called proximity effect correction (PEC). Electron beam writer systems usually allow setting an overall dosage, called a base dosage, which affects all shots in an exposure pass. Some electron beam writer systems perform dosage compensation calculations within the electron beam writer system itself, and do not allow the dosage of each shot to be assigned individually as part of the input shot list, the input shots therefore having unassigned shot dosages. In such electron beam writer systems all shots implicitly have the base dosage, before PEC. Other electron beam writer systems do allow explicit dosage assignment on a shot-by-shot basis. In electron beam writer systems that allow shot-by-shot dosage assignment, the number of available dosage levels may be 64 to 4096 or more, or there may be a relatively few available dosage levels, such as 3 to 8 levels. For scanned multi-beam systems, dosage adjustment may be done by scanning the surface multiple times.
  • Conventionally, shots are designed so as to completely cover an input pattern with rectangular shots, while avoiding shot overlap wherever possible. Also, all shots are designed to have a normal dosage, which is a dosage at which a relatively large rectangular shot, in the absence of long-range effects, will produce a pattern on the surface which is the same size as is the shot size. Some electron beam writer systems enforce this methodology by not allowing shots to overlap within an exposure pass.
  • Process variations can cause the width of a pattern on the photomask to vary from the intended or target width. The pattern width variation on the photomask will cause a pattern width variation on a wafer which has been exposed using the photomask in an optical lithographic process. The sensitivity of the wafer pattern width to variations in photomask pattern width is called mask edge error factor, or MEEF. In an optical lithography system using a 4× photomask, where the optical lithographic process projects a 4× reduced version of the photomask pattern onto the wafer, a MEEF of 1, for example means that for each 1 nm error in pattern width on a photomask, the pattern width on the wafer will change by 0.25 nm. A MEEF of 2 means that for a 1 nm error in photomask pattern width, the pattern width on the wafer will change by 0.5 nm. For the smallest integrated circuits processes, MEEF may be greater than 2.
  • FIG. 2 is a conceptual flow diagram of a prior art method 250 of forming patterns on a substrate such as a silicon wafer using optical lithography. In a first step 252, a physical design, such as a physical design of an integrated circuit, is created. This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design of the integrated circuit. Next, in a step 254, optical proximity correction (OPC) is done on the patterns in the physical design 252 using inverse lithography technology (ILT) to create a target mask design 256. The ILT process uses mask plus substrate model 282 to guide its calculations. Although mask plus substrate model 282 is normally intended to model substrate effects, the method of determining this model may also include mask effects, as will be explained further below. Raw or “ideal” ILT-generated patterns are usually curvilinear and are therefore difficult to fracture using conventional non-overlapping VSB shots. In ILT OPC step 254 the patterns are therefore simplified to be more rectilinear in a rectilinearization sub-step of ILT OPC 254, so as to ease subsequent fracturing by VSB shots. Rectilinearization of the ILT-generated patterns reduces the accuracy of the ILT process. The resulting target mask design 256 is fractured into charged particle beam shots in mask data preparation (MDP) step 258. Conventionally, non-overlapping VSB shots are generated, either with unassigned shot dosages or where all assigned shot dosages are the same. The output of MDP 258 is a shot list 260. In a proximity effect correction (PEC) refinement step 262, shot dosages are adjusted to account for proximity effect, creating a final shot list 264. The final shot list 264 is used to generate a surface in a mask writing step 266, which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 262 may be performed by the charged particle beam writer. Mask writing step 266 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form a mask image comprising patterns on the surface as shown in a step 268. After additional processing steps (not illustrated), the completed surface may then be used in an optical lithography machine, which is shown in a step 270, to expose a resist-coated substrate such as a silicon wafer to create a latent image 272 on the resist. The exposed substrate then undergoes additional resist processing steps 274, such as resist baking and resist development, to create a pattern 276 on the substrate.
  • In the flow of FIG. 2, the patterns comprising the wafer image 272 may differ from the physical design 252 for a variety of reasons, including:
      • Corner rounding. Although the patterns in physical design 252 normally have right-angle corners, those trained in the art understand that these will be rounded on the mask, and further rounded on the wafer. This rounding is due to limitations of the charged particle beam lithography and optical lithography processes, and is considered normal.
      • Rectilinearization of the ILT results reduces the accuracy of the resulting target mask design 256, compared to if the ideal curvilinear ILT patterns were output for target mask design 256.
      • Shots generated in the MDP step 258 will not exactly form the patterns in the target mask design 256, due to limitations of the fracturing process.
      • Limitations of conventional optical lithography. These limitations may include a relatively large MEEF, thereby causing relatively small CD errors on the mask to become more significant on the wafer.
      • Limitations of the mask plus substrate model 282. Bundling the mask process and substrate processes together produces inaccuracies. Additionally, the mask manufacturing process parameters vary slightly, and these variations are generally not available at the time ILT OPC 254 is being done.
  • In various embodiments, a considerably different flow is used. Features of this flow include:
      • Ideal reticle patterns are generated, such as by using ILT. Ideal ILT patterns are normally curvilinear, and are represented as polygons with non-manhattan, non-45 degree edges. Furthermore, these patterns are generated using a model which contains only optical lithography and substrate processing effects—omitting charged particle beam and reticle processing effects. Thus ILT can output a mask design pattern which is actually the ideal mask pattern for forming physical design.
      • A preliminary set of generated charged particle beam shots is OPC-optimized, so as to improve the quality of the substrate pattern. To do this, double simulation is used:
        • A reticle pattern is calculated, such as by using charged particle beam simulation. A higher-accuracy mask model is used for this optimization step than was used to generate the preliminary set of shots.
        • A substrate pattern is calculated, such as by using lithography simulation, using the calculated reticle pattern as input.
          This is explained more fully in the following paragraphs.
  • FIG. 3 is a conceptual flow diagram 300 for forming patterns on a substrate such as a silicon wafer using optical lithography, according to one embodiment of the current disclosure. In a first step 302, a physical design, such as a physical design of an integrated circuit, is created. This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design such as the physical design of an integrated circuit. Next, in a step 304, optical proximity correction (OPC) is done on the patterns in the physical design 302 or on a portion of the patterns in the physical design to create an ideal mask design 306. In some embodiments ILT is used in OPC step 304. The OPC/ILT process does not use rectilinearization as in the flow 252, but rather creates ideal patterns in ideal mask design 306. The pattern in ideal mask design 306 may be, for example, a complex shape represented using polygons with non-manhattan, non-45 degree edges. Such patterns are illustrated in FIG. 10, which shows exemplary complex shapes 1002 that may be represented using polygons with non-manhattan, non-45 degree edges. The OPC/ILT step 304 in FIG. 3 uses a substrate model 340 which incorporates optical lithography and substrate processing effects, but which does not incorporate charged particle beam exposure and reticle processing effects. Compared to target mask design 256 of flow 250, ideal mask design 306 is closer to the ideal pattern for the reticle. In step 308, the ideal mask design is fractured into a preliminary set of charged particle beam shots 310. Preliminary shot generation 308 may use a relatively simple preliminary mask model 342, such as a single Gaussian. Preliminary shot list 310 is capable of forming a preliminary pattern on the reticle that approximates the desired pattern for the reticle. In one embodiment, the preliminary shot list 310 may have a target accuracy of, for example, 4 nm on the mask, compared to the ideal mask design 306. In another embodiment, the target shot count may be within, for example, 2% of the final yet-undetermined shot count.
  • In step 312, OPC optimization is performed. OPC optimization inputs both the preliminary shot list 310 and the physical design 302. OPC optimization 312 uses two simulation sub-steps, which shall be defined as “double-simulation” in this disclosure. A final mask model 346 and the substrate model 340 are used by the two simulation sub-steps in OPC optimization 312. The details of OPC optimization 312 are described in more detail in FIG. 4 and associated description below. In some embodiments the final mask model 346 and the preliminary mask model 342 may be the same. In some embodiments, OPC optimization 312 may reduce shot count. The output of OPC optimization 312 is optimized shot list 314. In one embodiment, the target shot count of optimized shot list 314 may be within 1% of the final shot count. In another embodiment, the optimized shot list may have a target accuracy of 1 nm on the wafer.
  • In a proximity effect correction (PEC) refinement step 320, shot dosages of the shots in the optimized shot list 314 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging. The output of PEC refinement 320 is a final shot list with adjusted dosages 322. The final shot list with adjusted dosages 322 is used to generate a reticle in a mask writing step 324, which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 320 may be performed by the charged particle beam writer. Mask writing step 324 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects one or more beams of electrons through a stencil onto a reticle to form a mask image comprising patterns on the reticle as shown in a step 326. After various processing steps (not illustrated), the completed reticle may then be used in an optical lithography machine, which is shown in a step 328, to expose a resist-coated substrate such as a silicon wafer to create a latent image 330 on the resist. The exposed substrate then undergoes additional resist processing steps 332, such as resist baking and resist development, to create a pattern 334 on the substrate.
  • FIG. 4 is a conceptual flow diagram 400 which illustrates details of one embodiment of OPC optimization step 312. One input to process 400 is the physical design 402, which corresponds to FIG. 3 physical design 302. In step 434 the physical design 402 is processed to determine a desired wafer image 436. In one embodiment, this processing comprises rounding the corners—both inside and outside corners—in the physical design patterns. In other embodiments, other processing may be done in step 434 on the patterns in physical design 402. In yet other embodiments, the physical design 402 is itself used as the desired wafer image 436. Another input to process 400 is preliminary shot list 404, which corresponds to FIG. 3 preliminary shot list 310. In step 406, charged particle beam simulation calculates a calculated mask image 408. The charged particle beam simulation 406 uses a final mask model 430, which corresponds to FIG. 3 final mask model 346. The output of charged particle beam simulation 406 is calculated mask image 408. Lithography simulation 410 then calculates a calculated pattern on a wafer 412, which is the aerial image or pattern that will be imaged on a resist-coated wafer with an optical lithographic process using a reticle or photomask containing calculated mask image 408. Lithography simulation 410 uses substrate model 432, which corresponds to FIG. 3 substrate model 340. Lithography simulation 410 may also output aerial intensity information 416 of the calculated aerial image on the substrate resist. In one embodiment, in step 414, the calculated pattern on the wafer 412 may be compared with the desired wafer image 436, to determine if the calculated wafer pattern 412 and the desired wafer image 436 are within a pre-determined tolerance of each other. In other embodiments, image quality measurements 418 may be made on the calculated wafer pattern 412 and/or the aerial intensity information 416. Parameters that may be measured in image quality measurement step 418 include critical dimension (CD), critical dimension uniformity (CDU), depth of focus (DOF), exposure latitude (EL) and process variation (PV) band. In another embodiment the mask error enhancement factor (MEEF) may be calculated in step 420 using the calculated mask image 408 and output from lithography simulation 410. Some measurements may require that charged particle beam simulation 406 and/or lithography simulation 410 be run for more than one manufacturing condition, such as to measure the effect of process variations. The different manufacturing conditions may be reflected as different variations of final mask model 430 and substrate model 432. Similarly, some measurements may require that charged particle beam simulation 406 be run for more than one manufacturing condition as determined by final mask model 430. Wafer pattern comparison 414, image quality measurement 418 and/or MEEF measurement 420 communicate to a conditional step 422, which determines if the shots that were simulated are within the desired tolerance(s). If the shots are not within the desired tolerances, the shot list is modified in a step 424 such that the pattern formed by the modified set of shots more closely approximates the desired substrate pattern. The shot list which is modified in step 424 may be the preliminary shots or may be derived from the preliminary set of shots. Shot modification 424 may comprise, for example, changing the location, or shape or dose of an existing shot. Shot modification 424 may also comprise deleting shots and adding shots. In some embodiments, the required shot modifications may be calculated directly, so that the result of shot list modification 424 is an optimized shot list 426. In other embodiments, shot list modification 424 may be iterative, in which case shot list modification 424 outputs a revised set of shots back to charged particle beam simulation 406. The tolerance used in conditional step 422 may change due to changes in processing of the photomask or the substrate. Eventually, conditional step 422 determines that the desired tolerances have been reached, so that the current shot list is output as the optimized shot list 426. In one embodiment, the target shot count of the optimized shot list 426 may be within 1% of the final shot count.
  • FIG. 5 illustrates a conceptual flow diagram 500 of a prior art method for creating a mask plus substrate model such as the mask plus substrate model 282 in FIG. 2 flow 250. In the first step 502 specialized test patterns are designed. The output of step 502 is a mask design 504. The resulting mask design 504 is fractured into charged particle beam shots in mask data preparation (MDP) step 506. Conventionally, non-overlapping VSB shots are generated in MDP step 506, either with unassigned shot dosages or where all assigned shot dosages are the same. The output of MDP 506 is a shot list 508. In a proximity effect correction (PEC) refinement step 510, shot dosages of the shots in the shot list 508 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging. The output of PEC refinement 510 is a final shot list with adjusted dosages 512. The final shot list 512 is used to generate a surface in a reticle writing step 514, which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 510 may be performed by the charged particle beam writer. Reticle writing step 514 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form an image on the reticle. The reticle containing the image then undergoes various reticle processing steps 516 through which it becomes a photomask 518. The photomask 518 is used in an optical lithography machine 520 to form a latent image on a resist-coated substrate such as a silicon wafer. The resist-coated surface containing the latent image 522 is then processed in step 524, such as by baking and developing, to form patterns on the resist 526. In step 528, various measurements are made on the patterns on the processed resist coating on the substrate. These measurements are one of the inputs to model calibration step 540.
  • Mask design 504 is also input to a step 530 which calculates a desired wafer image on the resist. Step 530 may comprise rounding of the corners, such as 90 degree corners, on the mask design patterns. Step 530 may also comprise fast 3-dimensional near field image calculation. The result of step 530 is a desired latent wafer resist image 532. In step 534, the effects of resist processing steps are calculated on the patterns of the desired latent resist image 532 to create a desired wafer resist pattern 536. The desired wafer resist pattern 536 is one of the inputs to model calibration step 540.
  • Model calibration step 540 uses the test pattern measurements 528 and the desired wafer resist pattern 536 to create a mask plus substrate model 542. As can be seen from flow 500, the processes that have been modeled include charged particle beam reticle exposure and mask processing, and also the transfer of the mask pattern to the resist-coated substrate using optical lithography to create a latent image. By contrast, the resist processing step 524 is not included in mask plus substrate model 542 because its effects are pre-calculated in step 534. The mask plus substrate model 542 corresponds to mask plus substrate model 282 in prior art flow 250.
  • The prior art success of mask plus substrate mode 542 is due to the mask-making part of the process being relatively well-behaved, so that providing a similar dosage to all shapes before PEC can produce a predictable shape on a mask. For mask patterns smaller than 100 nm, however, the charged particle beam exposure process is not so well-behaved that a similar dosage to all pattern shapes will produce a predictable pattern on the reticle. Additionally, when MB-MDP is used, which intentionally creates varying dosages on the reticle, reticle/mask manufacturing effects—including charged particle beam simulation—must be separated from substrate manufacturing effects such as optical lithography to allow accurate simulation of either mask or substrate effects.
  • In prior art flow 250, inclusion of mask manufacturing effects with optical lithography and substrate resist effects works best when the charged particle beam exposure process can provide a constant dosage, before PEC refinement 262, to all parts of the target mask design 256.
  • FIG. 6 is a conceptual flow diagram 600 for creation of the final mask model 346 of flow 300. In step 602 test patterns are designed, to create a mask design 604. The resulting mask design 604 is fractured into charged particle beam shots in MDP step 606. Shots may have assigned or unassigned shot dosages. The output of MDP is a shot list 608. In a proximity effect correction (PEC) refinement step 610, shot dosages of the shots in the shot list 608 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging. The output of PEC refinement 610 is a final shot list with adjusted dosages 612. The final shot list 612 is used to generate a surface in a reticle writing step 614, which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 610 may be performed by the charged particle beam writer. Reticle writing step 614 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects one or more beams of electrons through a stencil onto a resist-coated reticle surface to form a latent image on the reticle resist. Resist processing step 616 converts the latent image on the resist into a resist image 618. The reticle containing the image then undergoes etch processing steps 620 and possibly other processing steps through which it becomes a photomask 622.
  • In a first embodiment, patterns on the reticle resist image 618 may be measured in step 626 and these measurements used in a reticle model calibration step 628. In a second embodiment, patterns on the completed photomask 622 are measured in a step 624, and the measurement results used in a mask model calibration step 646.
  • Mask design 604 is also input to a step 634 which calculates a desired aerial image on the reticle. The result of step 634 is a desired latent reticle image 636. In step 638, the effects of reticle resist processing is calculated on the patterns of the desired latent reticle image 636 to create a desired reticle resist pattern 640. In the first embodiment described in the previous paragraph, the desired reticle resist pattern 640 is one of the inputs to model calibration step 628. In the second embodiment of the previous paragraph, the results of etch processing are calculated in step 642 to create a desired mask pattern 644, which becomes input to model calibration step 646.
  • In the first embodiment, reticle model calibration step 628 creates a detailed reticle resist model 630. An etch calculation step 632 calculates the effects of etching to create a final mask model 648. Etch calculation may be a simple bias, or may be a more complex calculation. In the second embodiment, since both inputs for mask model calibration step 646 already include reticle etch effects, mask model calibration 646 creates the final mask model 648 directly. Final mask model 648 corresponds to final mask model 346 in FIG. 3 flow 300. Physical phenomena that may be included in final mask model 346 include forward scattering, backward scattering, Coulomb effect, fogging, resist charging, loading, resist bake, resist development, and resist etch.
  • The preliminary mask model 342 of flow 300 can be created with a flow similar to flow 600. In creating the simple mask model, the model may be constrained to be simpler and faster to compute than final mask model 346. In one embodiment, preliminary mask model 342 is a single Gaussian.
  • FIG. 7 is a conceptual flow diagram illustrating a flow 700 for creating a substrate model according to another embodiment. In a first step 702 test patterns are designed. These patterns comprise shapes which, after mask fabrication and transfer to a resist-coated substrate with optical lithography, will be measured. The output of step 702 is a mask design 704. The resulting mask design 704 is fractured into charged particle beam shots in MDP step 706. Shots may have assigned or unassigned dosages. The output of MDP 706 is a shot list 708. In a proximity effect correction (PEC) refinement step 710, shot dosages of the shots in the shot list 708 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging. The output of PEC refinement 710 is a final shot list with adjusted dosages 712. The final shot list 712 is used to generate a surface in a reticle writing step 714, which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 710 may be performed by the charged particle beam writer. Reticle writing step 714 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form an image on the reticle. The reticle containing the image then undergoes various processing steps 716 through which it becomes a photomask 718. The photomask 718 is used in an optical lithography machine 720 to form a latent image on a resist-coated substrate such as a silicon wafer. The resist-coated surface containing the latent image 722 is then processed in step 724, such as by baking and developing, to form patterns on the resist 726. In step 728, various measurements are made on the patterns on the processed resist coating on the substrate. These measurements are one of the inputs to substrate model calibration step 744.
  • Mask design 704 is also input to a step 730 which calculates an expected mask image, such as by using charged particle beam simulation. The calculation uses a previously-determined mask model 732, which in one embodiment corresponds to final mask model 648 in flow 600. The output of step 730 is an expected mask image 734. In step 736 the expected mask image is used to calculate a desired image on the resist. Step 736 may comprise rounding of the corners, such as 90 degree corners, on the mask design patterns. Step 736 may also comprise fast 3-dimensional near field image calculation. The result of step 736 is a desired latent resist image 738. In step 740, the effects of resist processing steps are calculated on the patterns of the desired latent resist image 738 to create a desired wafer resist pattern 742. The desired wafer resist pattern 742 is one of the inputs to substrate model calibration step 744.
  • Substrate model calibration step 744 uses the test pattern measurements 728 and the desired wafer pattern 742 to create a substrate model 748. The substrate model 748 corresponds to substrate model 340 in flow 300. Compared to the prior art flow 500 which produces a mask plus substrate model, the desired wafer resist pattern 742 is calculated from expected mask image 734. In flow 500 the desired wafer resist pattern 536 is calculated from mask design 504 directly. The addition of step 730 to flow 700, which requires pre-calculated mask model 732, allows creation of substrate model 748 which does not contain mask effects such as forward scattering, backward scattering and Coulomb effect. Stated another way, by including the calculated mask effects into desired wafer resist pattern 742, the substrate model calibration step 744 sees mask effects on both of its data sources—wafer resist measurements 728 and desired wafer resist pattern 742. To the extent that the mask model 732 is accurate, the modeled mask effects included in the desired wafer resist pattern 742 will equal the actual mask effects from reticle writing 714 and reticle processing 716 which are included in the wafer resist measurements 728, so that mask effects are essentially subtracted from the wafer resist measurements 728 during model calibration step 744, resulting in a substrate model 748 that does not account for and is not influenced by mask effects.
  • Referring again to FIG. 3 exemplary flow 300, by having available a substrate model 340 which does not include mask effects, ideal ILT step 304 is then able to compute an ideal mask design 306. The preliminary shot generation 308 step uses preliminary mask model 342 for shot generation. Therefore, inclusion of mask effects into the model used for ideal ILT 304, as would occur in the prior art flow 250 mask plus substrate model 282, would incorrectly double-compensate for mask effects. By comparison, in prior art flow 250 the target mask design 256, which is the output of ILT OPC 254, contains compensation for mask effects because the mask plus substrate model 282 includes mask effects, including charged particle beam effects, as well as optical lithography and wafer effects.
  • FIG. 8 is a conceptual flow diagram 800 for forming patterns on substrates such as a silicon wafer using optical lithography, according to another embodiment of the current disclosure. In a first step 802, a physical design, such as a physical design of an integrated circuit, is created. This can include determining the logic gates, transistors, metal layers, and other items that are required to be found in a physical design such as the physical design of an integrated circuit. Next, in a step 804, OPC is done on the patterns in the physical design 802 or on a portion of the patterns in the physical design using ILT to create an ideal mask design 806. The OPC/ILT process does not use rectilinearization as in step 254 of the flow 250, but rather creates ideal—and typically curvilinear—patterns in ideal mask design 806. The ideal ILT step 804 uses a substrate model 840 which incorporates lithography and substrate processing effects, but which does not incorporate charged particle beam exposure and reticle processing effects as in the flow 250. Substrate processing effects may include, for example, substrate resist bake and substrate resist development. Therefore, ideal mask design 806 is actually a computed target pattern for the mask.
  • In MDP step 808, the ideal mask design 806 is fractured into a set of charged particle beam shots 810. MDP step 808 uses double simulation to determine the pattern that will be formed on the substrate with a set of shots. Double simulation is a two-part procedure. In the first part, a mask model 842 is used to calculate a pattern that will be produced on a reticle with the set of shots. In the second part, substrate model 840 is used to calculate a pattern that will be formed on the substrate using the calculated reticle pattern. Charged particle beam simulation may be used to calculate the reticle pattern using the mask model. Lithography simulation may be used to calculate the substrate pattern using the substrate model. The substrate model 840 may include optical lithography effects, and may also include resist effects such as resist bake and resist development. MDP 808 includes comparison of the calculated reticle pattern with the physical design 802, and may include optimization of the CD of the substrate pattern, critical dimension uniformity (CDU) of the substrate pattern, depth of focus (DOF) of the substrate pattern, exposure latitude of the substrate pattern, process variation (PV) band of the reticle pattern or mask error enhancement factor (MEEF) of the reticle pattern. MDP 808 outputs shot list 810. MDP 808 may also output calculated aerial intensity information 844 for the substrate resist pattern, either for part of the pattern or for the entire pattern.
  • In a proximity effect correction (PEC) refinement step 820, shot dosages of the shots in the shot list 810 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, and resist charging. The output of PEC refinement 820 is a final shot list with adjusted dosages 822. The final shot list with adjusted dosages 822 is used to generate a surface in a mask writing step 824, which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 820 may be performed by the charged particle beam writer. Mask writing step 824 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects one or more beams of electrons through a stencil onto a surface to form a mask image comprising patterns on the surface as shown in a step 826. After additional processing steps (not illustrated), the completed surface may then be used in an optical lithography machine, which is shown in a step 828, to expose a resist-coated substrate such as a silicon wafer to create a latent image 830 on the resist. The exposed substrate then undergoes additional resist processing steps 832, such as resist baking and resist development, to create a pattern 834 on the substrate.
  • FIG. 9 is a conceptual flow diagram 900 for optimizing a pre-existing shot list. Flow 900 begins with a desired reticle pattern 902 and a preliminary shot list 904, where the preliminary shot list 904 is capable of approximately forming the desired reticle pattern 902. In some embodiments, preliminary shot list 904 will have previously been created in an MDP/fracturing step 930 using a preliminary mask model 932, such as a single Gaussian model. MDP step 910 modifies shots in original charged particle beam shot list 904, to create a resulting optimized shot list 912 that is capable of forming a desired reticle pattern 902 more accurately than the original shot list 904. Shots in the optimized shot list 912 may overlap, and may have different assigned dosages. MDP step 910 calculates a reticle pattern from the original and/or modified shot lists, using a final mask model 906. In a proximity effect correction (PEC) refinement step 914, shot dosages of the shots in the optimized shot list 912 are adjusted to account for long-range effects, which may include back scattering, loading, fogging, resist charging and other long-range effects. The output of PEC refinement 914 is a final shot list 916. The final shot list 916 is used to generate a surface in a mask writing step 918, which uses a charged particle beam writer such as an electron beam writer system. Depending on the type of charged particle beam writer being used, PEC refinement 914 may be performed by the charged particle beam writer. Mask writing step 918 may comprise a single exposure pass or multiple exposure passes. The electron beam writer system projects one or more beams of electrons onto a surface to form a mask image comprising patterns on the surface as shown in a step 920. After further processing steps (not illustrated), the completed surface may then be used in an optical lithography machine, which is shown in a step 922, to expose a resist-coated substrate such as a silicon wafer to create a latent image 924 on the resist. The exposed substrate then undergoes additional resist processing steps 926, such as resist baking and resist development, to create a pattern 928 on the substrate. Flow 900 may be useful when, for example, the mask process has changed since the preliminary shot list 904 was created, causing a mask model change, which requires re-optimization of the shots in the preliminary shot list 904 using final mask model 906 which corresponds to the revised mask process. The mask process change may, for example, be a mask process bias change.
  • Some embodiments of the flows described herein may use complex character projection (CP) characters during MDP/shot generation. In particular, circular CP characters can be used.
  • The various embodiments set forth in this disclosure may be employed on a portion of a physical design. In one embodiment, a complete desired pattern for a reticle is input, and a previously-determined set of shots is also input. A reticle pattern is calculated using the set of previously-determined shots, and then the calculated reticle pattern is compared with the desired pattern for the reticle. For areas where the difference between the calculated reticle pattern and the desired reticle pattern exceed a pre-determined threshold, such as, for example, 2 nm, shots are regenerated using the flow 300 or the flow 800. In another embodiment, for areas where the difference between the calculated reticle pattern and the desired reticle pattern exceed a pre-determined threshold, the existing shots in those areas are optimized with flow 900.
  • The calculations described or referred to herein may be accomplished in various ways. Generally, calculations may be accomplished by in-process, pre-process or post-process methods. In-process calculation involves performing a calculation at the time when its results are needed. Pre-process calculation involves pre-calculating and then storing results for later retrieval during a subsequent processing step, and may improve processing performance, particularly for calculations that may be repeated many times. Calculations may also be deferred from a processing step and then done in a later post-processing step. An example of pre-process calculation is pre-calculating a pattern formed by two overlapping shots in the vicinity of the shot overlap. Another example of pre-process calculation is a shot group, which is a pre-calculation of dosage pattern information for one or more shots associated with a given input pattern or set of input pattern characteristics. The shot group and the associated input pattern may be saved in a library of pre-calculated shot groups, so that the set of shots comprising the shot group can be quickly generated for additional instances of the input pattern, without pattern re-calculation. In some embodiments, the pre-calculation may comprise simulation of the dosage pattern that the shot group will produce on a resist-coated surface. In other embodiments, the shot group may be determined without simulation, such as by using correct-by-construction techniques. In some embodiments, the pre-calculated shot groups may be stored in the shot group library in the form of a list of shots. In other embodiments, the pre-calculated shot groups may be stored in the form of computer code that can generate shots for a specific type or types of input patterns. In yet other embodiments, a plurality of pre-calculated shot groups may be stored in the form of a table, where entries in the table correspond to various input patterns or input pattern characteristics such as pattern width, and where each table entry provides either a list of shots in the shot group, or information for how to generate the appropriate set of shots. Additionally, different shot groups may be stored in different forms in the shot group library. In some embodiments, the dosage pattern which a given shot group can produce may also be stored in the shot group library. In one embodiment, the dosage pattern may be stored as a two-dimensional (X and Y) dosage map called a glyph.
  • The fracturing, mask data preparation, proximity effect correction and shot group creation flows described in this disclosure may be implemented using general-purpose computers with appropriate computer software as computation devices. Due to the large amount of calculations required, multiple computers or processor cores may also be used in parallel. In one embodiment, the computations may be subdivided into a plurality of 2-dimensional geometric regions for one or more computation-intensive steps in the flow, to support parallel processing. In another embodiment, a special-purpose hardware device, either used singly or in multiples, may be used to perform the computations of one or more steps with greater speed than using general-purpose computers or processor cores. In one embodiment, the special-purpose hardware device may be a graphics processing unit (GPU). In another embodiment, the optimization and simulation processes described in this disclosure may include iterative processes of revising and recalculating possible solutions, so as to minimize either the total number of shots, or the total charged particle beam writing time, or some other parameter. In yet another embodiment, an initial set of shots may be determined in a correct-by-construction method, so that no shot modifications are required.
  • While the specification has been described in detail with respect to specific embodiments, it will be appreciated that those skilled in the art, upon attaining an understanding of the foregoing, may readily conceive of alterations to, variations of, and equivalents to these embodiments. These and other modifications and variations to the present methods for fracturing, mask data preparation, proximity effect correction and optical proximity correction may be practiced by those of ordinary skill in the art, without departing from the spirit and scope of the present subject matter, which is more particularly set forth in the appended claims. Furthermore, those of ordinary skill in the art will appreciate that the foregoing description is by way of example only, and is not intended to be limiting. Steps can be added to, taken from or modified from the steps in this specification without deviating from the scope of the invention. In general, any flowcharts presented are only intended to indicate one possible sequence of basic operations to achieve a function, and many variations are possible. Thus, it is intended that the present subject matter covers such modifications and variations as come within the scope of the appended claims and their equivalents.

Claims (26)

What is claimed is:
1. A method for mask data preparation (MDP) for use with charged particle beam lithography, the method comprising:
determining a set of charged particle beam shots that is capable of forming a pattern on a reticle, wherein the determining comprises calculating a pattern formed on a substrate using an optical lithographic process with the reticle pattern, and wherein the determining is performed using a computing hardware device.
2. The method of claim 1 wherein the determining comprises calculating a calculated pattern on the reticle using the set of shots.
3. The method of claim 2 wherein calculating the calculated pattern on the reticle comprises charged particle beam simulation.
4. The method of claim 2, further comprising inputting a mask model, wherein calculating the calculated pattern on the reticle uses the mask model.
5. The method of claim 1 wherein calculating the pattern formed on the substrate comprises lithography simulation.
6. The method of claim 1, further comprising inputting a substrate model, wherein calculating the pattern formed on the substrate uses the substrate model.
7. The method of claim 6 wherein the substrate model includes optical lithography effects.
8. The method of claim 6 wherein the substrate model includes a substrate processing effect selected from the group consisting of substrate resist bake and substrate resist development.
9. The method of claim 1, further comprising:
inputting a desired substrate pattern; and
comparing the calculated pattern on the substrate with the desired substrate pattern.
10. The method of claim 9, further comprising optimizing the calculated pattern on the substrate.
11. The method of claim 1, further comprising outputting aerial intensity information for the pattern on the substrate.
12. The method of claim 1 wherein the pattern on the reticle comprises a complete pattern for the reticle.
13. The method of claim 1 wherein the pattern on the reticle comprises a portion of a complete pattern for the reticle.
14. The method of claim 13, further comprising:
inputting a complete desired pattern for the reticle; and
identifying the portion of the complete desired pattern for the reticle for which the set of charged particle beam shots is determined.
15. The method of claim 14, further comprising:
inputting an initial set of charged particle beam shots;
wherein identifying the portion of the complete desired pattern for the reticle comprises determining those areas of the complete desired pattern for the reticle for which the initial set of charged particle beam shots is incapable of generating a pattern on the reticle that is within a pre-determined tolerance of the complete desired reticle pattern.
16. The method of claim 1 wherein the determining comprises optimizing a parameter selected from the group consisting of critical dimension (CD) of the pattern on the substrate, critical dimension uniformity (CDU) of the pattern on the substrate, depth of focus (DOF) of the pattern on the substrate, exposure latitude (EL) of the pattern on the substrate, process variation (PV) band of the pattern on the substrate, and mask error enhancement factor (MEEF) of the pattern on the substrate.
17. The method of claim 1 wherein the pattern on the reticle comprises a portion of an integrated circuit design.
18. A method for optical proximity correction (OPC) or mask data preparation (MDP) for use with charged particle beam lithography, the method comprising:
inputting a set of charged particle beam shots;
calculating a reticle pattern that will be formed on a reticle with the set of charged particle beam shots; and
calculating a substrate pattern that will be formed on a substrate using an optical lithography process with the calculated pattern for the reticle;
wherein calculating the reticle pattern and calculating the substrate pattern are performed using a computing hardware device.
19. The method of claim 18 wherein calculating the reticle pattern comprises charged particle beam simulation.
20. The method of claim 18 wherein calculating the reticle pattern comprises using a mask model.
21. The method of claim 20 wherein the mask model includes at least one effect selected from the group consisting of forward scattering, backward scattering, Coulomb effect, fogging, loading and reticle resist charging.
22. The method of claim 20 wherein the mask model comprises a Gaussian.
23. The method of claim 18 wherein calculating the substrate pattern comprises lithography simulation.
24. The method of claim 18 wherein calculating the substrate pattern comprises using a substrate model.
25. The method of claim 24 wherein the substrate model includes at least one effect selected from the group consisting of optical lithography, substrate resist bake, substrate resist development, and substrate resist etch.
26. The method of claim 20 wherein calculating the substrate pattern comprises using a substrate model, and wherein the substrate model excludes all effects which are included in the mask model.
US13/862,471 2012-04-18 2013-04-15 Method and system for forming patterns using charged particle beam lithography Abandoned US20130283217A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US13/862,471 US20130283217A1 (en) 2012-04-18 2013-04-15 Method and system for forming patterns using charged particle beam lithography
TW102113633A TWI605306B (en) 2012-04-18 2013-04-17 Method for forming patterns using charged particle beam lithography
TW102113610A TWI605302B (en) 2012-04-18 2013-04-17 Method for critical dimension uniformity using charged particle beam lithography
US14/331,008 US9343267B2 (en) 2012-04-18 2014-07-14 Method and system for dimensional uniformity using charged particle beam lithography
US15/157,278 US9859100B2 (en) 2012-04-18 2016-05-17 Method and system for dimensional uniformity using charged particle beam lithography
US15/841,167 US10431422B2 (en) 2012-04-18 2017-12-13 Method and system for dimensional uniformity using charged particle beam lithography

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261625789P 2012-04-18 2012-04-18
US13/862,471 US20130283217A1 (en) 2012-04-18 2013-04-15 Method and system for forming patterns using charged particle beam lithography

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/801,571 Continuation-In-Part US20140129997A1 (en) 2012-04-18 2013-03-13 Method and system for dimensional uniformity using charged particle beam lithography

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US13/801,571 Continuation-In-Part US20140129997A1 (en) 2012-04-18 2013-03-13 Method and system for dimensional uniformity using charged particle beam lithography
US14/331,008 Continuation-In-Part US9343267B2 (en) 2012-04-18 2014-07-14 Method and system for dimensional uniformity using charged particle beam lithography

Publications (1)

Publication Number Publication Date
US20130283217A1 true US20130283217A1 (en) 2013-10-24

Family

ID=49381343

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/862,475 Active US9400857B2 (en) 2011-09-19 2013-04-15 Method and system for forming patterns using charged particle beam lithography
US13/862,472 Active US8719739B2 (en) 2011-09-19 2013-04-15 Method and system for forming patterns using charged particle beam lithography
US13/862,471 Abandoned US20130283217A1 (en) 2012-04-18 2013-04-15 Method and system for forming patterns using charged particle beam lithography
US15/218,513 Active US10031413B2 (en) 2011-09-19 2016-07-25 Method and system for forming patterns using charged particle beam lithography

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/862,475 Active US9400857B2 (en) 2011-09-19 2013-04-15 Method and system for forming patterns using charged particle beam lithography
US13/862,472 Active US8719739B2 (en) 2011-09-19 2013-04-15 Method and system for forming patterns using charged particle beam lithography

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/218,513 Active US10031413B2 (en) 2011-09-19 2016-07-25 Method and system for forming patterns using charged particle beam lithography

Country Status (5)

Country Link
US (4) US9400857B2 (en)
JP (1) JP6234998B2 (en)
KR (1) KR102154105B1 (en)
TW (1) TWI605306B (en)
WO (1) WO2013158573A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9859100B2 (en) 2012-04-18 2018-01-02 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
CN107851315A (en) * 2015-08-10 2018-03-27 科磊股份有限公司 Device and method for prediction of wafer level defect printability
US10031413B2 (en) 2011-09-19 2018-07-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
CN109582995A (en) * 2017-09-28 2019-04-05 台湾积体电路制造股份有限公司 Manufacturing method for integrated curcuit and its manufacture system
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
US11143955B2 (en) 2016-11-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US20140129997A1 (en) 2012-11-08 2014-05-08 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9038003B2 (en) 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
KR102029645B1 (en) * 2013-01-14 2019-11-18 삼성전자 주식회사 Fabricating method for customized mask and fabricating method for semiconductor device using customized mask
US9009634B2 (en) 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9170501B2 (en) * 2013-07-08 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9023730B1 (en) 2013-11-05 2015-05-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating e-beam patterns for directed self-assembly
TWI661265B (en) * 2014-03-10 2019-06-01 美商D2S公司 Method for forming a pattern on a surface using multi-beam charged particle beam lithography
US11264206B2 (en) 2014-03-10 2022-03-01 D2S, Inc. Methods and systems for forming a pattern on a surface using multi-beam charged particle beam lithography
US20170097630A1 (en) * 2014-03-24 2017-04-06 President And Fellows Of Harvard College Shadow sphere lithography
US10025175B2 (en) 2014-09-12 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system to prepare, manufacture and inspect mask patterns for a semiconductor device
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US9965901B2 (en) * 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
KR101866857B1 (en) * 2016-12-28 2018-06-14 한국과학기술원 A model for an apparatus of clustered photolithography for achieving fab(wafer fabrication facilities)-level simulation, and a method for simulating using it
US10942443B2 (en) 2017-11-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask data synthesis and mask making
JP7110044B2 (en) 2018-09-14 2022-08-01 キオクシア株式会社 Repair pattern generation apparatus, pattern defect repair system, repair pattern generation method, and semiconductor device manufacturing method
US11764111B2 (en) * 2019-10-24 2023-09-19 Texas Instruments Incorporated Reducing cross-wafer variability for minimum width resistors
KR20220092598A (en) * 2019-11-04 2022-07-01 시놉시스, 인크. Use of Mask Manufacturing Models in Correction of Lithographic Masks
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
KR20220090668A (en) * 2020-12-22 2022-06-30 삼성디스플레이 주식회사 Method of managing critical dimension of photomask and method of manufacturing photomask
WO2023007321A1 (en) * 2021-07-30 2023-02-02 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US11693306B2 (en) 2021-07-30 2023-07-04 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US11783110B2 (en) 2021-07-30 2023-10-10 D2S, Inc. Method for reticle enhancement technology of a design pattern to be manufactured on a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110116067A1 (en) * 2008-07-07 2011-05-19 Brion Technologies, Inc. Illumination Optimization
US20110145769A1 (en) * 2006-01-11 2011-06-16 Olambda, Inc. Computational efficiency in photolithographic process simulation
US20110159435A1 (en) * 2009-12-26 2011-06-30 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area

Family Cites Families (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5425675A (en) 1977-07-28 1979-02-26 Nec Corp Electron beam exposure unit
JPS58105910U (en) 1982-01-11 1983-07-19 オイレス工業株式会社 Sheet roof opening/closing device
JPS608844A (en) 1983-06-29 1985-01-17 Pioneer Electronic Corp Photoetching method with electron beams
JPS61105839A (en) 1984-10-29 1986-05-23 Toshiba Corp Electron beam transferring mask and manufacture thereof
JPS61105839U (en) 1984-12-18 1986-07-05
US4634871A (en) 1985-01-14 1987-01-06 Hughes Aircraft Company Method and apparatus for spot shaping and blanking a focused beam
US4698509A (en) 1985-02-14 1987-10-06 Varian Associates, Inc. High speed pattern generator for electron beam lithography
JPS637631A (en) 1986-06-27 1988-01-13 Omron Tateisi Electronics Co Electron beam drawing
GB2197751A (en) 1986-11-24 1988-05-25 Philips Electronic Associated Variable shaped spot electron beam pattern generator
US4818885A (en) 1987-06-30 1989-04-04 International Business Machines Corporation Electron beam writing method and system using large range deflection in combination with a continuously moving table
JPH0536595Y2 (en) 1987-10-30 1993-09-16
US5173582A (en) 1988-10-31 1992-12-22 Fujitsu Limited Charged particle beam lithography system and method
JPH0620931Y2 (en) 1989-03-28 1994-06-01 栃木富士産業株式会社 Slip limited differential
JPH02280315A (en) 1989-04-20 1990-11-16 Mitsubishi Electric Corp Electronic beam direct lithography device
JPH03205815A (en) 1990-01-08 1991-09-09 Hitachi Ltd Variable fairing diaphragm
JPH04137520A (en) 1990-09-28 1992-05-12 Hitachi Ltd Device and method for electron beam lithography
JPH04196516A (en) 1990-11-28 1992-07-16 Seiko Epson Corp Ed exposing method and aligner
IL97022A0 (en) * 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH0536595A (en) 1991-08-02 1993-02-12 Fujitsu Ltd Electron beam exposure method
JPH05267133A (en) 1992-03-17 1993-10-15 Hitachi Ltd Lithographic method for skew figure
JPH05335221A (en) 1992-05-28 1993-12-17 Fujitsu Ltd Method and device for exposure by charged particle beam
JPH0620931A (en) 1992-07-03 1994-01-28 Hitachi Ltd Method for electron beam exposure
JP3288794B2 (en) 1992-08-31 2002-06-04 株式会社東芝 Charge beam correction method and mark detection method
JPH0864522A (en) 1994-06-16 1996-03-08 Nikon Corp Charged particle beam transfer method
JP3203963B2 (en) 1994-07-15 2001-09-04 株式会社日立製作所 Electron beam drawing apparatus and electron beam drawing method
JP3340248B2 (en) 1994-08-12 2002-11-05 沖電気工業株式会社 Electron beam exposure method
JPH08195339A (en) 1995-01-18 1996-07-30 Hitachi Ltd Electron beam drawing method
JPH08222504A (en) 1995-02-14 1996-08-30 Hitachi Ltd Charged particle beam exposing device
JP3331822B2 (en) 1995-07-17 2002-10-07 ソニー株式会社 Mask pattern correction method, mask using the same, exposure method, and semiconductor device
JP3334441B2 (en) 1995-08-01 2002-10-15 ソニー株式会社 Photomask drawing pattern data correction method and correction device
JP3940824B2 (en) 1995-08-14 2007-07-04 株式会社ニコン Pattern transfer method and transfer apparatus using charged particle beam
JP3038141B2 (en) 1995-09-19 2000-05-08 ホーヤ株式会社 Resist pattern forming condition determining method and resist pattern forming method
JP2956577B2 (en) 1996-03-28 1999-10-04 日本電気株式会社 Electron beam exposure method
US5825039A (en) 1996-11-27 1998-10-20 International Business Machines Corporation Digitally stepped deflection raster system and method of use thereof
JP3085454B2 (en) 1997-03-13 2000-09-11 日本電気株式会社 Charged particle beam exposure method
JPH10294255A (en) 1997-04-17 1998-11-04 Canon Inc Electron-beam illumination apparatus and aligner provided with the electron-beam illumination apparatus
JP3350416B2 (en) 1997-10-01 2002-11-25 株式会社東芝 Pattern formation method
JPH11233401A (en) 1998-02-09 1999-08-27 Hitachi Ltd Method and device for electron beam lithography
JP3993334B2 (en) 1998-04-27 2007-10-17 株式会社東芝 Charged beam lithography system
AU3538599A (en) * 1998-04-28 1999-11-16 Nikon Corporation Exposure system and method of manufacturing micro device
JP3564298B2 (en) 1998-06-18 2004-09-08 株式会社東芝 Pattern evaluation method and pattern generation method using computer
JP2000066366A (en) 1998-08-19 2000-03-03 Nec Corp Photomask and its production
JP3076570B2 (en) 1998-08-24 2000-08-14 松下電子工業株式会社 Charged particle drawing method and charged particle drawing device
US6218671B1 (en) 1998-08-31 2001-04-17 Nikon Corporation On-line dynamic corrections adjustment method
JP2000091191A (en) 1998-09-09 2000-03-31 Nikon Corp Electron beam aligning mask, method therefor, and aligning device
JP2000269123A (en) 1999-03-19 2000-09-29 Toshiba Corp Generating method of exposure pattern data and charged-beam exposure system
US6610989B1 (en) 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
JP2001013671A (en) 1999-06-30 2001-01-19 Toshiba Corp Pattern forming method
US6262427B1 (en) 1999-07-15 2001-07-17 Nikon Corporation Variable transmission reticle for charged particle beam lithography tool
JP4521076B2 (en) * 1999-07-16 2010-08-11 株式会社東芝 Pattern drawing method
JP2001093809A (en) 1999-09-22 2001-04-06 Toshiba Corp Pattern lithography method and charged beam lithography system
JP2001144008A (en) 1999-11-17 2001-05-25 Nec Corp Electron beam exposure method, mask used therefor and electron beam aligner
US6320187B1 (en) 1999-12-07 2001-11-20 Nikon Corporation Magnification and rotation calibration patterns for particle beam projection system
KR100327343B1 (en) 2000-01-12 2002-03-06 윤종용 Correction method of dimension variation caused by re-scattered electron beam in electron beam lithography and recording medium in which the correction method is recorded
US6815693B2 (en) 2000-02-18 2004-11-09 Nikon Corporation Charged-particle-beam microlithography apparatus and methods including proximity-effect correction
JP2001305720A (en) 2000-02-18 2001-11-02 Nikon Corp Method for manufacturing medium to be transferred, memory medium storing program for forming pattern to be transferred and method for manufacturing semiconductor device
JP2001313253A (en) 2000-02-25 2001-11-09 Hitachi Ltd System and method of electron beam lithography
JP4196516B2 (en) 2000-03-08 2008-12-17 株式会社島津製作所 Liquid chromatograph
JP4522547B2 (en) 2000-06-21 2010-08-11 大日本印刷株式会社 Micromachining simulation method
US6433348B1 (en) 2000-07-25 2002-08-13 Applied Materials, Inc. Lithography using multiple pass raster-shaped beam
JP2002050559A (en) 2000-08-01 2002-02-15 Canon Inc Aligner and method for manufacturing device using the same
JP2002075830A (en) 2000-08-29 2002-03-15 Nikon Corp Charged-particle beam exposure method, reticle and manufacturing method of device
US6372391B1 (en) 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (en) 2000-09-27 2006-10-11 株式会社東芝 Exposure processing apparatus and exposure processing method
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
JP2002151387A (en) 2000-11-10 2002-05-24 Jeol Ltd Method for drawing electron beam
JP2002162566A (en) 2000-11-27 2002-06-07 Nikon Corp Method for designing optical system, the optical system and projection aligner
KR100594225B1 (en) 2000-12-27 2006-07-03 삼성전자주식회사 Electron-beam exposure method and patterning method using the same
JP2002217088A (en) 2001-01-17 2002-08-02 Nikon Corp Charged particle beam exposing system, method therefor and method for fabricating semiconductor device
JP2002217092A (en) 2001-01-22 2002-08-02 Nec Corp Method for forming resist pattern and method for fabricating semiconductor device
EP1249734B1 (en) 2001-04-11 2012-04-18 Fujitsu Semiconductor Limited Rectangle/lattice data conversion method for charged particle beam exposure mask pattern and charged particle beam exposure method
JP2002329659A (en) 2001-05-02 2002-11-15 Nikon Corp Charged particle beam exposure method, charged particle beam aligner and device manufacturing method
US6919164B2 (en) 2001-06-08 2005-07-19 The Penn State Research Foundation Patterning compositions using E-beam lithography and structures and devices made thereby
JP2003068625A (en) 2001-08-29 2003-03-07 Nikon Corp Method of simulating shape of resist pattern
US20030043358A1 (en) 2001-08-31 2003-03-06 Nikon Corporation Methods for determining focus and astigmatism in charged-particle-beam microlithography
US6767674B2 (en) 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP3686367B2 (en) 2001-11-15 2005-08-24 株式会社ルネサステクノロジ Pattern forming method and semiconductor device manufacturing method
JP4308467B2 (en) 2001-12-27 2009-08-05 新光電気工業株式会社 Exposure method and exposure apparatus
US6721939B2 (en) 2002-02-19 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd Electron beam shot linearity monitoring
JP4190796B2 (en) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 How to make exposure master
JP2003347192A (en) 2002-05-24 2003-12-05 Toshiba Corp Energy beam exposure method and exposure device
JP4327497B2 (en) 2002-06-26 2009-09-09 株式会社アドバンテスト Electron beam exposure apparatus, electron beam exposure method, semiconductor element manufacturing method, mask, and mask manufacturing method
JP2004040010A (en) * 2002-07-08 2004-02-05 Renesas Technology Corp Pattern drawing method
JP4252262B2 (en) 2002-07-11 2009-04-08 株式会社オクテック Method of manufacturing transfer mask for exposure
JP2004063546A (en) 2002-07-25 2004-02-26 Nikon Corp Electronic beam exposure method
JP2004134447A (en) 2002-10-08 2004-04-30 Sony Corp Method for exposure, mask, and method of manufacturing semiconductor device
EP2523207A3 (en) 2002-10-30 2015-08-26 Mapper Lithography IP B.V. Electron beam generator
US7160475B2 (en) * 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
US6998217B2 (en) 2003-01-06 2006-02-14 Applied Materials, Inc. Critical dimension edge placement and slope enhancement with central pixel dose addition and modulated inner pixels
EP1439420A1 (en) 2003-01-14 2004-07-21 ASML Masktools B.V. Simulation based method of optical proximity correction design for contact hole mask
CN100468196C (en) 2003-01-14 2009-03-11 Asml蒙片工具有限公司 Method and apparatus for providing optical proximity correction features to a reticle pattern for optical lithography
US7245356B2 (en) * 2003-02-11 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP2004273526A (en) 2003-03-05 2004-09-30 Nikon Corp Reticle manufacturing method, reticle, and charged particle beam exposure method
JP2004304031A (en) 2003-03-31 2004-10-28 Toshiba Corp Mask scanning lithography method
US7000207B2 (en) 2003-04-10 2006-02-14 Sioptical, Inc. Method of using a Manhattan layout to realize non-Manhattan shaped optical structures
JP4091470B2 (en) 2003-05-06 2008-05-28 株式会社東芝 Electron beam drawing apparatus and electron beam drawing method
JP4046012B2 (en) 2003-05-29 2008-02-13 ソニー株式会社 Mask distortion data generation method, exposure method, and semiconductor device manufacturing method
US7186486B2 (en) 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2005079111A (en) 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc Method, device, and program for creating electron beam lithography data and electron beam lithography equipment
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
EP1530083A3 (en) 2003-11-05 2006-03-01 ASML MaskTools B.V. OPC based on decomposition into eigen-functions
JP4247104B2 (en) 2003-12-18 2009-04-02 株式会社東芝 Pattern verification method, pattern verification system
KR100844872B1 (en) 2004-03-31 2008-07-09 호야 가부시키가이샤 An Electron Beam Drawing Method and a method of manufacturing lithography mask
JP4570400B2 (en) 2004-06-03 2010-10-27 富士通セミコンダクター株式会社 Exposure data creation method and exposure data creation apparatus
EP1612835A1 (en) 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
JP4603305B2 (en) 2004-07-21 2010-12-22 ルネサスエレクトロニクス株式会社 Exposure method, pattern dimension adjustment method, and focal blur amount acquisition method
JP4324049B2 (en) 2004-07-23 2009-09-02 富士通マイクロエレクトロニクス株式会社 Mask pattern correction apparatus and method, and exposure correction apparatus and method
US7536664B2 (en) 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
JP2006100336A (en) 2004-09-28 2006-04-13 Advantest Corp Electron beam exposure mask, electron beam exposure method and device
JP4398342B2 (en) 2004-10-06 2010-01-13 株式会社東芝 Charged beam drawing apparatus and drawing method
US7908572B2 (en) 2004-10-15 2011-03-15 Takumi Technology Corporation Creating and applying variable bias rules in rule-based optical proximity correction for reduced complexity
JP2006294794A (en) 2005-04-08 2006-10-26 Toshiba Corp Device and method for electronic beam exposure
US20060292501A1 (en) 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus
JP4808447B2 (en) 2005-08-01 2011-11-02 株式会社リコー Electron beam drawing method and electron beam drawing apparatus
US20080248408A1 (en) 2005-09-07 2008-10-09 Macdonald Susan S Photomask and Method for Forming a Non-Orthogonal Feature on the Same
JP2007108508A (en) * 2005-10-14 2007-04-26 Toshiba Corp Method for forming mask pattern, method and program for manufacturing mask
JP2007115999A (en) 2005-10-21 2007-05-10 Toshiba Corp Process and device for charged particle beam exposure employing character projection (cp) method, and program
JP4476975B2 (en) * 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus
KR100675301B1 (en) 2006-01-17 2007-01-29 삼성전자주식회사 Methods of forming pattern using electron beam and cell masks used in electron beam lithography
JP5063071B2 (en) 2006-02-14 2012-10-31 株式会社ニューフレアテクノロジー Pattern creating method and charged particle beam drawing apparatus
JP4984810B2 (en) 2006-02-16 2012-07-25 株式会社ニコン Exposure method, exposure apparatus, and photomask
JP4976071B2 (en) 2006-02-21 2012-07-18 株式会社ニューフレアテクノロジー Charged particle beam drawing method and charged particle beam drawing apparatus
JP4814651B2 (en) 2006-02-22 2011-11-16 富士通セミコンダクター株式会社 Charged particle beam exposure method and program used therefor
WO2007112465A1 (en) 2006-04-03 2007-10-11 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
JP2007305880A (en) 2006-05-12 2007-11-22 Toshiba Corp Character pattern extracting method, charged particle beam exposure method, and character pattern extracting program
US20070280526A1 (en) 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4866683B2 (en) 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 Semiconductor device manufacturing method, data creation apparatus, data creation method, and program
JP4843425B2 (en) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 Variable shaped electron beam lithography system
US7856612B1 (en) * 2006-09-28 2010-12-21 Gauda, Inc. Lithography mask design through mask functional optimization and spatial frequency analysis
JP4378648B2 (en) * 2006-10-06 2009-12-09 エルピーダメモリ株式会社 Irradiation pattern data creation method, mask manufacturing method, and drawing system
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
US8426832B2 (en) 2006-11-21 2013-04-23 D2S, Inc. Cell projection charged particle beam lithography
JP2008175959A (en) 2007-01-17 2008-07-31 Toshiba Corp Method for fabricating photomask, and method for manufacturing semiconductor device
US7824828B2 (en) 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
JP5133087B2 (en) 2007-02-23 2013-01-30 株式会社ニューフレアテクノロジー Manufacturing method of semiconductor device
JP2009004699A (en) * 2007-06-25 2009-01-08 Nec Electronics Corp Method and apparatus of manufacturing semiconductor device
GB2451480B (en) 2007-07-31 2011-11-02 Vistec Lithography Ltd Pattern writing on a rotaing substrate
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5090887B2 (en) 2007-12-18 2012-12-05 日本電子株式会社 Drawing method for electron beam drawing apparatus and electron beam drawing apparatus
US7754401B2 (en) 2008-09-01 2010-07-13 D2S, Inc. Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US8017288B2 (en) 2008-09-01 2011-09-13 D2S, Inc. Method for fracturing circular patterns and for manufacturing a semiconductor device
US7799489B2 (en) 2008-09-01 2010-09-21 D2S, Inc. Method for design and manufacture of a reticle using variable shaped beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
TWI506672B (en) 2008-09-01 2015-11-01 D2S Inc Method for fracturing and forming circular patterns on a surface and for manufacturing a semiconductor device
US7901845B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using character projection lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US8062813B2 (en) 2008-09-01 2011-11-22 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8017286B2 (en) * 2008-09-01 2011-09-13 D2S, Inc. Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
WO2010025031A2 (en) 2008-09-01 2010-03-04 D2S, Inc. Method for optical proximity correction, design and manufacturing of a reticle using character projection lithography
US7759027B2 (en) 2008-09-01 2010-07-20 D2S, Inc. Method and system for design of a reticle to be manufactured using character projection lithography
US7981575B2 (en) 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US20140353526A1 (en) 2008-09-01 2014-12-04 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
JP5739808B2 (en) 2008-09-01 2015-06-24 ディー・ツー・エス・インコーポレイテッドD2S, Inc. Method for optical proximity correction, design, and fabrication of reticles using variable shaped beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
DE102008062450B4 (en) 2008-12-13 2012-05-03 Vistec Electron Beam Gmbh Arrangement for illuminating a substrate with a plurality of individually shaped particle beams for high-resolution lithography of structural patterns
WO2010117626A2 (en) * 2009-03-31 2010-10-14 Christophe Pierrat Lithography modelling and applications
US8312406B2 (en) 2009-06-22 2012-11-13 Cadence Design Systems, Inc. Method and system performing RC extraction
JP5570774B2 (en) 2009-08-04 2014-08-13 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus and method
JP2011040716A (en) 2009-08-06 2011-02-24 Nikon Corp Exposure apparatus, exposure method, and device manufacturing method
WO2011021346A1 (en) 2009-08-21 2011-02-24 株式会社 日立ハイテクノロジーズ Pattern shape estimation method and pattern measuring device
JP2013502729A (en) 2009-08-21 2013-01-24 ディー・ツー・エス・インコーポレイテッド Method and apparatus for producing a surface with variable magnification using character projection lithography
TWI496182B (en) 2009-08-26 2015-08-11 D2S Inc Method and system for manufacturing a surface using charged particle beam lithography with variable beam blur
WO2011049740A1 (en) 2009-10-21 2011-04-28 D2S, Inc. Method and system for forming a pattern on a surface using charged particle beam lithography
US8492731B2 (en) 2009-10-26 2013-07-23 Mapper Lithography Ip B.V. Charged particle multi-beamlet lithography system with modulation device
US8221939B2 (en) 2009-12-26 2012-07-17 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
JP5289343B2 (en) 2010-01-15 2013-09-11 株式会社東芝 Exposure amount determination method, semiconductor device manufacturing method, exposure amount determination program, and exposure amount determination apparatus
JP2011197520A (en) 2010-03-23 2011-10-06 Toppan Printing Co Ltd Method for manufacturing photomask
KR101244525B1 (en) * 2010-04-20 2013-03-18 가부시키가이샤 뉴플레어 테크놀로지 Charged particle beam writing method and apparatus
EP2418672B1 (en) 2010-08-11 2013-03-20 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Multi-axis lens, beam system making use of the compound lens, and method of manufacturing the compound lens
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8193005B1 (en) 2010-12-13 2012-06-05 International Business Machines Corporation MEMS process method for high aspect ratio structures
US20120217421A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with overlapping shots
JP2012195095A (en) 2011-03-15 2012-10-11 Canon Inc Manufacturing method of charged particle beam lens
US9400857B2 (en) 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9038003B2 (en) * 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US20140129997A1 (en) 2012-11-08 2014-05-08 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110145769A1 (en) * 2006-01-11 2011-06-16 Olambda, Inc. Computational efficiency in photolithographic process simulation
US20110116067A1 (en) * 2008-07-07 2011-05-19 Brion Technologies, Inc. Illumination Optimization
US20110159435A1 (en) * 2009-12-26 2011-06-30 D2S, Inc. Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10031413B2 (en) 2011-09-19 2018-07-24 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9859100B2 (en) 2012-04-18 2018-01-02 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US10431422B2 (en) 2012-04-18 2019-10-01 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
CN107851315A (en) * 2015-08-10 2018-03-27 科磊股份有限公司 Device and method for prediction of wafer level defect printability
EP3210189A4 (en) * 2015-08-10 2018-06-06 KLA - Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US10304180B2 (en) 2015-08-10 2019-05-28 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
US11143955B2 (en) 2016-11-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
TWI747977B (en) * 2016-11-29 2021-12-01 台灣積體電路製造股份有限公司 Method of modeling a mask having patterns with arbitrary angles
CN109582995A (en) * 2017-09-28 2019-04-05 台湾积体电路制造股份有限公司 Manufacturing method for integrated curcuit and its manufacture system

Also Published As

Publication number Publication date
TWI605306B (en) 2017-11-11
KR102154105B1 (en) 2020-09-09
US8719739B2 (en) 2014-05-06
WO2013158573A1 (en) 2013-10-24
US20130283218A1 (en) 2013-10-24
US10031413B2 (en) 2018-07-24
US20160334700A1 (en) 2016-11-17
JP2015520408A (en) 2015-07-16
TW201346436A (en) 2013-11-16
US20130283219A1 (en) 2013-10-24
US9400857B2 (en) 2016-07-26
JP6234998B2 (en) 2017-11-22
KR20150001840A (en) 2015-01-06

Similar Documents

Publication Publication Date Title
US10031413B2 (en) Method and system for forming patterns using charged particle beam lithography
US8703389B2 (en) Method and system for forming patterns with charged particle beam lithography
US9038003B2 (en) Method and system for critical dimension uniformity using charged particle beam lithography
US8612901B2 (en) Method and system for forming patterns using charged particle beam lithography with multiple exposure passes
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9859100B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
US20120217421A1 (en) Method and system for forming patterns using charged particle beam lithography with overlapping shots
US8959463B2 (en) Method and system for dimensional uniformity using charged particle beam lithography
US8745549B2 (en) Method and system for forming high precision patterns using charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US20150104737A1 (en) Method and System for Forming Non-Manhattan Patterns Using Variable Shaped Beam Lithography

Legal Events

Date Code Title Description
AS Assignment

Owner name: D2S, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FUJIMURA, AKIRA;AADAMOV, ANATOLY;KHALIULLIN, ELDAR;AND OTHERS;SIGNING DATES FROM 20130513 TO 20130724;REEL/FRAME:030870/0909

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION