US20130292777A1 - Structure for FinFETs - Google Patents

Structure for FinFETs Download PDF

Info

Publication number
US20130292777A1
US20130292777A1 US13/463,687 US201213463687A US2013292777A1 US 20130292777 A1 US20130292777 A1 US 20130292777A1 US 201213463687 A US201213463687 A US 201213463687A US 2013292777 A1 US2013292777 A1 US 2013292777A1
Authority
US
United States
Prior art keywords
transistor
fin
gate
line
fin line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/463,687
Other versions
US9041115B2 (en
Inventor
Jhon-Jhy Liaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US13/463,687 priority Critical patent/US9041115B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIAW, JHON-JHY
Priority to KR1020120076086A priority patent/KR20130124129A/en
Priority to CN201210265529.7A priority patent/CN103383964B/en
Priority to DE102012108290A priority patent/DE102012108290B4/en
Priority to TW102115835A priority patent/TWI560854B/en
Publication of US20130292777A1 publication Critical patent/US20130292777A1/en
Priority to KR1020140070263A priority patent/KR101531795B1/en
Priority to US14/721,559 priority patent/US9502419B2/en
Publication of US9041115B2 publication Critical patent/US9041115B2/en
Application granted granted Critical
Priority to US15/357,972 priority patent/US9831253B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Abstract

An SRAM array is formed by a plurality of FinFETs formed by fin lines. Each fin line is formed in a substrate, wherein a bottom portion of the fin line is enclosed by an isolation region and an upper portion of the fin line protrudes above a top surface of the isolation region. From a first cross sectional view of the SRAM array, each fin line is of a rectangular shape. From a second cross sectional view of the SRAM array, the terminals of each fin line is of a tapered shape.

Description

    BACKGROUND
  • The semiconductor industry has experienced rapid growth due to continuous improvements in the integration density of a variety of electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density has come from repeated reductions in minimum feature size, which allows more components to be integrated into a given area. However, the smaller feature size may lead to more leakage current. As the demand for even smaller electronic devices has grown recently, there has grown a need for reducing leakage current of semiconductor devices.
  • In a complementary metal oxide semiconductor (CMOS) field effect transistor (FET), active regions include a drain, a source, a channel region connected between the drain and the source, and a gate on top of the channel to control the on and off state of the channel region. When the gate voltage is more than a threshold voltage, a conductive channel is established between the drain and the source. As a result, electrons or holes are allowed to move between the drain and source. On the other hand, when the gate voltage is less than the threshold voltage, ideally, the channel is cut off and there are no electrons or holes flowing between the drain and the source. However, as semiconductor devices keep shrinking, due to the short channel leakage effect, the gate cannot fully control the channel region, especially the portion of the channel region which is far away from the gate. As a consequence, after semiconductor devices are scaled into deep sub-30 nanometer dimensions, the corresponding short gate length of conventional planar transistors may lead to the inability of the gate to substantially turn off the channel region.
  • As semiconductor technologies evolve, fin field effect transistors (FinFETs) have emerged as an effective alternative to further reduce leakage current in semiconductor devices. In a FinFET, an active region including the drain, the channel region and the source protrudes up from the surface of the semiconductor substrate upon which the FinFET is located. The active region of the FinFET, like a fin, is rectangular in shape from a cross section view. In addition, the gate structure of the FinFET wraps the active region around three sides like an upside-down U. As a result, the gate structure's control of the channel has become stronger. The short channel leakage effect of conventional planar transistors has been reduced. As such, when the FinFET is turned off, the gate structure can better control the channel so as to reduce leakage current.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present disclosure, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a layout diagram of a semiconductor device having a plurality of FinFET transistors in accordance with an embodiment;
  • FIG. 2 illustrates a cross sectional view of the semiconductor device of FIG. 1 along the dashed line A-A′ shown in FIG. 1;
  • FIG. 3 illustrates a cross sectional view of the semiconductor device of FIG. 1 along the line B-B′ in FIG. 1;
  • FIG. 4 illustrates a layout diagram of a FinFET transistor array in accordance with an embodiment;
  • FIG. 5 illustrates a layout diagram of a FinFET transistor array in accordance with another embodiment;
  • FIG. 6 illustrates a cross sectional view of the semiconductor device of FIG. 5 along the line C-C′ in FIG. 5;
  • FIG. 7 illustrates a circuit diagram of a six transistor (6T) SRAM cell according to an embodiment;
  • FIG. 8 illustrates a layout diagram of two adjacent SRAM cells in accordance with an embodiment;
  • FIG. 9 further illustrates a cross sectional view of the SRAM cell along the dashed line D-D′ shown in FIG. 8;
  • FIG. 10 illustrates a cross sectional view of the SRAM cell along the dashed line E-E′ shown in FIG. 8;
  • FIG. 11 illustrates a cross sectional view of the SRAM cell along the dashed line D-D′ shown in FIG. 8 according to another embodiment;
  • FIG. 12 illustrates a cross sectional view of the SRAM cell along the dashed line E-E′ shown in FIG. 8;
  • FIG. 13 illustrates a circuit diagram of a single port SRAM bit cell according to an embodiment;
  • FIG. 14 illustrates an equivalent circuit of the SRAM cell shown in FIG. 13;
  • FIG. 15 illustrates a circuit diagram of an SRAM array having one column and two rows in accordance with an embodiment;
  • FIG. 16 illustrates a layout diagram of the SRAM cell shown in FIG. 13;
  • FIG. 17 illustrates a layout diagram of an SRAM array of two rows and two columns in accordance with an embodiment;
  • FIG. 18 illustrates a cross sectional view of the SRAM cell along the dashed line F-F′ shown in FIG. 17;
  • FIG. 19 illustrates a layout diagram of the SRAM cell shown in FIG. 13 in accordance with another embodiment;
  • FIG. 20 illustrates a layout diagram of an SRAM array having two rows and two columns in accordance with another embodiment;
  • FIG. 21 illustrates a layout diagram of an SRAM cell in accordance with an embodiment;
  • FIG. 22 illustrates a layout diagram of an SRAM cell in accordance with another embodiment; and
  • FIG. 23 illustrates a layout diagram of an SRAM cell in accordance with yet another embodiment.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the various embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the present embodiments are discussed in detail below. It should be appreciated, however, that the present disclosure provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the embodiments of the disclosure, and do not limit the scope of the disclosure.
  • The present disclosure will be described with respect to embodiments in a specific context, a fin field effect transistor (FinFET) having a tapered shape at its terminals. The embodiments of the disclosure may also be applied, however, to a variety of semiconductor devices. Hereinafter, various embodiments will be explained in detail with reference to the accompanying drawings.
  • FIG. 1 illustrates a layout diagram of a semiconductor device having a plurality of FinFET transistors in accordance with an embodiment. The semiconductor device 100 includes two portions. The first portion 102 may be formed over an n-well. The second portion 104 is formed over a p-well. As person having ordinary skill in the art will readily understand that a drain/source region of a fin transistor is generally doped an opposite dopant type from the dopant type of the well in which the drain/source region is formed. For example, a drain/source region of a fin transistor is generally p-type doped when the well in which the active area is formed is an n-type well.
  • As shown in FIG. 1, the semiconductor device 100 may comprise four gate regions extending parallel from left to right across the first portion 102 and the second portion 104. The semiconductor device 100 may comprise six active regions. In particular, the first portion 102 comprises three active regions. In accordance with an embodiment, the active regions of the first portion 102 are a fin shaped structure (not shown but illustrated in FIG. 2) protruding over the surface of the semiconductor substrate. As shown in FIG. 1, the active regions are formed in parallel. Likewise, the second portion 104 comprises three active regions. In accordance with an embodiment, the active regions of the second portion 104 are a fin shaped structure protruding over the surface of the semiconductor substrate. As shown in FIG. 1, the gate regions and the active regions are orthogonal to each other. A transistor is formed at the cross point of a gate region and an active region.
  • The semiconductor device 100 may further comprise various contacts such as gate contact 122 and gate contact 124 formed over the gate regions. The contacts including gate contacts shown in FIG. 1 may be employed to couple different active regions of the semiconductor device 100. In accordance with an embodiment, the contacts can comprise any acceptable conductive material, such as a doped semiconductor or metal, such as copper, titanium, tungsten, aluminum, or the like.
  • FIG. 2 illustrates a cross sectional view of the semiconductor device of FIG. 1 along the dashed line A-A′ shown in FIG. 1. As shown in FIG. 2, there may be six FinFETs formed over a substrate 202. The substrate 202 may be a silicon substrate. Alternatively, the substrate 202 may comprise other semiconductor materials such as germanium, compound semiconductor materials such as silicon carbide, gallium arsenide, indium arsenide, indium phosphide and the like. In accordance with an embodiment, the substrate 202 may be a crystalline structure. In accordance with another embodiment, the substrate 202 may be a silicon-on-insulator (SOI) substrate.
  • An n-well region 212 and a p-well region 214 are formed in the substrate 202. Referring back to FIG. 1, the first portion 102 of the semiconductor device 100 is formed over the n-well region 212. Likewise, the second portion 104 of the semiconductor device 100 is formed over the p-well region 214. Three fin structures 242 are formed over the n-well 212. As shown in FIG. 2, each fin structure protrudes up from the surface of the n-well 212. The fin structure is rectangular in shape from a cross section view. In addition, the gate electrode 232 wraps each fin structure around three sides like an upside-down U. It should be noted that there is a gate dielectric layer formed between the fin structure and the gate electrode. It should further be noted that while FIG. 2 shows the fin structure is rectangular in shape, the sidewall of the fin structure may not be a vertical line. The fin structure may be of a trapezoidal shape. In accordance with an embodiment, the bottom interior angle of the trapezoidal shape is greater than 86 degrees.
  • Likewise, three fin structures 244 are formed over the p-well 214. As shown in FIG. 2, each fin structure protrudes up from the surface of the p-well 214. The fin structure is rectangular in shape from a cross section view. In addition, the gate electrode 234 wraps each fin structure around three sides like an upside-down U. In addition, there may be a gate contact 124 formed over the gate electrode 234.
  • As shown in FIG. 2, the fin structures (e.g. fin structures 242 and 244) are partially enclosed by an isolation region 222. More particularly, the bottom portions of the fin structures (e.g., bottom portions of the fin structure 242) are embedded in the isolation region 222. In accordance with an embodiment, the isolation region 222 may be implemented by using a shallow trench isolation (STI) structure.
  • The STI structures (e.g., isolation region 222) may be fabricated by using suitable techniques including photolithography and etching processes. In particular, the photolithography and etching processes may comprise depositing a commonly used mask material such as photoresist over the substrate 202, exposing the mask material to a pattern, etching the substrate 202 in accordance with the pattern. In this manner, a plurality of openings may be formed as a result. The openings are then filled with dielectric materials to form the STI structures (e.g., isolation region 222). A chemical mechanical polishing (CMP) process is then performed to remove excess portions of the dielectric materials, and the remaining portions are the isolation region.
  • FIG. 3 illustrates a cross sectional view of the semiconductor device of FIG. 1 along the line B-B′ in FIG. 1. In FIG. 3, gate structures 312 and 314 are formed over the fin line 306. The gate structures 312 and 314 may each include a gate dielectric, a gate electrode, and dielectric sidewall spacers. The gate dielectric and gate electrode can be formed by depositing a dielectric layer and an electrode layer sequentially on the substrate 202 and etching the layers into the patterned gate dielectric and gate electrode. A dielectric layer can then be conformally deposited and etched to form the dielectric sidewall spacers. A person having ordinary skill in the art will readily understand acceptable materials and processes for forming these components.
  • FIG. 3 further illustrates other two gate structures 316 and 318 partially formed over the fin line 306. In other words, the terminals of the fin line 306 are embedded in the gate structure 316 and 318 respectively. Referring back to FIG. 1, the end of the fin line is wrapped by the gate region from four sides. As shown in FIG. 1, the end of the fin line is embedded in the gate region. The cross section view shows the terminals of the embedded fin line has a tapered shape. More particularly, from the cross sectional view of FIG. 3, the bottom interior angle of the tapered shape is less than 83 degrees.
  • In FIG. 3, drain/source regions 322 are formed. The drain/source regions 322 can be formed by etching openings in the drain/source regions of the fin 306 and epitaxially growing the drain/source regions 322. The drain/source regions 322 can comprise, for example, silicon germanium (SiGe) for a p-type transistor or silicon carbon (SiC) for an n-type transistor, although other materials may be used.
  • In accordance with an embodiment, when the FinFET is a p-type transistor, an epitaxial growth material of the drain/source regions 322 is selected from a group consisting of SiGe, SiGeC, Ge, Si, III-V compound semiconductor materials and any combinations thereof. On the other hand, when the FinFET is an n-type transistor, an epitaxial growth material of the drain/source regions 322 is selected from a group consisting of SiP, SiC, SiPC, Si, III-V compound semiconductor materials and any combinations thereof.
  • The drain/source regions 322 can be appropriately doped after the epitaxial growth or can be in situ doped during the growth. After the drain/source regions 322 are formed, an additional sidewall spacer can be formed on the sidewalls of the gate structures (e.g., gate structure 312). The spacers can be formed by conformally depositing a dielectric layer over the substrate 202 and etching.
  • The semiconductor device may further comprise an interlayer dielectric layer (not shown) formed over the substrate 202 and fin 306. The interlayer dielectric layer is planarized to a top surface of the gate structures, such as by a chemical mechanical polish (CMP). Contact openings are etched, and a conductive material is deposited in the contact openings and over the interlayer dielectric layer.
  • The conductive material is planarized to a top surface of the interlayer dielectric layer, such as by a chemical mechanical polish (CMP), leaving conductive material in the contact openings to form contacts 332. The etching and deposition can be by any acceptable etching and deposition process, respectively.
  • The contacts 332 can comprise any acceptable conductive material, such as a doped semiconductor or metal, such as copper, titanium, tungsten, aluminum, or the like. Further, a barrier layer (not shown) may be formed between the conductive material and the interlayer dielectric layer, and an etch stop layer (not shown) may be formed over the substrate 202 under the interlayer dielectric layer. A person having ordinary skill in the art will readily understand appropriate processes and materials used for forming these components.
  • An advantageous feature of having a taper shaped fin terminal is that the taper shaped fin terminal helps to reduce the electrical field between the fin end and the dummy gate electrode (e.g., gate 316 and 318). As a result, the FinFET has uniform characteristics. Such uniform characteristics help to improve the speed and function of the FinFET.
  • FIG. 4 illustrates a layout diagram of a FinFET transistor array in accordance with an embodiment. The FinFET transistor array 400 includes two transistor cells, namely transistor cell 402 and transistor cell 404. Each transistor cell of FIG. 4 is similar to the semiconductor device 100 shown in FIG. 1, and hence is not discussed in further detail herein. It should be noted that the fin lines in FIG. 4 are not continuous between adjacent transistor cells. In order to further improve isolation between different FinFET transistors, the fin lines do not extend into the adjacent transistor cell. Instead, the fin line stops at the dummy gates (e.g., dummy gates 412, 414, 416 and 418).
  • It should further be noted that the dummy gates (e.g., dummy gates 412, 414, 416 and 418) may be coupled to ground when a fin line forms an n-type transistor on a p-well. On the other hand, the dummy gates may be coupled to a high voltage potential when a fin line forms a p-type transistor on an n-well.
  • FIG. 5 illustrates a layout diagram of a FinFET transistor array in accordance with another embodiment. The FinFET transistor array includes two transistor cells, namely transistor cell 502 and transistor cell 504. Each transistor cell of FIG. 5 is similar to the transistor cell shown in FIG. 4 except that the end of the fin line of each transistor cell is not embedded in the dummy gate. Instead, the fin line extends outside the gate region and forms a floating node. In comparison with the fin line shown in FIG. 1, the fin line structure shown in FIG. 5 helps to prevent the gate dielectric breakdown problem. As a result, the reliability of the FinFET is improved.
  • FIG. 6 illustrates a cross sectional view of the semiconductor device of FIG. 5 along the line C-C′ in FIG. 5. The cross section view of FIG. 6 is similar to the cross sectional view shown in FIG. 3 except that the terminals of the fin line of each transistor cell is not embedded in the dummy gates. As shown in FIG. 6, the terminals of the fin lines (e.g., terminals 612, 614 and 616) are of a tapered shape. In addition, the gate structures (e.g., gate structures 622, 624, 626 and 628) are not formed on the sidewalls of the fin lines. Instead, the gate structures are formed over the top surface of the fin lines.
  • FIG. 7 illustrates a circuit diagram of a six transistor (6T) SRAM cell according to an embodiment. The SRAM cell 700 comprises a first inverter formed by a pull-up p-type metal oxide semiconductor (PMOS) transistor PU1 and a pull-down n-type metal oxide semiconductor (NMOS) transistor PD1. The SRAM cell 700 further comprises a second inverter formed by a pull-up PMOS transistor PU2 and a pull-down NMOS transistor PD2. Furthermore, both the first inverter and second inverter are coupled between a voltage bus VCC and a ground potential VSS.
  • As shown in FIG. 7, the first inverter and the second inverter are cross-coupled. That is, the first inverter has an input connected to the output of the second inverter. Likewise, the second inverter has an input connected to the output of the first inverter. The output of the first inverter is referred to as a storage node SN. Likewise, the output of the second inverter is referred to as a storage node SNB. In a normal operating mode, the storage node SN is in the opposite logic state as the storage node SNB. By employing the two cross-coupled inverters, the SRAM cell 700 can hold the data using a latched structure so that the stored data will not be lost without applying a refresh cycle.
  • In an SRAM array (not shown) using the 6T SRAM cells, the cells are arranged in rows and columns. The columns of the SRAM array are formed by a bit line pairs, namely a first bit line BL and a second bit line BLB. In addition, the cells of the SRAM array are disposed between the respective bit line pairs. As shown in FIG. 7, the SRAM cell 700 is placed between the bit line BL and the bit line BLB.
  • As shown in FIG. 7, the SRAM cell 700 further comprises a first pass-gate transistor PG1 connected between the bit line BL and the output of the first inverter. The SRAM cell 700 further comprises a second pass-gate transistor PG2 connected between the bit line BLB and the output of the second inverter. The gates of the first pass-gate transistor PG1 and the second pass-gate transistor PG2 are connected to a word line (WL).
  • As shown in the circuit diagram of FIG. 7, transistors PU1, PU2 are p-type transistors. Transistors PU1 and PU2 can be implemented by a variety of p-type transistors such as planar p-type field effect transistors (PFETs), p-type fin field effect transistors (FinFETs) or the like. Transistors PD1, PD2, PG1, and PG2 are n-type transistors. Transistors PD1, PD2, PG1 and PG2 can be implemented by a variety of n-type transistors such as planar n-type field effect transistors (NFETs), n-type FinFETs or the like.
  • In operation, if the pass-gate transistors PG1 and PG2 are inactive, the SRAM cell 700 will maintain the complementary values at storage nodes SN and SNB indefinitely. This is so because each inverter of the pair of cross coupled inverters drives the input of the other, thereby maintaining the voltages at the storage nodes. This situation will remain stable until the power is removed from the SRAM, or, a write cycle is performed changing the stored data at the storage nodes.
  • During a WRITE operation, bit lines BL and BLB are set to opposite logic values according to the new data that will be written into the SRAM cell 700. For example, in an SRAM write operation, a logic state “1” stored in a data latch of the SRAM cell 700 can be reset by setting BL to “0” and BLB to “1”. In response to a binary code from a row decoder (not shown), a word line coupled to the pass-gate transistors of the SRAM cell 700 is asserted so that the data latch is selected to proceed to a WRITE operation.
  • After the SRAM cell 700 is selected, both the first pass-gate transistor PG1 and the second pass-gate transistor PG2 are turned on. As a result, the storage nodes SN and SNB are connected to BL and BLB respectively. Furthermore, the storage node SN of the data latch is discharged by BL to “0” and the other storage node of the data latch is charged by BLB to “1”. As a result, the new data logic “0” is latched into the SRAM cell 700.
  • In a READ operation, both BL and BLB of the SRAM cell 700 are pre-charged to a voltage approximately equal to the operating voltage of the memory bank in which the SRAM cell 700 is located. In response to a binary code from the row decoder, a word line coupled to the first pass-gate PG1 and the second pass-gate PG2 of the SRAM cell 700 is asserted so that the data latch is selected to proceed to a READ operation.
  • During a READ operation, through a turned on pass-gate transistors PG1 and PG2, one bit line coupled to the storage node storing a logic “0” is discharged to a lower voltage. Meanwhile, the other bit line remains the pre-charged voltage because there is no discharging path between the other bit line and the storage node storing a logic “1”. The differential voltage between BL and BLB (approximately in a range from 50 to 100 mV) is detected by a sense amplifier (not shown). Furthermore, the sense amplifier amplifies the differential voltage and reports the logic state of the memory cell via a data buffer.
  • FIG. 8 illustrates a layout diagram of two adjacent SRAM cells in accordance with an embodiment. As is known to those skilled in the art, when cells (e.g., SRAM cells 802 and 804) are arranged together to form an array, the cell layouts may be flipped or rotated to enable higher packing densities. Often by flipping the cell over a cell boundary or axis and placing the flipped cell adjacent the original cell, common nodes and connections can be combined to increase packing density.
  • The bottom portion of FIG. 8 illustrates a layout diagram of the SRAM cell shown in FIG. 7 in accordance with an embodiment. As shown in FIG. 8, there may be four active areas, each of which is formed by a fin line. The active regions extend parallel in a y-direction shown in FIG. 8 across the width of the SRAM cell 802. The bottom portion of FIG. 8 further illustrates four gate regions. The gate regions extend parallel in the x-direction shown in FIG. 8 along the length of the SRAM cell 802. In addition, the fin lines are orthogonal to the gate regions in the layout diagram. A transistor is formed at a cross point of a fin line and a gate region. As shown in FIG. 8, the six transistors of the SRAM cell are formed at different cross points. For example, the first pass-gate transistor PG1 is formed at the cross point of between the first fin line and the gate region labeled as PG1.
  • Two vertical dashed lines that intersect the SRAM cell 802 indicate boundaries between a p-type well in the substrate and an n-type well in the substrate in which respective fin transistors are formed. As person having ordinary skill in the art will readily understand that a drain/source region of a fin transistor is generally doped an opposite dopant type from the dopant type of the well in which the drain/source region is formed. For example, a source/drain region of a fin transistor is generally p-type doped when the well in which the active area is formed is an n-type well.
  • As shown in FIG. 8, the active areas of transistors PG1 and PD1 is formed in a p-type well. As a result, these transistors are n-type transistors. The active areas of transistors PU1 and PU2 are formed in an n-type well. As a result, these transistors are p-type transistors. The active areas of transistors PD2 and PG2 are formed in a p-type well. Similarly, these transistors are n-type transistors.
  • As shown in FIG. 8, a single gate region is used as the gates of transistors PD1 and PU1. Another single gate region is used as the gates of transistors PD2 and PU2. In this manner, each single gate region electrically couples the gates of the respective two transistors. In FIG. 8, a single gate region is dedicated to the pass-gate transistor PG1. Another single gate region is dedicated to the pass-gate transistor PG2. However, a person skilled in the art should recognize that the single gate region dedicated to the pass-gate transistor PG1 may extend beyond a cell boundary so that the gate region can be shared by an adjacent SRAM cell (not shown), as does the gate region for the pass-gate transistor PG2.
  • Various contacts and their corresponding interconnect vias may be employed to couple components in the SRAM cell 802. Through a via and a gate contact, a word line contact WL may be coupled to the gate of pass-gate transistor PG1, and another word line contact WL is coupled to the gate of pass-gate transistor PG2. Likewise, a bit line contact BL is coupled to the drain of pass-gate transistor PG1, and a complementary bit line contact BLB is coupled to the drain of pass-gate transistor PG2.
  • A power source contact VCC is coupled to the source of the pull-up transistor PU1, and another power source contact VCC is coupled to the source of the pull-up transistor PU2. A ground contact VSS is coupled to the source of the pull-down transistor PD1, and another ground contact VSS is coupled to the source of the pull-down transistor PD2. A storage node contact SN couples together the source of transistor PG1 and the drains of transistors PD1 and PU1. Another storage node contact SNB couples together the source of transistor PG2, and the drains of transistors PD2 and PU2.
  • The SRAM cell 804 is a duplicate cell but flipped over the X axis at the top of the SRAM cell 802. The common features BL, VCC, and VSS, are combined to save space. Thus the two cells pack into a space that is less than twice the cell boundary area. The N-wells are combined and extend in the Y direction, as do the P-wells.
  • FIG. 8 further illustrates in the p-well region, a continuous fin line is shared by two adjacent SRAM cells. In contrast, in the n-well region, a discontinuous fin line is employed to form transistors. For example, the PU1 of the SRAM cell 802 and the PU1 of the SRAM cell 804 are formed by two different fin lines. More particularly, in the SRAM cell 802, PU1 is formed at the cross point between a discontinuous fin line and its corresponding gate region. A first drain/source region of PU1 is coupled to VCC through a contact. A second drain/source region of PU1 is coupled to the storage node SN.
  • FIG. 9 further illustrates a cross sectional view of the SRAM cell along the dashed line D-D′ shown in FIG. 8. As shown in FIG. 9, the cross sectional view of fin lines 814 shows that each fin line (e.g., fin lines PG, Dummy, PU and PD) is of a rectangular shape. The upper portion of the fin protrudes over the top surface of the isolation region 812. Moreover, the gate regions wrap the upper portions of the fin lines around three sides. As a result, the gate structure can better control the channel so as to reduce leakage current.
  • It should be noted while FIG. 9 shows that each fin line is of a rectangular shape from a cross sectional view, due to operational or processing variations, the fin line may be of a slightly different shape such as a trapezoidal shape. In accordance with an embodiment, if the fin line is of a trapezoidal shape, the bottom interior angle of the trapezoidal shape is greater than 86 degrees. It should further be noted that the height of the fin lines shown in FIG. 9 is defined as a first STI depth. The detailed definition of the first STI depth will be described below with respect to FIG. 10.
  • FIG. 10 illustrates a cross sectional view of the SRAM cell along the dashed line E-E′ shown in FIG. 8. The cross sectional view of FIG. 10 is similar to the cross sectional view shown in FIG. 3 except that a plurality of butt contacts are employed to couple the contacts of the drain/source regions and the dummy gate structures. In addition, the height of the fin line is defined as a second STI depth. In accordance with an embodiment, the ratio between the first STI depth shown in FIG. 9 and the second STI depth shown in FIG. 10 is approximately equal to 1.3.
  • FIG. 11 illustrates a cross sectional view of the SRAM cell along the dashed line D-D′ shown in FIG. 8 according to another embodiment. The fin line is formed by two portions. Each fin comprises an upper rectangle stacked on top of a bottom trapezoid. In accordance with an embodiment, the bottom interior angle of the trapezoidal region is in a range from about 86 degrees to about 90 degrees.
  • It should be noted that the fin shape shown in FIG. 11 is merely an example, which should not unduly limit the scope of the claims. One of ordinary skill in the art would recognize many variations, alternatives, and modifications. For example, due to processing and operational variations, either the upper portion or the bottom portion may be of a shape similar to a trapezoid or a rectangle. A skilled person in the art will appreciate that a fin structure having a minor variation in shape is fully intended to be included within the scope of the present disclosure.
  • As shown in FIG. 11, the height of the upper portion of the fin line is defined as a third STI depth. Likewise, the height of the fin line is defined as a fourth STI depth. In accordance with an embodiment, the ratio between the fourth STI depth and the third STI depth is approximately equal to 2. One advantageous feature of having a wider bottom trapezoid is that the well resistance of the FinFET is improved because the wider width of the bottom rectangle helps to reduce the well resistance.
  • In accordance with an embodiment, in order to achieve better transistor threshold tuning, anti-punch through and well isolation, the upper portion of the upper rectangle and the upper portion of the bottom trapezoid may have different doping concentrations. For example, the upper portion of the rectangle may have a higher doping concentration than the upper portion of the rectangle.
  • FIG. 12 illustrates a cross sectional view of the SRAM cell along the dashed line E-E′ shown in FIG. 8. The cross sectional view of FIG. 12 is similar to the cross sectional view shown in FIG. 10, and hence is not discussed in further detail herein. As shown in FIG. 12, the height of the fin line is defined as a fifth STI depth. In accordance with an embodiment, the ratio between the fourth STI depth shown in FIG. 11 and the fifth STI depth shown in FIG. 12 is approximately equal to 1.3.
  • FIG. 13 illustrates a circuit diagram of a single port SRAM bit cell according to an embodiment. The cell includes pull-up transistors PU1 and PU2, pull-down transistors PD1 and PD2, pass-gate transistors PG1 and PG2, and dummy transistors dummy-1 and dummy-2. As show in the circuit diagram, transistors PU1, PU2, IS1, and IS2 are p-type transistors, such as planar p-type field effect transistors (PFETs) or p-type fin field effect transistors (FinFETs), and transistors PD1, PD2, PG1, and PG2 are n-type transistors, such as planar n-type field effect transistors (NFETs) or n-type FinFETs.
  • The drains of pull-up transistors PU1 and pull-down transistor PD1 are coupled together, and the drains of pull-up transistor PU2 and pull-down transistor PD2 are coupled together. Transistors PU1 and PD1 are cross-coupled with transistors PU2 and PD2 to form a data latch. The gates of transistors PU1 and PD1 are coupled together and to the drains of transistors PU2 and PD2, and the gates of transistors PU2 and PD2 are coupled together and to the drains of transistors PU1 and PD1. Sources of the pull-up transistors PU1 and PU2 are coupled to power voltage Vdd, and the sources of the pull-down transistors PD1 and PD2 are coupled to a ground voltage Vss.
  • Storage node N1 of the data latch is coupled to bit line BL through pass-gate transistor PG1, and storage node N2 is coupled to complementary bit line BLB through pass-gate transistor PG2. Storage nodes N1 and N2 are complementary nodes that are often at opposite logic levels (logic high or logic low). Gates of pass-gate transistors PG1 and PG2 are coupled to a word line WL. The source and gate of the dummy transistor dummy-1 are coupled together and to the storage node N1, and the source and gate of the dummy transistor dummy-2 are coupled together and to the storage node N2. Drains of the dummy transistors dummy-1 and dummy-2 are depicted as floating, but may be coupled to respective dummy transistors in adjacent cells.
  • FIG. 14 illustrates an equivalent circuit of the SRAM cell shown in FIG. 13. The cross coupled inverters shown in FIG. 13 can be replaced by two inverters. As shown in FIG. 14, the first inverter's output is coupled to the second inverter's input. Likewise, the second inverter's output is coupled to the input of the first inverter. As such, the logic state of the SRAM cell can be reliably maintained.
  • FIG. 15 illustrates a circuit diagram of an SRAM array having one column and two rows in accordance with an embodiment. The SRAM array 1500 includes two SRAM cells. Each SRAM cell has a structure similar to that shown in FIG. 14, and hence is not discussed in further detail to avoid unnecessary repetition.
  • FIG. 16 illustrates a layout diagram of the SRAM cell shown in FIG. 13. In FIG. 16, an active area extends across the width of the cell in a p-type well to form components of transistors PG1 and PD1, and similarly, another active area extends across the width of the cell in a p-type well to form components of transistors PG2 and PD2. Likewise, in an n-type well, PU1 and Dummy1 are formed at the cross points between the first fin line and two gate regions respectively. The source and gate of Dummy1 are coupled together and to the storage node SN. The drain of Dummy1 is depicted as floating, but may be coupled to respective dummy transistors in adjacent cells. Similarly, PU2 and Dummy2 are formed at the cross points between the second fin line and two gate regions respectively. The source and gate of Dummy2 are coupled together and to the storage node SNB. The drain of Dummy2 is depicted as floating, but may be coupled to respective dummy transistors in adjacent cells.
  • FIG. 17 illustrates a layout diagram of an SRAM array of two rows and two columns in accordance with an embodiment. Each SRAM cell of FIG. 17 is similar to the SRAM cell 1600 shown in FIG. 16, and hence is not discussed in further detail herein. The SRAM array 1700 has two columns and two rows of SRAM cells. As shown in FIG. 17, dummy transistors are formed in the SRAM array in an alternating manner. In particular, the dummy transistors in one SRAM cell are symmetrical to the dummy transistors in its adjacent SRAM cell. In other words, the dummy transistors in the SRAM array are minor images along a border between the adjacent cells.
  • FIG. 17 further illustrates that pull down transistors and pass-gate transistors of the SRAM array are formed by continuous fin lines. In other words, the continuous fin lines extend throughout an array of SRAM cells. In contrast, the pull up transistors of the SRAM array are formed by discontinuous fin lines. In other words, the discontinuous fin lines cannot extend throughout the array of SRAM cells. One advantageous feature of having continuous fin lines is that the continuous fin lines can extend across multiple SRAM cells without being interrupted by an isolation area. This configuration can improve the uniformity of an array layout, and thereby, avoid lithography problems that may arise in forming the active areas, particularly fins for FinFET active areas and in small technology nodes.
  • FIG. 17 further illustrates dummy transistors formed in a symmetrical manner. One advantageous of having dummy transistor arranged in a symmetrical manner is that the coupling capacitance at bit lines of two adjacent SRAM cells is better balanced. Such balanced coupling capacitance helps to further improve the speed and function of an SRAM array. In addition, the dummy transistors arranged in a symmetrical manner shown in FIG. 17 help to improve other SRAM electrical characteristics such as operation speed, cell matching, minimum operational voltage and the like.
  • FIG. 18 illustrates a cross sectional view of the SRAM cell along the dashed line F-F′ shown in FIG. 17. The cross sectional view of FIG. 18 is similar to the cross sectional view shown in FIG. 6, and hence is not discussed in further detail herein.
  • FIG. 19 illustrates a layout diagram of the SRAM cell shown in FIG. 13 in accordance with another embodiment. The layout diagram of FIG. 19 is similar to that of FIG. 17 except that the transistors in the p-type wells are formed by two active areas. In FIG. 19, two active areas extend across the width of the cell in a p-type well to form components of transistors PG1 and PD1, and similarly, two active areas extend across the width of the cell in a p-type well to form components of transistors PG2 and PD2. Various modifications can be made to contacts and gates to extend to cover and/or contact appropriate components. One advantageous feature of having transistors PG1, PD1, PD2, and PG2 formed by two active regions is that the channel width of each transistor can be effectively doubled, thereby increasing the driving ability of each transistor.
  • FIG. 20 illustrates a layout diagram of an SRAM array having two rows and two columns in accordance with another embodiment. The layout diagram of the SRAM array 2000 in FIG. 20 is similar to that of the SRAM array 1700 shown in FIG. 17 except that the transistors in the p-type wells are formed by two fin lines. An advantageous feature of having two fin lines is that the channel width of each transistor is increased so that the function and speed of the SRAM array may be improved as a result.
  • FIG. 21 illustrates a layout diagram of an SRAM cell in accordance with an embodiment. Referring back to FIG. 7, the SRAM cell 700 may comprise a first VSS line, a second VSS line, a first bit line BL, a second bit line BLB and a power source line VCC. In FIG. 21, the five lines described above are formed in a second interconnect layer M2. More particularly, these five lines, namely VSS 1, BL, VCC, BLB and VSS2, extend parallel in the y-axis shown in FIG. 21.
  • In FIG. 7, the SRAM cell 700 further comprises a first word line and its corresponding landing pads. As shown in FIG. 21, the first word line and landing pads are formed in the first interconnect layer M1. In addition, a plurality of vias Vial are employed to couple the circuits of the first interconnect layer M1 and the circuits of the second interconnect layer M2.
  • FIG. 22 illustrates a layout diagram of an SRAM cell in accordance with another embodiment. The layout diagram of FIG. 22 is similar to that of FIG. 21 except that landing pads, VSS lines, Vdd lines, bit lines are formed in the first interconnect layer M1, and the word line is formed in the second interconnect layer M2. In addition, FIG. 22 shows there may be a plurality of vias Vial) formed between contacts and the first interconnect layer M1.
  • FIG. 23 illustrates a layout diagram of an SRAM cell in accordance with yet another embodiment. The layout diagram of FIG. 23 is similar to that of FIG. 22 except that a VSS power mesh is employed to further improve the function and speed of the SRAM cell. As shown in FIG. 23, the VSS power mesh is formed in the second interconnect layer M2.
  • Although embodiments of the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

What is claimed is:
1. An apparatus comprising:
an isolation region formed in a substrate;
a fin line formed in the substrate, wherein:
the fin line is wrapped by a first gate electrode structure to form a first transistor; and
an end of the fin line is of a tapered shape, and wherein the fin line comprises:
a channel connected between a first drain/source region and a second drain/source region of the first transistor; and
a second gate electrode wrapping the fin line to form a dummy transistor.
2. The apparatus of claim 1, wherein:
the end of the fin line is embedded in the second gate electrode.
3. The apparatus of claim 1, wherein:
the end the fin line extends outside the second gate electrode to form a floating node, and wherein the second gate electrode is configured such that:
the second gate electrode is coupled to ground when the fin line and the second gate electrode form an n-type transistor; and
the second gate electrode is coupled to a high voltage potential when the fin line and the second gate electrode form a p-type transistor.
4. The apparatus of claim 1, wherein:
the first drain/source region, the second drain/source region and the channel form a p-type FinFET; and
an epitaxial growth material of the first drain/source region and the second drain/source region is selected from a group consisting of SiGe, SiGeC, Ge, Si, III-V compound semiconductor materials and any combinations thereof.
5. The apparatus of claim 1, wherein:
the first drain/source region, the second drain/source region and the channel form an n-type FinFET; and
wherein an epitaxial growth material of the first drain/source region and the second drain/source region is selected from a group consisting of SiP, SiC, SiPC, Si, III-V compound semiconductor materials and any combinations thereof.
6. The apparatus of claim 1, wherein the isolation region is a shallow trench isolation structure.
7. The apparatus of claim 1, wherein:
from a first cross sectional view, the fin line is of a bottom interior angle more than 86 degrees.
8. The apparatus of claim 1, wherein:
from a second cross sectional view, the end of the fine line is of a bottom interior angle less than 83 degrees.
9. A system comprising:
a first continuous fin line shared by a first pass gate transistor and a first pull down transistor of a first memory cell, and a third pass gate transistor and a third pull down transistor of a second memory cell;
a second continuous fin line shared by a second pass gate transistor and a second pull down transistor of the first memory cell, and a fourth pass gate transistor and a fourth pull down transistor of the second memory cell;
a plurality of discontinuous fin lines for pull up transistor of the first memory cell and the second memory cell, and wherein:
the discontinuous fin line is wrapped by a first gate electrode structure to form a pull up transistor; and
an end of the discontinuous fin line is of a tapered shape; and
a second gate electrode wrapping the discontinuous fin line to form a dummy transistor.
10. The system of claim 9, wherein:
a first end of the discontinuous fin line is coupled to a voltage potential; and
a second end of the discontinuous fin line is embedded in the second gate electrode.
11. The system of claim 10, wherein:
the fin line is of a bottom interior angle more than 86 degrees from a first cross sectional view; and
the first end and the second end of the fine line are of a bottom interior angle less than 83 degrees from a second cross sectional view.
12. The system of claim 11, wherein:
the first cross sectional view includes a first depth; and
the second cross sectional view includes a second depth, wherein the first depth is 1.3 times the second depth.
13. The system of claim 11, wherein:
the first cross sectional view includes a first depth and a second depth; and
the second cross sectional view includes a third depth.
14. The system of claim 13, wherein:
the second depth is 2 times the first depth; and
the second depth is 1.3 times the third depth.
15. A memory cell comprising:
a first inverter comprising:
a first p-type transistor (PU) having a two-stage fin structure; and
a first n-type transistor (PD) having the two-stage fin structure, wherein the first PU is connected in series with the first PD;
a second inverter cross-coupled to the first inverter comprising:
a second PU having the two-stage fin structure; and
a second PD having the two-stage fin structure, wherein the second PU is connected in series with the second PD;
a first pass-gate transistor having the two-stage fin structure, wherein the first pass-gate transistor is coupled between the first inverter and a first bit line;
a second pass-gate transistor having the two-stage fin structure, wherein the second pass-gate transistor is coupled between the second inverter and a second bit line;
a first dummy device coupled to the first inverter; and
a second dummy device coupled to the second inverter.
16. The memory cell of claim 15, wherein:
the first pass-gate transistor is formed on a first continuous fin line;
the first PD is formed on the first continuous fin line;
the first PU is formed on a first discontinuous fin line;
the second PU is formed on a second discontinuous fin line;
the second pass-gate transistor is formed on a second continuous fin line; and
the second PD is formed on the second continuous fin line.
17. The memory cell of claim 16, wherein:
the discontinuous fin line is wrapped by a first gate electrode structure to form the PU transistor; and
an end of the discontinuous fin line is of a tapered shape; and
a second gate electrode wrapping the discontinuous fin line to form a dummy transistor.
18. The memory cell of claim 17, wherein:
a source of the dummy transistor and a gate of the dummy transistor are coupled together.
19. The memory cell of claim 17, wherein:
the tapered shape is of a bottom interior angle more than 86 degrees; and
from a cross sectional view, the discontinuous fine line is of a bottom interior angle less than 83 degrees.
20. The memory cell of claim 17, wherein:
a source of the dummy transistor and a gate of the dummy transistor are connected together through a butt contact.
US13/463,687 2012-05-03 2012-05-03 Structure for FinFETs Active US9041115B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US13/463,687 US9041115B2 (en) 2012-05-03 2012-05-03 Structure for FinFETs
KR1020120076086A KR20130124129A (en) 2012-05-03 2012-07-12 Structure for finfets
CN201210265529.7A CN103383964B (en) 2012-05-03 2012-07-27 Structure for FinFET
DE102012108290A DE102012108290B4 (en) 2012-05-03 2012-09-06 Structure for FinFETs as well as system of SRAM cells and memory cell having such a structure
TW102115835A TWI560854B (en) 2012-05-03 2013-05-03 Apparatus and system of fin field effect transistor
KR1020140070263A KR101531795B1 (en) 2012-05-03 2014-06-10 Structure for FinFETs
US14/721,559 US9502419B2 (en) 2012-05-03 2015-05-26 Structure for FinFETs
US15/357,972 US9831253B2 (en) 2012-05-03 2016-11-21 FinFET memory device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/463,687 US9041115B2 (en) 2012-05-03 2012-05-03 Structure for FinFETs

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/721,559 Division US9502419B2 (en) 2012-05-03 2015-05-26 Structure for FinFETs

Publications (2)

Publication Number Publication Date
US20130292777A1 true US20130292777A1 (en) 2013-11-07
US9041115B2 US9041115B2 (en) 2015-05-26

Family

ID=49384432

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/463,687 Active US9041115B2 (en) 2012-05-03 2012-05-03 Structure for FinFETs
US14/721,559 Active US9502419B2 (en) 2012-05-03 2015-05-26 Structure for FinFETs
US15/357,972 Active US9831253B2 (en) 2012-05-03 2016-11-21 FinFET memory device

Family Applications After (2)

Application Number Title Priority Date Filing Date
US14/721,559 Active US9502419B2 (en) 2012-05-03 2015-05-26 Structure for FinFETs
US15/357,972 Active US9831253B2 (en) 2012-05-03 2016-11-21 FinFET memory device

Country Status (5)

Country Link
US (3) US9041115B2 (en)
KR (2) KR20130124129A (en)
CN (1) CN103383964B (en)
DE (1) DE102012108290B4 (en)
TW (1) TWI560854B (en)

Cited By (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140124868A1 (en) * 2012-11-07 2014-05-08 Qualcomm Incorporated Shared-diffusion standard cell architecture
US20140217514A1 (en) * 2012-06-13 2014-08-07 Synopsys, Inc. N-channel and p-channel end-to-end finfet cell architecture with relaxed gate pitch
US8859355B1 (en) 2013-05-06 2014-10-14 International Business Machines Corporation Method to make dual material finFET on same substrate
US20150115363A1 (en) * 2013-10-30 2015-04-30 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming finfet device
US20150129982A1 (en) * 2013-11-14 2015-05-14 International Business Machines Corporation FinFET DEVICE INCLUDING FINS HAVING A SMALLER THICKNESS IN A CHANNEL REGION, AND A METHOD OF MANUFACTURING SAME
US20150179576A1 (en) * 2013-09-06 2015-06-25 International Business Machines Corporation Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US20150221654A1 (en) * 2014-02-03 2015-08-06 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20150333066A1 (en) * 2013-09-18 2015-11-19 Broadcom Corporation Field effect transistor structure having one or more fins
US20150333136A1 (en) * 2014-05-01 2015-11-19 Globalfoundries Inc. Semiconductor devices with replacement spacer structures
US20150340466A1 (en) * 2014-05-21 2015-11-26 Fujitsu Semiconductor Limited Method for manufacturing semiconductor device and device
EP2953168A1 (en) * 2014-06-04 2015-12-09 Broadcom Corporation Device and structure for bulk finfet technology
US20150364534A1 (en) * 2014-06-17 2015-12-17 International Business Machines Corporation Non-planar capacitors with finely tuned capacitance values and methods of forming the non-planar capacitors
CN105280700A (en) * 2014-07-18 2016-01-27 台湾积体电路制造股份有限公司 Structure and method for MOSFET device
US9263453B1 (en) 2014-09-30 2016-02-16 International Business Machines Corporation Secondary use of aspect ratio trapping holes as eDRAM structure
US20160049511A1 (en) * 2014-08-14 2016-02-18 Jin-Bum Kim Semiconductor devices
EP2988330A1 (en) * 2014-08-18 2016-02-24 Renesas Electronics Corporation Semiconductor device
US9312261B2 (en) * 2012-10-17 2016-04-12 Samsung Electronics Co., Ltd. Semiconductor device
US9331080B2 (en) * 2014-09-23 2016-05-03 Samsung Electronics Co., Ltd. Semiconductor device having contact plug and method of forming the same
US9368512B1 (en) * 2015-06-03 2016-06-14 International Business Machines Corporation Double diamond shaped unmerged epitaxy for tall fins in tight pitch
US20160218102A1 (en) * 2015-01-26 2016-07-28 International Business Machines Corporation Silicon nitride fill for pc gap regions to increase cell density
US9412664B2 (en) 2013-05-06 2016-08-09 International Business Machines Corporation Dual material finFET on single substrate
US20160260719A1 (en) * 2015-03-03 2016-09-08 Jae-Yup Chung Integrated circuit devices including fin shapes
US20160276482A1 (en) * 2015-03-20 2016-09-22 Sung-min Kim Semiconductor device including active fin
WO2016164447A1 (en) * 2015-04-07 2016-10-13 Qualcomm Incorporated Finfet with cut gate stressor
US20170025509A1 (en) * 2015-07-24 2017-01-26 International Business Machines Corporation Strained silicon germanium fin with controlled junction for finfet devices
US20170040318A1 (en) * 2015-08-03 2017-02-09 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20170092732A1 (en) * 2015-06-29 2017-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Gate Field Effect Transistors Having Oxygen-Scavenged Gate Stack
TWI578529B (en) * 2015-07-02 2017-04-11 台灣積體電路製造股份有限公司 Fin field effect transistor (finfet) device and method for forming the same
US9627542B2 (en) 2013-08-07 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20170141220A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure with Substrate Isolation and Un-Doped Channel
US9673198B2 (en) 2014-10-10 2017-06-06 Samsung Electronics Co., Ltd. Semiconductor devices having active regions at different levels
US20170170071A1 (en) * 2014-12-03 2017-06-15 Jung-Gun You Semiconductor device and method of fabricating the same
US20170194432A1 (en) * 2012-11-30 2017-07-06 Shigenobu Maeda Semiconductor devices including protruding insulation portions between active fins
US9741854B2 (en) 2014-12-18 2017-08-22 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US20170250188A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing method of non-volatile memory and non-volatile memory
US9768169B2 (en) 2014-12-30 2017-09-19 Samsung Electronics Co., Ltd. Semiconductor devices and fabricating methods thereof
US9818876B1 (en) * 2016-11-11 2017-11-14 Globalfoundries Inc. Method for fabricating a finFET metallization architecture using a self-aligned contact etch
US9842843B2 (en) 2015-12-03 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing static random access memory device
EP3319126A1 (en) * 2016-11-04 2018-05-09 Semiconductor Manufacturing International Corporation (Shanghai) Semiconductor device and fabrication method thereof
US20180145069A1 (en) * 2016-11-23 2018-05-24 Semicondutor Manufacturing International (Beijing) Corporation Semiconductor resistor and manufacturing method therefor
US9985144B2 (en) * 2016-07-01 2018-05-29 Semiconductor Manufacturing International (Shanghai) Corporation FinFET varactor
US10014066B2 (en) 2015-11-30 2018-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuse cell structure including reading and programming devices with different gate dielectric thickness
US10056390B1 (en) 2017-04-20 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
US10074657B2 (en) 2016-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fins and semiconductor device which includes fins
CN108695391A (en) * 2017-04-03 2018-10-23 三星电子株式会社 Semiconductor devices
US10121791B2 (en) 2017-01-24 2018-11-06 Samsung Electronics Co., Ltd. Multi-gate transistor
US10128254B2 (en) 2016-06-20 2018-11-13 Samsung Electronics Co., Ltd. Semiconductor device
US10163904B1 (en) * 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
US20190103393A1 (en) * 2015-12-16 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and layout thereof
US10262870B2 (en) 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US20190228818A1 (en) * 2015-10-19 2019-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port sram cell with dummy transistors
US20190288069A1 (en) * 2018-03-19 2019-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10431295B2 (en) * 2014-05-30 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
CN110660803A (en) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 SRAM structure and forming method thereof
US20200058651A1 (en) * 2018-08-14 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US20200135737A1 (en) * 2018-10-30 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
CN111146196A (en) * 2018-11-05 2020-05-12 三星电子株式会社 Standard cell
TWI700739B (en) * 2018-06-28 2020-08-01 台灣積體電路製造股份有限公司 Semiconductor device and method of generating a layout diagram
TWI715080B (en) * 2018-06-28 2021-01-01 台灣積體電路製造股份有限公司 Semiconductor device and method of generating layout diagram
US10930590B1 (en) 2019-08-23 2021-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect device and method
US10998411B2 (en) * 2017-04-03 2021-05-04 Samsung Electronics Co., Ltd. Semiconductor device
US11056591B2 (en) * 2019-04-11 2021-07-06 Globalfoundries U.S. Inc. Epitaxial structures of semiconductor devices that are independent of local pattern density
US11183591B2 (en) * 2019-10-30 2021-11-23 Avago Technologies International Sales Pte. Ltd. Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities
US11955195B2 (en) * 2021-10-06 2024-04-09 Samsung Electronics Co., Ltd. Semiconductor memory device with defect detection capability

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
KR102169629B1 (en) * 2013-12-09 2020-10-26 삼성전자주식회사 Test pattern of semiconductor device
US9418728B2 (en) * 2014-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port static random-access memory cell
US9397157B2 (en) 2014-08-20 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device structure including a fin-embedded isolation region and methods thereof
US9634013B2 (en) * 2014-10-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for semiconductor fabrication
US9443957B1 (en) * 2015-03-12 2016-09-13 International Business Machines Corporation Self-aligned source and drain regions for semiconductor devices
US9613953B2 (en) * 2015-03-24 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor device layout, and method of manufacturing semiconductor device
KR102352153B1 (en) * 2015-03-25 2022-01-17 삼성전자주식회사 Integrated circuit device and method for manufacturing the same
KR102318393B1 (en) 2015-03-27 2021-10-28 삼성전자주식회사 Semiconductor devices including field effect transistors
KR102258112B1 (en) 2015-04-01 2021-05-31 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR102448597B1 (en) 2015-06-24 2022-09-27 삼성전자주식회사 Semiconductor device
CN106505039B (en) * 2015-09-08 2019-09-27 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and preparation method thereof, electronic device
US9679978B2 (en) 2015-09-24 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
KR102323943B1 (en) 2015-10-21 2021-11-08 삼성전자주식회사 Method of manufacturing semiconductor device
US9997522B2 (en) 2015-12-03 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US9564428B1 (en) * 2015-12-15 2017-02-07 International Business Machines Corporation Forming metal-insulator-metal capacitor
US9947756B2 (en) * 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US9748226B1 (en) * 2016-02-27 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Decoupling capacitor
US10163880B2 (en) 2016-05-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of fabricating the same
US10181526B2 (en) * 2016-06-02 2019-01-15 Samsung Electronics Co., Ltd. Field effect transistor including multiple aspect ratio trapping structures
KR102514097B1 (en) * 2016-08-03 2023-03-23 삼성전자주식회사 Semiconductor device and method for fabricating the same
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
CN106611782B (en) * 2016-12-27 2020-10-02 上海集成电路研发中心有限公司 Method for reducing parasitic resistance of FinFET (Fin field Effect transistor)
TWI699781B (en) * 2016-12-28 2020-07-21 聯華電子股份有限公司 Static random-access memory device
KR102307127B1 (en) 2017-06-14 2021-10-05 삼성전자주식회사 Semiconductor device
US10181425B1 (en) * 2017-07-17 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-end structure engineering for semiconductor applications
US10319435B2 (en) * 2017-08-30 2019-06-11 Taiwan Semiconductor Manufacturing Company Limited Write assist for a memory device and methods of forming the same
TWI632644B (en) * 2017-08-30 2018-08-11 絡達科技股份有限公司 Intergrated circuit structure
US10211206B1 (en) * 2017-11-01 2019-02-19 Globalfoundries Inc. Two-port vertical SRAM circuit structure and method for producing the same
US10879174B2 (en) 2018-03-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10629706B2 (en) 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
CN110473832B (en) * 2018-05-11 2021-11-12 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof, static random access memory and forming method thereof
US11087831B2 (en) * 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around memory devices
WO2021217582A1 (en) * 2020-04-30 2021-11-04 华为技术有限公司 Integrated circuit

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6924560B2 (en) * 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
US20090134472A1 (en) * 2007-11-26 2009-05-28 Kabushiki Kaisha Toshiba Semiconductor device
US7592675B2 (en) * 2006-10-02 2009-09-22 Taiwan Semiconductor Manufacutring Company, Ltd. Partial FinFET memory cell
US20110068400A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for SRAM Bit Cell with Low Standby Current, Low Supply Voltage and High Speed

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064500A (en) 2003-08-14 2005-03-10 Samsung Electronics Co Ltd Multi-structured silicon fin and manufacturing method for the same
KR100799101B1 (en) 2005-12-27 2008-01-29 주식회사 하이닉스반도체 Method for manufacturing fin transistor
CN100539153C (en) * 2006-10-02 2009-09-09 台湾积体电路制造股份有限公司 Semiconductor structure and memory cell
JP2008117838A (en) 2006-11-01 2008-05-22 Elpida Memory Inc Semiconductor device, and method for manufacturing the same
US8063448B2 (en) 2007-03-16 2011-11-22 Infineon Technologies Ag Resistive memory and method
JP4461154B2 (en) * 2007-05-15 2010-05-12 株式会社東芝 Semiconductor device
US8174073B2 (en) * 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
KR100979359B1 (en) 2008-05-30 2010-08-31 주식회사 하이닉스반도체 Method of fabricating semiconductor apparatus having saddle-fin transistor and semiconductor apparatus fabricated thereby
US8212295B2 (en) 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6924560B2 (en) * 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
US7592675B2 (en) * 2006-10-02 2009-09-22 Taiwan Semiconductor Manufacutring Company, Ltd. Partial FinFET memory cell
US20090134472A1 (en) * 2007-11-26 2009-05-28 Kabushiki Kaisha Toshiba Semiconductor device
US20110068400A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for SRAM Bit Cell with Low Standby Current, Low Supply Voltage and High Speed

Cited By (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140217514A1 (en) * 2012-06-13 2014-08-07 Synopsys, Inc. N-channel and p-channel end-to-end finfet cell architecture with relaxed gate pitch
US8987828B2 (en) * 2012-06-13 2015-03-24 Synopsys, Inc. N-channel and P-channel end-to-end finFET cell architecture with relaxed gate pitch
US9257429B2 (en) 2012-06-13 2016-02-09 Synopsys, Inc. N-channel and P-channel end-to-end finFET cell architecture with relaxed gate pitch
US9312261B2 (en) * 2012-10-17 2016-04-12 Samsung Electronics Co., Ltd. Semiconductor device
US10128255B2 (en) 2012-10-17 2018-11-13 Samsung Electronics Co., Ltd. Semiconductor device
US20140124868A1 (en) * 2012-11-07 2014-05-08 Qualcomm Incorporated Shared-diffusion standard cell architecture
US8836040B2 (en) * 2012-11-07 2014-09-16 Qualcomm Incorporated Shared-diffusion standard cell architecture
US20190259836A1 (en) * 2012-11-30 2019-08-22 Samsung Electronics Co., Ltd. Semiconductor devices including protruding insulation portions between active fins
US10319814B2 (en) * 2012-11-30 2019-06-11 Samsung Electronics Co., Ltd. Semiconductor devices including protruding insulation portions between active fins
US20170194432A1 (en) * 2012-11-30 2017-07-06 Shigenobu Maeda Semiconductor devices including protruding insulation portions between active fins
US10861934B2 (en) * 2012-11-30 2020-12-08 Samsung Electronics Co., Ltd. Semiconductor devices including protruding insulation portions between active fins
US11955517B2 (en) 2012-11-30 2024-04-09 Samsung Electronics Co., Ltd. Semiconductor devices including protruding insulation portions between active fins
US9613963B2 (en) 2013-05-06 2017-04-04 International Business Machines Corporation Dual material finFET on single substrate
US9048318B2 (en) * 2013-05-06 2015-06-02 International Business Machines Corporation Dual material finFET on same substrate
US9640536B2 (en) 2013-05-06 2017-05-02 International Business Machines Method to make dual material finFET on same substrate
US8859355B1 (en) 2013-05-06 2014-10-14 International Business Machines Corporation Method to make dual material finFET on same substrate
US9412664B2 (en) 2013-05-06 2016-08-09 International Business Machines Corporation Dual material finFET on single substrate
US9627542B2 (en) 2013-08-07 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20150179576A1 (en) * 2013-09-06 2015-06-25 International Business Machines Corporation Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US9305883B2 (en) * 2013-09-06 2016-04-05 Globalfoundries Inc. Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US20150333066A1 (en) * 2013-09-18 2015-11-19 Broadcom Corporation Field effect transistor structure having one or more fins
US9991285B2 (en) * 2013-10-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming FinFET device
US11380590B2 (en) 2013-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFET device
US10672796B2 (en) 2013-10-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FINFET device
US20150115363A1 (en) * 2013-10-30 2015-04-30 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for forming finfet device
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
US20150129982A1 (en) * 2013-11-14 2015-05-14 International Business Machines Corporation FinFET DEVICE INCLUDING FINS HAVING A SMALLER THICKNESS IN A CHANNEL REGION, AND A METHOD OF MANUFACTURING SAME
US20150221654A1 (en) * 2014-02-03 2015-08-06 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US9847224B2 (en) * 2014-02-03 2017-12-19 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US9496354B2 (en) * 2014-05-01 2016-11-15 Globalfoundries Inc. Semiconductor devices with dummy gate structures partially on isolation regions
US20150333136A1 (en) * 2014-05-01 2015-11-19 Globalfoundries Inc. Semiconductor devices with replacement spacer structures
US20150340466A1 (en) * 2014-05-21 2015-11-26 Fujitsu Semiconductor Limited Method for manufacturing semiconductor device and device
US10431295B2 (en) * 2014-05-30 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
EP2953168A1 (en) * 2014-06-04 2015-12-09 Broadcom Corporation Device and structure for bulk finfet technology
US20150364534A1 (en) * 2014-06-17 2015-12-17 International Business Machines Corporation Non-planar capacitors with finely tuned capacitance values and methods of forming the non-planar capacitors
US9373678B2 (en) * 2014-06-17 2016-06-21 Globalfoundries Inc. Non-planar capacitors with finely tuned capacitance values and methods of forming the non-planar capacitors
US10748902B2 (en) 2014-07-18 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based device having an isolation gate comprising a conformal dielectric layer and a metal gate
US10170480B2 (en) 2014-07-18 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
CN105280700A (en) * 2014-07-18 2016-01-27 台湾积体电路制造股份有限公司 Structure and method for MOSFET device
US9793273B2 (en) 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
US11264385B2 (en) 2014-07-18 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based device having an isolation gate in physical contact with a source/drain
DE102015104698B4 (en) * 2014-07-18 2021-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. STRUCTURE AND PROCEDURE FOR MOSFET DEVICE
US20160049511A1 (en) * 2014-08-14 2016-02-18 Jin-Bum Kim Semiconductor devices
US9972716B2 (en) * 2014-08-14 2018-05-15 Samsung Electronics Co., Ltd. Semiconductor devices
EP2988330A1 (en) * 2014-08-18 2016-02-24 Renesas Electronics Corporation Semiconductor device
US10734374B2 (en) 2014-08-18 2020-08-04 Renesas Electronics Corporation Semiconductor device
US10068891B2 (en) 2014-08-18 2018-09-04 Renesas Electronics Corporation Semiconductor device
KR20160021726A (en) * 2014-08-18 2016-02-26 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device
KR102449675B1 (en) 2014-08-18 2022-10-04 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device
US9812435B2 (en) 2014-08-18 2017-11-07 Renesas Electronics Corporation Semiconductor device
US10490545B2 (en) 2014-08-18 2019-11-26 Renesas Electronics Corporation Semiconductor device
US9331080B2 (en) * 2014-09-23 2016-05-03 Samsung Electronics Co., Ltd. Semiconductor device having contact plug and method of forming the same
US9496343B2 (en) 2014-09-30 2016-11-15 International Business Machines Corporation Secondary use of aspect ratio trapping holes as eDRAM structure
US9263453B1 (en) 2014-09-30 2016-02-16 International Business Machines Corporation Secondary use of aspect ratio trapping holes as eDRAM structure
US9673198B2 (en) 2014-10-10 2017-06-06 Samsung Electronics Co., Ltd. Semiconductor devices having active regions at different levels
US10170366B2 (en) * 2014-12-03 2019-01-01 Samsung Electronics Co., Ltd. Semiconductor device having dummy gates and method of fabricating the same
US20170170071A1 (en) * 2014-12-03 2017-06-15 Jung-Gun You Semiconductor device and method of fabricating the same
US9741854B2 (en) 2014-12-18 2017-08-22 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US10522539B2 (en) 2014-12-30 2019-12-31 Samsung Electronics Co., Ltd. Semiconductor devices and fabricating methods thereof
US10211204B2 (en) 2014-12-30 2019-02-19 Samsung Electronics Co., Ltd. Semiconductor devices and fabricating methods thereof
US9768169B2 (en) 2014-12-30 2017-09-19 Samsung Electronics Co., Ltd. Semiconductor devices and fabricating methods thereof
US20160218102A1 (en) * 2015-01-26 2016-07-28 International Business Machines Corporation Silicon nitride fill for pc gap regions to increase cell density
US10056378B2 (en) * 2015-01-26 2018-08-21 International Business Machines Corporation Silicon nitride fill for PC gap regions to increase cell density
US20180069002A1 (en) * 2015-01-26 2018-03-08 International Business Machines Corporation Silicon nitride fill for pc gap regions to increase cell density
US9859275B2 (en) * 2015-01-26 2018-01-02 International Business Machines Corporation Silicon nitride fill for PC gap regions to increase cell density
US10535666B2 (en) 2015-03-03 2020-01-14 Samsung Electronics Co., Ltd. Integrated circuit devices including fin shapes
US20160260719A1 (en) * 2015-03-03 2016-09-08 Jae-Yup Chung Integrated circuit devices including fin shapes
US9899393B2 (en) * 2015-03-03 2018-02-20 Samsung Electronics Co., Ltd. Integrated circuit devices including fin shapes
US20160276482A1 (en) * 2015-03-20 2016-09-22 Sung-min Kim Semiconductor device including active fin
US10199499B2 (en) * 2015-03-20 2019-02-05 Samsung Electronics Co., Ltd. Semiconductor device including active fin
US9537007B2 (en) 2015-04-07 2017-01-03 Qualcomm Incorporated FinFET with cut gate stressor
WO2016164447A1 (en) * 2015-04-07 2016-10-13 Qualcomm Incorporated Finfet with cut gate stressor
US9368512B1 (en) * 2015-06-03 2016-06-14 International Business Machines Corporation Double diamond shaped unmerged epitaxy for tall fins in tight pitch
US20170092732A1 (en) * 2015-06-29 2017-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Gate Field Effect Transistors Having Oxygen-Scavenged Gate Stack
US10263091B2 (en) * 2015-06-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field effect transistors having oxygen-scavenged gate stack
US10269651B2 (en) 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US11854825B2 (en) 2015-07-02 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of semiconductor device and method for forming the same
US10741408B2 (en) 2015-07-02 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US11309189B2 (en) 2015-07-02 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10854519B2 (en) 2015-07-02 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
DE102016100035B4 (en) 2015-07-02 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method of forming same
TWI578529B (en) * 2015-07-02 2017-04-11 台灣積體電路製造股份有限公司 Fin field effect transistor (finfet) device and method for forming the same
US10262870B2 (en) 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US20170025509A1 (en) * 2015-07-24 2017-01-26 International Business Machines Corporation Strained silicon germanium fin with controlled junction for finfet devices
US20170040318A1 (en) * 2015-08-03 2017-02-09 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10672460B2 (en) 2015-10-19 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port SRAM cell with dummy transistors
US20190228818A1 (en) * 2015-10-19 2019-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port sram cell with dummy transistors
US11545212B2 (en) 2015-10-19 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dual port SRAM cell with dummy transistors
US11031074B2 (en) 2015-10-19 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port SRAM cell with dummy transistors
US20170141220A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure with Substrate Isolation and Un-Doped Channel
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10347646B2 (en) 2015-11-30 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuse cell structure including reading and programming devices with different gate dielectric thickness
US10014066B2 (en) 2015-11-30 2018-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuse cell structure including reading and programming devices with different gate dielectric thickness
US11355501B2 (en) 2015-12-03 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing static random access memory device
US11832431B2 (en) 2015-12-03 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing static random access memory device
US9842843B2 (en) 2015-12-03 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing static random access memory device
US10763266B2 (en) 2015-12-03 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing static random access memory device
US20190103393A1 (en) * 2015-12-16 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and layout thereof
US10854593B2 (en) * 2015-12-16 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and layout thereof
US20210082904A1 (en) * 2015-12-16 2021-03-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and layout thereof
US10535670B2 (en) * 2016-02-25 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory having an erase gate formed between two floating gates with two word lines formed on other sides and a method for forming the same
US20170250188A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing method of non-volatile memory and non-volatile memory
US11222899B2 (en) 2016-04-28 2022-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device which includes fins and method of making same
US10714485B2 (en) 2016-04-28 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device which includes Fins
US11616067B2 (en) 2016-04-28 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making semiconductor device which includes Fins
US10074657B2 (en) 2016-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fins and semiconductor device which includes fins
US10128254B2 (en) 2016-06-20 2018-11-13 Samsung Electronics Co., Ltd. Semiconductor device
US9985144B2 (en) * 2016-07-01 2018-05-29 Semiconductor Manufacturing International (Shanghai) Corporation FinFET varactor
US10644169B2 (en) * 2016-07-01 2020-05-05 Semiconductor Manufacturing International (Shanghai) Corporation Method of manufacturing a FinFET varactor
EP3319126A1 (en) * 2016-11-04 2018-05-09 Semiconductor Manufacturing International Corporation (Shanghai) Semiconductor device and fabrication method thereof
US11145736B2 (en) 2016-11-04 2021-10-12 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device with electrically connected doping regions and fabrication method thereof
US10069011B2 (en) * 2016-11-11 2018-09-04 Globalfoundries Inc. Method for fabricating a FinFET metallization architecture using a self-aligned contact etch
US20180138308A1 (en) * 2016-11-11 2018-05-17 Globalfoundries Inc. Method for fabricating a finfet metallization architecture using a self-aligned contact etch
US9818876B1 (en) * 2016-11-11 2017-11-14 Globalfoundries Inc. Method for fabricating a finFET metallization architecture using a self-aligned contact etch
US20180145069A1 (en) * 2016-11-23 2018-05-24 Semicondutor Manufacturing International (Beijing) Corporation Semiconductor resistor and manufacturing method therefor
EP3331024A1 (en) * 2016-11-23 2018-06-06 Semiconductor Manufacturing International Corporation (Beijing) Semiconductor resistor and manufacturing method therefor
US10553579B2 (en) * 2016-11-23 2020-02-04 Semiconductor Manufacturing International (Beijing) Corporation Semiconductor resistor and manufacturing method therefor
US10121791B2 (en) 2017-01-24 2018-11-06 Samsung Electronics Co., Ltd. Multi-gate transistor
US10998411B2 (en) * 2017-04-03 2021-05-04 Samsung Electronics Co., Ltd. Semiconductor device
US20210257470A1 (en) * 2017-04-03 2021-08-19 Samsung Electronics Co., Ltd. Semiconductor device
CN108695391A (en) * 2017-04-03 2018-10-23 三星电子株式会社 Semiconductor devices
US10991692B2 (en) * 2017-04-03 2021-04-27 Samsung Electronics Co., Ltd. Semiconductor device having a plurality of fins and method of fabricating the same
US20200235097A1 (en) * 2017-04-03 2020-07-23 Samsung Electronics Co., Ltd. Semiconductor device having first and second fin-type patterns and method of fabricating the same
US11901422B2 (en) * 2017-04-03 2024-02-13 Samsung Electronics Co., Ltd. Semiconductor device having fin-type active patterns with shared contact plugs
US10490560B2 (en) 2017-04-20 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
DE102017109004A1 (en) * 2017-04-20 2018-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. FINFET SRAM WITH DISCONTINUOUS PMOS RIBBON LINES
US11488966B2 (en) 2017-04-20 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
US10056390B1 (en) 2017-04-20 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
US10818676B2 (en) 2017-04-20 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
US10163904B1 (en) * 2017-08-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure
US11670678B2 (en) 2018-03-19 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure
US11107888B2 (en) 2018-03-19 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US10734478B2 (en) * 2018-03-19 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190288069A1 (en) * 2018-03-19 2019-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11177256B2 (en) 2018-06-28 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Odd-fin height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same
TWI700739B (en) * 2018-06-28 2020-08-01 台灣積體電路製造股份有限公司 Semiconductor device and method of generating a layout diagram
TWI715080B (en) * 2018-06-28 2021-01-01 台灣積體電路製造股份有限公司 Semiconductor device and method of generating layout diagram
US10971586B2 (en) 2018-06-28 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same
US11756999B2 (en) 2018-06-28 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same
US20220254789A1 (en) * 2018-06-29 2022-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sram structure and method for forming the same
US11315933B2 (en) 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
CN110660803A (en) * 2018-06-29 2020-01-07 台湾积体电路制造股份有限公司 SRAM structure and forming method thereof
US20200058651A1 (en) * 2018-08-14 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11075203B2 (en) * 2018-08-14 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11640962B2 (en) * 2018-08-14 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure
US20210351181A1 (en) * 2018-08-14 2021-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure
US10672770B2 (en) * 2018-08-14 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US20200135737A1 (en) * 2018-10-30 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US11004852B2 (en) * 2018-10-30 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
CN111146196A (en) * 2018-11-05 2020-05-12 三星电子株式会社 Standard cell
US11056591B2 (en) * 2019-04-11 2021-07-06 Globalfoundries U.S. Inc. Epitaxial structures of semiconductor devices that are independent of local pattern density
US11532554B2 (en) 2019-08-23 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect device and method
US10930590B1 (en) 2019-08-23 2021-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect device and method
US11183591B2 (en) * 2019-10-30 2021-11-23 Avago Technologies International Sales Pte. Ltd. Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities
US11955195B2 (en) * 2021-10-06 2024-04-09 Samsung Electronics Co., Ltd. Semiconductor memory device with defect detection capability

Also Published As

Publication number Publication date
US20170077106A1 (en) 2017-03-16
TW201349460A (en) 2013-12-01
KR20140080475A (en) 2014-06-30
US20150255462A1 (en) 2015-09-10
US9831253B2 (en) 2017-11-28
KR20130124129A (en) 2013-11-13
CN103383964A (en) 2013-11-06
DE102012108290A1 (en) 2013-11-07
US9041115B2 (en) 2015-05-26
DE102012108290B4 (en) 2013-11-28
TWI560854B (en) 2016-12-01
KR101531795B1 (en) 2015-06-25
US9502419B2 (en) 2016-11-22
CN103383964B (en) 2016-07-27

Similar Documents

Publication Publication Date Title
US9831253B2 (en) FinFET memory device
US10068905B2 (en) Apparatus for FinFETs
US11508737B2 (en) SRAM cell and logic cell design
US9870815B2 (en) Structure and method for a SRAM circuit
USRE47159E1 (en) Memory cell
US9691774B2 (en) Structure and method for SRAM cell circuit
US8779528B2 (en) SRAM cell comprising FinFETs
US8830732B2 (en) SRAM cell comprising FinFETs
TWI667740B (en) Novel poly dummy technology to avoid shorting circuit
US11424339B2 (en) Integrated chip and method of forming thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIAW, JHON-JHY;REEL/FRAME:028154/0906

Effective date: 20120430

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8