US20140215180A1 - Adaptable datapath for a digital processing system - Google Patents

Adaptable datapath for a digital processing system Download PDF

Info

Publication number
US20140215180A1
US20140215180A1 US14/230,529 US201414230529A US2014215180A1 US 20140215180 A1 US20140215180 A1 US 20140215180A1 US 201414230529 A US201414230529 A US 201414230529A US 2014215180 A1 US2014215180 A1 US 2014215180A1
Authority
US
United States
Prior art keywords
data path
configurable
data
reconfigurable
multiplexers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/230,529
Other versions
US9015352B2 (en
Inventor
Amit Ramchandran
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/815,122 external-priority patent/US6836839B2/en
Priority claimed from US10/443,554 external-priority patent/US7225279B2/en
Priority claimed from US10/443,501 external-priority patent/US7653710B2/en
Priority to US14/230,529 priority Critical patent/US9015352B2/en
Application filed by Altera Corp filed Critical Altera Corp
Publication of US20140215180A1 publication Critical patent/US20140215180A1/en
Publication of US9015352B2 publication Critical patent/US9015352B2/en
Application granted granted Critical
Assigned to TECHFARM VENTURES MANAGEMENT, LLC reassignment TECHFARM VENTURES MANAGEMENT, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: QUICKSILVER TECHNOLOGY, INC.
Assigned to QUICKSILVER TECHNOLOGY, INC. reassignment QUICKSILVER TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RAMCHANDRAN, AMIT
Assigned to QST HOLDINGS, LLC reassignment QST HOLDINGS, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TECHFARM VENTURES MANAGEMENT, LLC
Assigned to ALTERA CORPORATION reassignment ALTERA CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: QST HOLDINGS, LLC
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30007Arrangements for executing specific machine instructions to perform operations on data operands
    • G06F9/3001Arithmetic instructions
    • G06F9/30014Arithmetic instructions with variable precision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/28Handling requests for interconnection or transfer for access to input/output bus using burst mode transfer, e.g. direct memory access DMA, cycle steal
    • G06F13/287Multiplexed DMA
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/3826Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/383Operand prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3867Concurrent instruction execution, e.g. pipeline, look ahead using instruction pipelines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • This invention is related in general to digital processing architectures and more specifically to the use of an adaptable data path using register files to efficiently implement digital signal processing operations.
  • DSP Digital Signal Processing
  • the DSP system can be included within a chip that resides in a device such as a consumer electronic device, computer, etc.
  • a DSP chip can be targeted for specific DSP applications.
  • a DSP chip may be optimized for Time-Division Multiple Access (TDMA) processing.
  • TDMA Time-Division Multiple Access
  • VOIP Voice-Over-Internet Protocol
  • One aspect of an adaptable design for a DSP chip includes allowing flexible and configurable routing between the different functional units, memory and other components such as registers, input/output and other resources on the chip.
  • a traditional approach to providing flexible routing uses a data bus. Such an approach is shown in FIG. 1 .
  • memory bus 10 interfaces with a memory (not shown) to provide values from the memory to processing components such as functional unit blocks 30 , 32 and 34 .
  • Values from memory bus 10 are selected and routed through memory bus interface 20 to data path bus 36 .
  • the functional unit blocks are able to obtain values from data path bus 36 by using traditional bus arbitration logic (e.g., address lines, bus busy, etc.).
  • bus arbitration logic e.g., address lines, bus busy, etc.
  • Register file 60 provides on data path bus 36 by register file interface 50 .
  • Register file 60 includes a bank of fast registers, or fast RAM.
  • Register file interface 50 allows values from data path bus 36 to be exchanged with the register file.
  • any register or memory location within register file 60 can be placed on data path bus 36 within the same amount of time (e.g., a single cycle).
  • One way to do this is to provide an address to a location in the register file, either on the data path bus, itself, or by using a separate set of address lines. This approach is very flexible in that any value in a component of a functional unit block can be transferred to any location within the register file and vice versa.
  • the present invention uses dedicated groups of configurable data path lines to transfer data values from a main memory to functional units.
  • Each group of data path lines includes a register file dedicated for storage for each group of lines.
  • Functional units can obtain values from, and store values to, main memory and can transfer values among the registers and among other functional units by using the dedicated groups of data path lines and a data address generator (DAG).
  • DAG data address generator
  • DAG circuitry interfaces each group of datapath lines to a main memory bus.
  • Each DAG is controllable to select a value of varying bit width from the memory bus, or to select a value from another group of data path lines.
  • eight groups of 16 data path lines are used.
  • Each group includes a register file of eight 16-bit words on each group of 16 data path lines. Registers can hold a value onto their associated group of data path lines so that the value is available at a later time on the lines without the need to do a later data fetch.
  • the invention provides a data path circuit in a digital processing device, wherein the data path circuit is coupled to a memory bus for obtaining values from a memory, the data path circuit comprising a first plurality of data lines; a first data address generator for coupling the first plurality of data lines to the memory bus so that a value from the memory transferred by the memory bus can be placed onto the first plurality of data lines; one or more functional units for performing a digital operation coupled to the plurality of data lines; and a register coupled to the first plurality of data lines, wherein the register selectively stores a value from the first plurality of data lines so that the value is selectively available on the first plurality of data lines.
  • Another aspect of the invention provides both general and direct data paths between array multipliers and accumulators.
  • Banks of accumulators are coupled to the groups of configurable data path lines and are also provided with direct lines to the multipliers.
  • An embodiment of the invention provides a digital processing system comprising a multiplier; an accumulator; a configurable data path coupled to the multiplier and the accumulator; and a direct data path coupled between the multiplier and the accumulator.
  • FIG. 1 shows a prior art approach using a data path bus
  • FIG. 2A comprising FIG. 2A-1 and FIG. 2A-2 illustrates the configurable data path arrangement of a preferred embodiment of the present invention
  • a preferred embodiment of the invention is incorporated into a node referred to as a Adaptable Node (RXN) in a adaptive computing engine (ACE) manufactured by Quicksilver, Inc., of San Jose, California. Details of the ACE engine and RXN node can be found in the priority and related patent applications reference above. Aspects of the invention described herein are adaptable for use with any generalized digital processing system, such as a system adapted for digital signal processing or other types of processing.
  • FIG. 2A comprising FIG. 2A-1 and FIG. 2A-2 illustrates the configurable data path arrangement according to a preferred embodiment of the present invention.
  • digital processing system 100 is designed for fast DSP-type processing such as in discrete cosine transformation (DCT), fast fourier transformation (FFT), etc.
  • Digital processing system 100 includes four 32-bit data path address generators (DAG) to interface between four groups of configurable data path lines 200 and a main memory bus 110 .
  • Main memory bus 110 is an arbitrated high-speed bus as is known in the art. Other types of main memory accessing can be used.
  • Each group of 32 lines includes two subgroups of 16 lines each. Each subgroup is connected to a register file of eight 16-bit words.
  • DAG 120 is connected to register files 180 and 182 .
  • DAG 122 is connected to register files 184 and 186 .
  • DAGs 124 and 126 are connected to register files 188 , 190 and 192 , 194 , respectively.
  • other embodiments can use any number of DAGs, groups, and subgroups register files. Although specific bit widths, numbers of lines, components, etc., and specific connectivity are described, many variations are possible and are within the scope of the invention.
  • the DAGs play a major role in the preferred embodiment, other embodiments can use other types of interfacing to the main memory bus.
  • DAGs provide a high degree of configurable routing options (as discussed below), other embodiments can vary in the degree of configurability, and in the specific configuration options and control methods. In some cases, simple registers, register files, multiplexers or other components might be used in place of the DAGs of the present invention.
  • register files on each of the discrete subgroup lines simplifies the interconnection architecture from that of the more generalized bus and multiport register file shown in FIG. 1 of the prior art.
  • This approach can also provide benefits in reduced transistor count, power consumption, improved scalability, efficient data access and other advantages.
  • configuring the data path of the present invention may be more complex than with generalized approaches, in practice, a compiler is able to automatically handle the configuration transparently to a human programmer. This allows creation of faster-executing code for a variety of DSP applications by using the same hardware architecture without placing any undue burden on the programmer. If desired, a programmer can customize the data path configuration in order to further optimize processing execution.
  • Groups of data path lines 200 are used to transfer data from memory bus 110 to functional units within blocks 130 and 132 , and also to transfer data among the functional units, themselves.
  • the functional unit blocks are essentially the same so only block 130 is discussed in detail.
  • Functional units include Programmable Array Multipliers (PAMs) 140 , accumulators (and shift registers) 150 , data cache 160 and Arithmetic/Logic Units (ALUs) 170 and 172 .
  • PAMs Programmable Array Multipliers
  • ALUs Arithmetic/Logic Units
  • Functional units are connected to the data path line groups via multiplexers and demultiplexers such as 210 and 220 , respectively.
  • I/Os Inputs and Outputs (I/Os) from the functional units can, optionally, use multiplexing to more than one subgroup of data path lines; or an I/O can be connected directly to one subgroup.
  • a preferred embodiment uses pipeline registers between I/O ports and data path lines, as shown by boxes labeled “p” in FIG. 2A .
  • Pipeline registers allow holding data at I/O ports, onto data lines, or for other purposes.
  • the pipeline registers also allow obtaining a zero, 1, or other desired binary values and provide other advantages. Pipeline registers are described in more detail in the co-pending patent application “Input Pipeline Registers For A Node In An Adaptive Computing Engine” referenced above.
  • Table I shows DAG operations.
  • the configuration of the data path from cycle to cycle is set by a control word, or words obtained from the main memory bus in accordance with controller modules such as a hardware task manager, scheduler and other processes and components not shown in FIG. 2A but discussed in related patent applications.
  • Part of the configuration information includes fields for DAG operations.
  • a DAG operation can change from cycle to cycle and includes reading data of various widths from memory or from another DAG.
  • DAG operations other than those shown in Table I can be used.
  • Each DAG has one 5-bit ‘dag-op’ field and one 4-bit ‘address’ field. There is a single ‘pred’ field that defines non-sequencing operations.
  • the ‘action’ field describes the address modification/generation process using a set of registers (base, limit, index and delta) pointed to by the ‘context’ field.
  • the ‘context’ field is used to point at a specific DAG setting (base, limit, index and delta) on which an ‘action’ is performed or a DAG register is accessed (II)
  • ACTION action, context
  • the ‘dag-reg’ field is used to identify a specific 16-bit register (base or limit or index or delta) within a DAG ‘context’ as specified by the dag-reg table (below)
  • the dag-reg field is used to address 2 DAG registers—base and limit or index and delta or a write buffer location.
  • the ‘dag-reg’ table is as follows:
  • the address field in this case is unused, which is represented as “0” in the RXN.
  • the ‘T-frl/brl’ field is used to choose between the translation frl and the translation brl
  • T-frl/brl Operation 0 The ‘idx’ field points to T-frl 1
  • the ‘idx’ field points to T-brl
  • the T-frl and T-brl each have 5 32-bit locations.
  • the ‘idx’ field is used to address these five locations
  • the universal ‘pred’ field along with the ‘s’ bit determines whether a DAG operation is executed or not executed. When a DAG operation is ‘not executed’ due to its predication, the last executed DAG operation executes again.
  • the node has been described as part of an adaptive computing machine, or environment, aspects of the filter node design, processing and functions can be used with other types of systems.
  • the number of lines and specific interconnections can vary in different embodiments.
  • Specific components, e.g., the data address generator can be implemented in different ways in different designs. Components may be omitted, substituted or implemented with one or more of the same or different components.
  • a data address generator can be substituted with a general register, or it can be a different component responsive to a control word. Many variations are possible.

Abstract

The present invention includes an adaptable high-performance node (RXN) with several features that enable it to provide high performance along with adaptability. A preferred embodiment of the RXN includes a run-time configurable data path and control path. The RXN supports multi-precision arithmetic including 8, 16, 24, and 32 bit codes. Data flow can be reconfigured to minimize register accesses for different operations. For example, multiply-accumulate operations can be performed with minimal, or no, register stores by reconfiguration of the data path. Predetermined kernels can be configured during a setup phase so that the RXN can efficiently execute, e.g., Discrete Cosine Transform (DCT), Fast-Fourier Transform (FFT) and other operations. Other features are provided.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. application Ser. No. 11/800,577, filed May 3, 2007, which is a continuation of U.S. application Ser. No. 10/626,833, filed Jul. 23, 2003, which claims the benefit of U.S. Provisional Application No. 60/422,063, filed Oct. 28, 2002, each of the aforementioned applications are incorporated by reference herein.
  • This application is related to the following co-pending U.S. Patent Applications that are each incorporated by reference as if set forth in full in this application: “Input Pipeline Registers For A Node In An Adaptive Computing Engine,” Ser. No: 10/626,479, filed Jul. 23, 2003; “Cache For Instruction Set Architecture Using Indexes To Achieve Compression”, Ser. No. 11/628,083, filed Jul. 24, 2003; “Method For Ordering Operations For Scheduling By A Modulo Scheduler For Processors With A Large Number Of Function Units And Reconfigurable Data Paths”, Ser. No. 10/146,857, filed on May 15, 2002; “Uniform Interface For A Functional Node In An Adaptive Computing Engine”, Ser. No. 10/443,554, filed on May 21, 2003; “Hardware Task Manager For Adaptive Computing”, Ser. No.10/443,501, filed on May 21, 2003; and “Adaptive Integrated Circuitry With Heterogeneous And Reconfigurable Matrices Of Diverse And Adaptive Computational Units Having Fixed, Application Specific Computational Elements”, Ser. No. 09/815,122, filed on Mar. 22, 2001.
  • BACKGROUND OF THE INVENTION
  • This invention is related in general to digital processing architectures and more specifically to the use of an adaptable data path using register files to efficiently implement digital signal processing operations.
  • Digital Signal Processing (DSP) calculations require many iterations of fast multiply-accumulate and other operations. Typically, the actual operations are accomplished by “functional units” such as multipliers, adders, accumulators, shifters, etc. The functional units obtain values, or operands, from a fast main memory such as Random Access Memory (RAM). The DSP system can be included within a chip that resides in a device such as a consumer electronic device, computer, etc.
  • The design of a DSP chip can be targeted for specific DSP applications. For example, in a cellular telephone, a DSP chip may be optimized for Time-Division Multiple Access (TDMA) processing. A Voice-Over-Internet Protocol (VOIP) application may require vocoding operations, and so on. It is desirable for a chip manufacturer to provide a single chip design that can be adapted to different DSP applications. Such a chip is often described as an adaptable, or configurable, design.
  • One aspect of an adaptable design for a DSP chip includes allowing flexible and configurable routing between the different functional units, memory and other components such as registers, input/output and other resources on the chip. A traditional approach to providing flexible routing uses a data bus. Such an approach is shown in FIG. 1.
  • In FIG. 1, memory bus 10 interfaces with a memory (not shown) to provide values from the memory to processing components such as functional unit blocks 30, 32 and 34. Values from memory bus 10 are selected and routed through memory bus interface 20 to data path bus 36. The functional unit blocks are able to obtain values from data path bus 36 by using traditional bus arbitration logic (e.g., address lines, bus busy, etc.). Within a block, such as functional unit block 30 of FIG. 1, there may be many different components, such as a bank of multipliers, to which the data from data path bus 36 can be transferred. In this manner, any arbitrary value from memory can be provided to any functional unit block, and to components within blocks of functional units.
  • Values can also be provided between functional unit blocks by using the data path bus. Another resource is register file 60 provided on data path bus 36 by register file interface 50. Register file 60 includes a bank of fast registers, or fast RAM. Register file interface 50 allows values from data path bus 36 to be exchanged with the register file. Typically, any register or memory location within register file 60 can be placed on data path bus 36 within the same amount of time (e.g., a single cycle). One way to do this is to provide an address to a location in the register file, either on the data path bus, itself, or by using a separate set of address lines. This approach is very flexible in that any value in a component of a functional unit block can be transferred to any location within the register file and vice versa.
  • However, a drawback with the approach of FIG. 1, is that such a design is rather expensive to create, slow and does not scale well. A bus approach requires considerable overhead in control circuitry and arbitration logic. This takes up real estate on the silicon chip and increases power consumption. The use of a large, randomly addressable register file also is quite costly and requires inclusion of tens of thousands of additional transistors. The use of such complicated logic often requires bus cycle times to be slower to accommodate all of the switching activity. Finally, such an approach does not scale well since, e.g., adding more and more functional unit blocks will require additional addressing capability that may mean more lines and logic. Additional register file space may also be required. The data path bus would also need to be routed to connect to the added components. Each functional unit block also requires the bus control and arbitration circuitry.
  • Thus, it is desirable to provide an interconnection scheme for digital processor applications that improves over one or more of the above, or other, shortcomings in the prior art.
  • SUMMARY OF THE INVENTION
  • The present invention uses dedicated groups of configurable data path lines to transfer data values from a main memory to functional units. Each group of data path lines includes a register file dedicated for storage for each group of lines. Functional units can obtain values from, and store values to, main memory and can transfer values among the registers and among other functional units by using the dedicated groups of data path lines and a data address generator (DAG).
  • DAG circuitry interfaces each group of datapath lines to a main memory bus. Each DAG is controllable to select a value of varying bit width from the memory bus, or to select a value from another group of data path lines. In a preferred embodiment, eight groups of 16 data path lines are used. Each group includes a register file of eight 16-bit words on each group of 16 data path lines. Registers can hold a value onto their associated group of data path lines so that the value is available at a later time on the lines without the need to do a later data fetch.
  • In one embodiment the invention provides a data path circuit in a digital processing device, wherein the data path circuit is coupled to a memory bus for obtaining values from a memory, the data path circuit comprising a first plurality of data lines; a first data address generator for coupling the first plurality of data lines to the memory bus so that a value from the memory transferred by the memory bus can be placed onto the first plurality of data lines; one or more functional units for performing a digital operation coupled to the plurality of data lines; and a register coupled to the first plurality of data lines, wherein the register selectively stores a value from the first plurality of data lines so that the value is selectively available on the first plurality of data lines.
  • Another aspect of the invention provides both general and direct data paths between array multipliers and accumulators. Banks of accumulators are coupled to the groups of configurable data path lines and are also provided with direct lines to the multipliers. An embodiment of the invention provides a digital processing system comprising a multiplier; an accumulator; a configurable data path coupled to the multiplier and the accumulator; and a direct data path coupled between the multiplier and the accumulator.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 shows a prior art approach using a data path bus;
  • FIG. 2A comprising FIG. 2A-1 and FIG. 2A-2 illustrates the configurable data path arrangement of a preferred embodiment of the present invention;
  • DETAILED DESCRIPTION OF THE INVENTION
  • A preferred embodiment of the invention is incorporated into a node referred to as a Adaptable Node (RXN) in a adaptive computing engine (ACE) manufactured by Quicksilver, Inc., of San Jose, California. Details of the ACE engine and RXN node can be found in the priority and related patent applications reference above. Aspects of the invention described herein are adaptable for use with any generalized digital processing system, such as a system adapted for digital signal processing or other types of processing.
  • FIG. 2A comprising FIG. 2A-1 and FIG. 2A-2 illustrates the configurable data path arrangement according to a preferred embodiment of the present invention.
  • In FIG. 2A, digital processing system 100 is designed for fast DSP-type processing such as in discrete cosine transformation (DCT), fast fourier transformation (FFT), etc. Digital processing system 100 includes four 32-bit data path address generators (DAG) to interface between four groups of configurable data path lines 200 and a main memory bus 110. Main memory bus 110 is an arbitrated high-speed bus as is known in the art. Other types of main memory accessing can be used.
  • Each group of 32 lines includes two subgroups of 16 lines each. Each subgroup is connected to a register file of eight 16-bit words. For example, DAG 120 is connected to register files 180 and 182. DAG 122 is connected to register files 184 and 186. Similarly, DAGs 124 and 126 are connected to register files 188, 190 and 192, 194, respectively. Naturally, other embodiments can use any number of DAGs, groups, and subgroups register files. Although specific bit widths, numbers of lines, components, etc., and specific connectivity are described, many variations are possible and are within the scope of the invention. Although the DAGs play a major role in the preferred embodiment, other embodiments can use other types of interfacing to the main memory bus. Although the DAGs provide a high degree of configurable routing options (as discussed below), other embodiments can vary in the degree of configurability, and in the specific configuration options and control methods. In some cases, simple registers, register files, multiplexers or other components might be used in place of the DAGs of the present invention.
  • The use of register files on each of the discrete subgroup lines simplifies the interconnection architecture from that of the more generalized bus and multiport register file shown in FIG. 1 of the prior art. This approach can also provide benefits in reduced transistor count, power consumption, improved scalability, efficient data access and other advantages. Although configuring the data path of the present invention may be more complex than with generalized approaches, in practice, a compiler is able to automatically handle the configuration transparently to a human programmer. This allows creation of faster-executing code for a variety of DSP applications by using the same hardware architecture without placing any undue burden on the programmer. If desired, a programmer can customize the data path configuration in order to further optimize processing execution.
  • Groups of data path lines 200 are used to transfer data from memory bus 110 to functional units within blocks 130 and 132, and also to transfer data among the functional units, themselves. The functional unit blocks are essentially the same so only block 130 is discussed in detail. Functional units include Programmable Array Multipliers (PAMs) 140, accumulators (and shift registers) 150, data cache 160 and Arithmetic/Logic Units (ALUs) 170 and 172. Naturally, the functional units used in any specific embodiment can vary in number and type from that shown in FIG. 2A.
  • Functional units are connected to the data path line groups via multiplexers and demultiplexers such as 210 and 220, respectively. Inputs and Outputs (I/Os) from the functional units can, optionally, use multiplexing to more than one subgroup of data path lines; or an I/O can be connected directly to one subgroup. A preferred embodiment uses pipeline registers between I/O ports and data path lines, as shown by boxes labeled “p” in FIG. 2A. Pipeline registers allow holding data at I/O ports, onto data lines, or for other purposes. The pipeline registers also allow obtaining a zero, 1, or other desired binary values and provide other advantages. Pipeline registers are described in more detail in the co-pending patent application “Input Pipeline Registers For A Node In An Adaptive Computing Engine” referenced above.
  • Table I, below, shows DAG operations. The configuration of the data path from cycle to cycle is set by a control word, or words obtained from the main memory bus in accordance with controller modules such as a hardware task manager, scheduler and other processes and components not shown in FIG. 2A but discussed in related patent applications. Part of the configuration information includes fields for DAG operations. A DAG operation can change from cycle to cycle and includes reading data of various widths from memory or from another DAG. DAG operations other than those shown in Table I can be used. Each DAG has one 5-bit ‘dag-op’ field and one 4-bit ‘address’ field. There is a single ‘pred’ field that defines non-sequencing operations.
  • TABLE I
    Dag-op Mnemonic Description Cycles
    0x00 read8 Read 8-bits from memory 1
    0x01 read8x Read 8-bits from memory and sign extend to 32-bits 1
    0x02 read16 Read 16-bits from memory 1
    0x03 readl6x Read 16-bits from memory and sign extend to 32-bits 1
    0x04 read24 Read 24-bits from memory 1
    0x05 read24x Read 24-bits from memory and sign extend to 32-bits 1
    0x06 read32 Read 32-bits from memory 1
    0x07 write8 Write 8-bits to memory 1
    0x08 writel6 Write 16-bits to memory 1
    0x09 write24 Write 24-bits to memory 1
    0x0A write32 Write 32-bits to memory 1
    0xB writeMindp Write 32-bits (only mode supported) to MIN write queue from the data path 1
    buses
    0x0C writeMinM Write 32-bits (only mode supported) to MIN write queue from a 32-bit memory 1
    read. (pipelined)
    0x0D readdag16 Read a 16 bit value from one DAG register 0
    0x0E readdag32 Read a 32 bit value from two DAG registers 0
    0x0F load32dp Load two 16-bit DAG registers or 32-bit write buffer using 32-bit data in 1
    dp2n:dp2n + 1 connecting to DAGn
    0x10 load16dpn Load a DAG register from an even data path bus 1
    0x11 load16dpn + 1 Load a DAG register from an odd data path bus 1
    0x12 modify Modify address but do not do a memory access. 1
    0x13 Dagnoop Do nothing. All DAG operations execute every clock cycle until this operation 1
    is chosen
    0x14 Dagcont Continue the previous operation 1
    0x15 writePA Writes 32-bits of data from memory into ‘tfrl’ or ‘tbrl’ 1
    0x16 writeMinbuf Write 32-bits to MIN write queue from buffer 1
  • For dag-op: x00 to 0x0A, 0x0C and 0x12 the DAG operation format of Table II applies. The address field is divided into action and context as shown.
  • TABLE II
    Figure US20140215180A1-20140731-C00001
  • Action
  • The ‘action’ field describes the address modification/generation process using a set of registers (base, limit, index and delta) pointed to by the ‘context’ field.
  • TABLE 1
    DAG address calculation
    action Operation Description
    00 Supply an address Address = Base + Index
    and post modify Index = Index + delta (delta is a
    signed value)
    If Index >= limit, Index = Index − limit
    If Index <0, Index = limit + Index
    01 Supply a pre- Index = Index + delta (delta is a
    modified address signed value)
    If Index >= limit, Index = Index − limit
    If Index <0, Index = limit + Index
    Address = Base + Index
    11 Supply a bit- Address = Base + B-Index
    reversed address B-Index = reverse carry add (Index + delta)
    (delta is a signed value)
    If Index >= limit, Index = Index − Limit
    If Index <0, Index = limit + Index
  • Context
  • The ‘context’ field is used to point at a specific DAG setting (base, limit, index and delta) on which an ‘action’ is performed or a DAG register is accessed (II)
  • TABLE 2
    context encoding
    Context Operation
    00 Use setting - basen.0, limitn.0, indexn.0, deltan.0 for DAGn
    01 Use setting - basen.1, limitn.1, indexn.1, deltan.1 for DAGn
    10 Use setting - basen.2, limitn.2, indexn.2, deltan.2 for DAGn
    11 Use setting - basen.3, limitn.3, indexn.3, deltan.3 for DAGn
  • For convenience, an ACTION function is defined according to the action table—ACTION (action, context) where ‘action’ and ‘context’ refer to the DAG operation fields. This function is used in the individual DAG operation descriptions.
  • (II) For dag-op: 0x0D to 0x11 the following DAG operation format applies:
  • Figure US20140215180A1-20140731-C00002
  • The ‘dag-reg’ field is used to identify a specific 16-bit register (base or limit or index or delta) within a DAG ‘context’ as specified by the dag-reg table (below)
  • TABLE 3
    dag-reg encoding for dag-op 0x0D, 0x10 and 0x11
    dag-reg Register
    00 base
    01 limit
    10 index
    11 delta
  • For operations 0x0E and 0x0F, the dag-reg field is used to address 2 DAG registers—base and limit or index and delta or a write buffer location. In this case, the ‘dag-reg’ table is as follows:
  • TABLE 4
    dag-reg encoding for dag-op 0x0E
    dag-reg Register
    0X Base and limit
    1X Index and delta
    X—don't care
  • TABLE 5
    dag-reg encoding for dag-op 0x0F
    dag-reg Register
    00 Base and limit
    10 Index and delta
    11 Location ‘n’ of write buffer for DAGn
    01—undefined
  • (III) For dag-op: 0x0B, 0x13, 0x14, and 0x16 the following DAG operation format applies:
  • Figure US20140215180A1-20140731-C00003
  • The address field in this case is unused, which is represented as “0” in the RXN.
  • (IV) For dag-op: 0x15 the following DAG operation format applies:
  • Figure US20140215180A1-20140731-C00004
  • The ‘T-frl/brl’ field is used to choose between the translation frl and the translation brl
  • T-frl/brl Operation
    0 The ‘idx’ field points to T-frl
    1 The ‘idx’ field points to T-brl
  • The T-frl and T-brl each have 5 32-bit locations. The ‘idx’ field is used to address these five locations
  • add Operation
    000 Location 0
    001 Location 1
    010 Location 2
    011 Location 3
    100 Location 4
    101 Location 5
  • Pred
  • The universal ‘pred’ field along with the ‘s’ bit determines whether a DAG operation is executed or not executed. When a DAG operation is ‘not executed’ due to its predication, the last executed DAG operation executes again.
  • TABLE 6
    Pred field encoding
    Pred Description
    00 Never execute
    01 Always execute (execute specified operations)
    10 Execute if condition is true (“s” bit is set) (execute specified
    operation)
    If condition is false (“s” bit is not set) (do not execute the DAG
    operation)
    11 Execute if condition is false (“s” bit is not set) (execute specified
    operation)
    If condition is true (“s” bit is set) (do not execute the DAG
    operation)
  • Note: All DAG operations execute every clock cycle until “dagnoop” operation is chosen.
  • Although the invention has been discussed with respect to specific embodiments thereof, these embodiments are merely illustrative, and not restrictive, of the invention. For example, although the node has been described as part of an adaptive computing machine, or environment, aspects of the filter node design, processing and functions can be used with other types of systems. In general, the number of lines and specific interconnections can vary in different embodiments. Specific components, e.g., the data address generator, can be implemented in different ways in different designs. Components may be omitted, substituted or implemented with one or more of the same or different components. For example, a data address generator can be substituted with a general register, or it can be a different component responsive to a control word. Many variations are possible.
  • Thus, the scope of the invention is to be determined solely by the claims.

Claims (21)

1-33. (canceled)
34. A reconfigurable data path circuit coupled to a memory bus for obtaining data from a memory, the reconfigurable data path circuit comprising:
a functional unit configured to perform a digital operation;
one or more multiplexers coupled to the memory bus;
a configurable data path configurably coupled to the one or more multiplexers and the functional unit, the configurable data path configured in response to a first configuration information to provide a data path configuration by configuring interconnections between the one or more multiplexers and the functional unit;
wherein the one or more multiplexers are coupled to the memory bus and the configurable data path, each of the one or more multiplexers configured in response to a second configuration information that is different from the first configuration information to generate memory addresses from which data is to be read from or written to the memory for the data path configuration.
35. The reconfigurable data path circuit of claim 34, wherein the configuration information includes a context field including context information describing a predetermined configuration for the reconfigurable data path circuit.
36. The reconfigurable data path circuit of claim 34, wherein the first and second configuration information each comprises a separate control word.
37. The reconfigurable data path circuit of claim 36, wherein each separate control word includes an operation field.
38. The reconfigurable data path circuit of claim 37, wherein each control word further comprises an address field, the address field designating one of the memory addresses.
39. The reconfigurable data path circuit of claim 35, wherein the one or more multiplexers are further configurable or reconfigurable in response to the second configuration information to read data of one or more widths from the memory bus consistent with and for the data path configuration and the context information.
40. The reconfigurable data path circuit of claim 34, wherein the one or more multiplexers are configurable in response to the second configuration information to split data received from the memory bus onto the configurable data path.
41. The reconfigurable data path circuit of claim 39, wherein the one or more multiplexers transfer data to the configurable data path for processing by the functional units in parallel.
42. The reconfigurable data path circuit of claim 34, wherein each of the functional units of the plurality of functional units include one of a multiplier, an accumulator, a data cache, an Arithmetic Logic Unit (ALU), or a register.
43. The reconfigurable data path circuit of claim 34, further comprising a plurality of register files each configurably interconnected by the configurable data path to the plurality of functional units and to one of the one or more multiplexers.
44. The reconfigurable data path circuit of claim 34, wherein the configurable data path further comprises a reconfigurable interconnection network configurable for configuring or reconfiguring the interconnections between or among the one or more multiplexers and the plurality of functional units for the data path configuration.
45. The reconfigurable data path circuit of claim 44, wherein the reconfigurable interconnection network includes a plurality of groups of data lines configurably coupled to the one or more multiplexers and the plurality of functional units.
46. The reconfigurable data path circuit of claim 44, wherein the reconfigurable interconnection network is configurable or reconfigurable to create portions of the reconfigurable data paths having different widths, the portions being coupled between the data address generators and the plurality of functional units.
47. The reconfigurable data path circuit of claim 34, wherein the interconnections of the configurable data path and the one or more multiplexers are configurable in real time.
48. The reconfigurable data path circuit of claim 35, wherein at least one of the plurality of functional units is configurable to provide at least two different functions, the at least two different functions being selectable based on the context information.
49. The reconfigurable data path circuit of claim 44, wherein the plurality of functional units are configurable to provide a second plurality of configurable data paths between respective ones of the plurality of functional units and the reconfigurable interconnection network.
50. The reconfigurable data path circuit of claim 49, wherein the second plurality of configurable data paths are configurable for a plurality of data widths.
51. A digital processing system comprising:
a memory bus coupled to a memory; and
a reconfigurable data path circuit coupled to the memory bus for obtaining data from the memory, the reconfigurable data path circuit comprising:
a plurality of functional units configurable to perform a digital processing operation; and
one or more multiplexers coupled to the memory bus;
a configurable data path configurably coupled to the one or more multiplexers and the plurality of functional units, the configurable data path being configurable in response to a first configuration information to provide a data path configuration by configuring or reconfiguring interconnections between or among the one or more multiplexers and the plurality of functional units, the data path configuration including the configured or reconfigured interconnections, the one or more data address generators being coupled between the memory bus and the configurable data path, each of the one or more multiplexers being configurable in response to a second configuration information to generate memory addresses from which data is to be read from or written to the memory consistent with and for the data path configuration.
52. The digital processing system of claim 51, further comprising a plurality of register files each configurably interconnected by the configurable data path to the plurality of functional units and to one of the one or more multiplexers.
53. A method for generating memory addresses for obtaining data from a memory using a reconfigurable data path circuit coupled to a memory bus, the reconfigurable data path circuit comprising a functional unit configured to perform a digital operation, one or more data address generators coupled to the memory bus, a configurable data path configurably coupled to the one or more data address generators and the functional unit, the method comprising:
providing a first configuration information to configure the configurable data path to provide a data path configuration by configuring interconnections between the one or more data address generators and the functional unit, whereby the one or more data address generators are coupled to the memory bus and the configurable data path;
providing a second configuration information, said second configuration information being different from the first configuration information to each of the one or more data address generators and
generating memory addresses from which data is to be read from or written to the memory for the data path configuration in response to the second configuration information.
US14/230,529 2001-03-22 2014-03-31 Adaptable datapath for a digital processing system Expired - Fee Related US9015352B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/230,529 US9015352B2 (en) 2001-03-22 2014-03-31 Adaptable datapath for a digital processing system

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US09/815,122 US6836839B2 (en) 2001-03-22 2001-03-22 Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US14685702A 2002-05-15 2002-05-15
US42206302P 2002-10-28 2002-10-28
US10/443,501 US7653710B2 (en) 2002-06-25 2003-05-21 Hardware task manager
US10/443,554 US7225279B2 (en) 2002-06-25 2003-05-21 Data distributor in a computation unit forwarding network data to select components in respective communication method type
US10/626,479 US7249242B2 (en) 2002-10-28 2003-07-23 Input pipeline registers for a node in an adaptive computing engine
US10/626,833 US20040133745A1 (en) 2002-10-28 2003-07-23 Adaptable datapath for a digital processing system
US10/628,083 US7194605B2 (en) 2002-10-28 2003-07-24 Cache for instruction set architecture using indexes to achieve compression
US11/800,577 US7606943B2 (en) 2002-10-28 2007-05-03 Adaptable datapath for a digital processing system
US12/556,894 US7904603B2 (en) 2002-10-28 2009-09-10 Adaptable datapath for a digital processing system
US13/042,391 US8380884B2 (en) 2002-10-28 2011-03-07 Adaptable datapath for a digital processing system
US13/768,346 US8706916B2 (en) 2002-10-28 2013-02-15 Adaptable datapath for a digital processing system
US14/230,529 US9015352B2 (en) 2001-03-22 2014-03-31 Adaptable datapath for a digital processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/768,346 Continuation US8706916B2 (en) 2001-03-22 2013-02-15 Adaptable datapath for a digital processing system

Publications (2)

Publication Number Publication Date
US20140215180A1 true US20140215180A1 (en) 2014-07-31
US9015352B2 US9015352B2 (en) 2015-04-21

Family

ID=32230315

Family Applications (10)

Application Number Title Priority Date Filing Date
US10/626,479 Active 2024-06-16 US7249242B2 (en) 2001-03-22 2003-07-23 Input pipeline registers for a node in an adaptive computing engine
US10/626,833 Abandoned US20040133745A1 (en) 2001-03-22 2003-07-23 Adaptable datapath for a digital processing system
US10/628,036 Expired - Lifetime US7340562B2 (en) 2002-10-28 2003-07-24 Cache for instruction set architecture
US10/628,083 Active 2024-08-12 US7194605B2 (en) 2001-03-22 2003-07-24 Cache for instruction set architecture using indexes to achieve compression
US11/683,026 Expired - Lifetime US7568086B2 (en) 2002-10-28 2007-03-07 Cache for instruction set architecture using indexes to achieve compression
US11/800,577 Expired - Fee Related US7606943B2 (en) 2001-03-22 2007-05-03 Adaptable datapath for a digital processing system
US12/556,894 Expired - Fee Related US7904603B2 (en) 2001-03-22 2009-09-10 Adaptable datapath for a digital processing system
US13/042,391 Expired - Fee Related US8380884B2 (en) 2001-03-22 2011-03-07 Adaptable datapath for a digital processing system
US13/768,346 Expired - Fee Related US8706916B2 (en) 2001-03-22 2013-02-15 Adaptable datapath for a digital processing system
US14/230,529 Expired - Fee Related US9015352B2 (en) 2001-03-22 2014-03-31 Adaptable datapath for a digital processing system

Family Applications Before (9)

Application Number Title Priority Date Filing Date
US10/626,479 Active 2024-06-16 US7249242B2 (en) 2001-03-22 2003-07-23 Input pipeline registers for a node in an adaptive computing engine
US10/626,833 Abandoned US20040133745A1 (en) 2001-03-22 2003-07-23 Adaptable datapath for a digital processing system
US10/628,036 Expired - Lifetime US7340562B2 (en) 2002-10-28 2003-07-24 Cache for instruction set architecture
US10/628,083 Active 2024-08-12 US7194605B2 (en) 2001-03-22 2003-07-24 Cache for instruction set architecture using indexes to achieve compression
US11/683,026 Expired - Lifetime US7568086B2 (en) 2002-10-28 2007-03-07 Cache for instruction set architecture using indexes to achieve compression
US11/800,577 Expired - Fee Related US7606943B2 (en) 2001-03-22 2007-05-03 Adaptable datapath for a digital processing system
US12/556,894 Expired - Fee Related US7904603B2 (en) 2001-03-22 2009-09-10 Adaptable datapath for a digital processing system
US13/042,391 Expired - Fee Related US8380884B2 (en) 2001-03-22 2011-03-07 Adaptable datapath for a digital processing system
US13/768,346 Expired - Fee Related US8706916B2 (en) 2001-03-22 2013-02-15 Adaptable datapath for a digital processing system

Country Status (3)

Country Link
US (10) US7249242B2 (en)
AU (2) AU2003284172A1 (en)
WO (2) WO2004040414A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110018847A (en) * 2018-01-10 2019-07-16 北京思朗科技有限责任公司 Configurable register and the data access method based on configurable register

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7249242B2 (en) * 2002-10-28 2007-07-24 Nvidia Corporation Input pipeline registers for a node in an adaptive computing engine
US7752419B1 (en) 2001-03-22 2010-07-06 Qst Holdings, Llc Method and system for managing hardware resources to implement system functions using an adaptive computing architecture
US7653710B2 (en) 2002-06-25 2010-01-26 Qst Holdings, Llc. Hardware task manager
US7962716B2 (en) 2001-03-22 2011-06-14 Qst Holdings, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US6577678B2 (en) 2001-05-08 2003-06-10 Quicksilver Technology Method and system for reconfigurable channel coding
US7046635B2 (en) 2001-11-28 2006-05-16 Quicksilver Technology, Inc. System for authorizing functionality in adaptable hardware devices
US8412915B2 (en) 2001-11-30 2013-04-02 Altera Corporation Apparatus, system and method for configuration of adaptive integrated circuitry having heterogeneous computational elements
US6986021B2 (en) 2001-11-30 2006-01-10 Quick Silver Technology, Inc. Apparatus, method, system and executable module for configuration and operation of adaptive integrated circuitry having fixed, application specific computational elements
US7215701B2 (en) 2001-12-12 2007-05-08 Sharad Sambhwani Low I/O bandwidth method and system for implementing detection and identification of scrambling codes
US7403981B2 (en) 2002-01-04 2008-07-22 Quicksilver Technology, Inc. Apparatus and method for adaptive multimedia reception and transmission in communication environments
US7493375B2 (en) * 2002-04-29 2009-02-17 Qst Holding, Llc Storage and delivery of device features
US7328414B1 (en) 2003-05-13 2008-02-05 Qst Holdings, Llc Method and system for creating and programming an adaptive computing engine
US7660984B1 (en) * 2003-05-13 2010-02-09 Quicksilver Technology Method and system for achieving individualized protected space in an operating system
US8108656B2 (en) 2002-08-29 2012-01-31 Qst Holdings, Llc Task definition for specifying resource requirements
US7937591B1 (en) 2002-10-25 2011-05-03 Qst Holdings, Llc Method and system for providing a device which can be adapted on an ongoing basis
US8276135B2 (en) 2002-11-07 2012-09-25 Qst Holdings Llc Profiling of software and circuit designs utilizing data operation analyses
US7225301B2 (en) * 2002-11-22 2007-05-29 Quicksilver Technologies External memory controller node
US7870342B2 (en) 2003-07-24 2011-01-11 Marvell International Ltd. Line cache controller with lookahead
US7840630B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Arithmetic logic unit circuit
US7865542B2 (en) * 2003-12-29 2011-01-04 Xilinx, Inc. Digital signal processing block having a wide multiplexer
US7853634B2 (en) * 2003-12-29 2010-12-14 Xilinx, Inc. Digital signal processing circuit having a SIMD circuit
US7860915B2 (en) * 2003-12-29 2010-12-28 Xilinx, Inc. Digital signal processing circuit having a pattern circuit for determining termination conditions
US7870182B2 (en) * 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US7853636B2 (en) * 2003-12-29 2010-12-14 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit for convergent rounding
US7840627B2 (en) * 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7567997B2 (en) * 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7480690B2 (en) * 2003-12-29 2009-01-20 Xilinx, Inc. Arithmetic circuit with multiplexed addend inputs
US7472155B2 (en) * 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US8495122B2 (en) * 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7882165B2 (en) * 2003-12-29 2011-02-01 Xilinx, Inc. Digital signal processing element having an arithmetic logic unit
US7467177B2 (en) * 2003-12-29 2008-12-16 Xilinx, Inc. Mathematical circuit with dynamic rounding
US7853632B2 (en) 2003-12-29 2010-12-14 Xilinx, Inc. Architectural floorplan for a digital signal processing circuit
US7844653B2 (en) * 2003-12-29 2010-11-30 Xilinx, Inc. Digital signal processing circuit having a pre-adder circuit
US7467175B2 (en) 2003-12-29 2008-12-16 Xilinx, Inc. Programmable logic device with pipelined DSP slices
US7849119B2 (en) * 2003-12-29 2010-12-07 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit
US7769950B2 (en) * 2004-03-24 2010-08-03 Qualcomm Incorporated Cached memory system and cache controller for embedded digital signal processor
US20060156316A1 (en) * 2004-12-18 2006-07-13 Gray Area Technologies System and method for application specific array processing
JP4621604B2 (en) * 2006-02-20 2011-01-26 株式会社東芝 Bus device, bus system, and information transfer method
US20080182021A1 (en) * 2007-01-31 2008-07-31 Simka Harsono S Continuous ultra-thin copper film formed using a low thermal budget
US9202357B2 (en) 2007-03-13 2015-12-01 Oracle International Corporation Virtualization and quality of sensor data
US9536215B2 (en) 2007-03-13 2017-01-03 Oracle International Corporation Real-time and offline location tracking using passive RFID technologies
US8099737B2 (en) 2007-06-05 2012-01-17 Oracle International Corporation Event processing finite state engine and language
US8781110B2 (en) * 2007-06-30 2014-07-15 Intel Corporation Unified system architecture for elliptic-curve cryptography
US9715670B2 (en) 2007-10-12 2017-07-25 Oracle International Corporation Industrial identify encoding and decoding language
US8495301B1 (en) 2007-11-23 2013-07-23 Pmc-Sierra Us, Inc. System and method for scatter gather cache processing
US8176252B1 (en) 2007-11-23 2012-05-08 Pmc-Sierra Us, Inc. DMA address translation scheme and cache with modified scatter gather element including SG list and descriptor tables
US8755515B1 (en) 2008-09-29 2014-06-17 Wai Wu Parallel signal processing system and method
CN101478785B (en) * 2009-01-21 2010-08-04 华为技术有限公司 Resource pool management system and signal processing method
US8479133B2 (en) 2009-01-27 2013-07-02 Xilinx, Inc. Method of and circuit for implementing a filter in an integrated circuit
US8543635B2 (en) 2009-01-27 2013-09-24 Xilinx, Inc. Digital signal processing block with preadder stage
US8458442B2 (en) * 2009-08-26 2013-06-04 International Business Machines Corporation Method and structure of using SIMD vector architectures to implement matrix multiplication
JP5990466B2 (en) 2010-01-21 2016-09-14 スビラル・インコーポレーテッド Method and apparatus for a general purpose multi-core system for implementing stream-based operations
US9274794B2 (en) 2011-09-23 2016-03-01 Electronics And Telecommunications Research Institute Processor and instruction processing method in processor
KR101789190B1 (en) 2012-03-06 2017-11-20 한국전자통신연구원 Cache with scratch pad memory structure and processor including the cache
WO2014006451A1 (en) * 2012-07-03 2014-01-09 Freescale Semiconductor, Inc. Data path configuration component, signal processing device and method therefor
US9110778B2 (en) * 2012-11-08 2015-08-18 International Business Machines Corporation Address generation in an active memory device
US9251554B2 (en) 2012-12-26 2016-02-02 Analog Devices, Inc. Block-based signal processing
KR101962250B1 (en) * 2013-03-05 2019-03-26 삼성전자주식회사 Apparatus and Method for scheduling instruction for reconfigurable architecture
US9612833B2 (en) * 2014-02-28 2017-04-04 Intel Corporation Handling compressed data over distributed cache fabric
US10025956B2 (en) * 2015-12-18 2018-07-17 Intel Corporation Techniques to compress cryptographic metadata for memory encryption
US10185699B2 (en) * 2016-03-14 2019-01-22 Futurewei Technologies, Inc. Reconfigurable data interface unit for compute systems
US10496409B2 (en) 2016-11-22 2019-12-03 The Arizona Board Of Regents Method and system for managing control of instruction and process execution in a programmable computing system
US9946539B1 (en) * 2017-05-23 2018-04-17 Google Llc Accessing data in multi-dimensional tensors using adders
US10534607B2 (en) 2017-05-23 2020-01-14 Google Llc Accessing data in multi-dimensional tensors using adders
DE102017208838A1 (en) 2017-05-24 2018-11-29 Wago Verwaltungsgesellschaft Mbh Pre-loading instructions
US11082231B2 (en) * 2017-12-29 2021-08-03 Intel Corporation Indirection directories for cryptographic memory protection
JP2019164713A (en) 2018-03-20 2019-09-26 東芝メモリ株式会社 Storage system and data transfer method
US10908906B2 (en) * 2018-06-29 2021-02-02 Intel Corporation Apparatus and method for a tensor permutation engine
US11088846B2 (en) * 2019-03-28 2021-08-10 Intel Corporation Key rotating trees with split counters for efficient hardware replay protection
US11620132B2 (en) * 2019-05-08 2023-04-04 International Business Machines Corporation Reusing an operand received from a first-in-first-out (FIFO) buffer according to an operand specifier value specified in a predefined field of an instruction
CN110572436B (en) * 2019-08-12 2020-09-22 浙江讯盟科技有限公司 Multi-place cross-cluster server deployment method and system
US11080055B2 (en) 2019-08-22 2021-08-03 Apple Inc. Register file arbitration
US11442881B2 (en) * 2020-04-18 2022-09-13 Flex Logix Technologies, Inc. MAC processing pipelines, circuitry to control and configure same, and methods of operating same
US11748061B2 (en) * 2020-10-15 2023-09-05 Gigantor Technologies Inc. Custom mass multiplication circuits

Family Cites Families (528)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US641094A (en) * 1899-05-26 1900-01-09 Amanda C Ericson Vessel-cover.
US3409175A (en) 1966-11-10 1968-11-05 Thomas M. Byrne Liquid dispensing device
US3666143A (en) 1970-06-22 1972-05-30 Murray Weston Automatic fluid dispensing apparatus with manual override
USRE30301E (en) 1972-03-10 1980-06-10 The Cornelius Company Beverage mixing and dispensing apparatus
US3960298A (en) 1972-10-25 1976-06-01 The Cornelius Company Container assembly for use with a separator dispenser
US6556044B2 (en) 2001-09-18 2003-04-29 Altera Corporation Programmable logic device including multipliers and configurations thereof to reduce resource utilization
US3995441A (en) 1973-08-20 1976-12-07 The Cornelius Company Beverage dispensing system
US3991911A (en) 1973-09-07 1976-11-16 American Beverage Control Automatic drink dispensing apparatus having programming means
US3949903A (en) 1973-11-07 1976-04-13 General Motors Corporation Water and beverage concentrate dispenser
US3938639A (en) 1973-11-28 1976-02-17 The Cornelius Company Portable dispenser for mixed beverages
US3967062A (en) 1975-03-05 1976-06-29 Ncr Corporation Method and apparatus for encoding data and clock information in a self-clocking data stream
US4076145A (en) 1976-08-09 1978-02-28 The Cornelius Company Method and apparatus for dispensing a beverage
US4377246A (en) 1977-06-13 1983-03-22 The Cornelius Company Apparatus for dispensing a carbonated beverage
US4143793A (en) 1977-06-13 1979-03-13 The Cornelius Company Apparatus and method for dispensing a carbonated beverage
US4252253A (en) 1978-02-21 1981-02-24 Mcneil Corporation Drink dispenser having central control of plural dispensing stations
US4174872A (en) 1978-04-10 1979-11-20 The Cornelius Company Beverage dispensing machine and cabinet therefor
US4181242A (en) 1978-05-30 1980-01-01 The Cornelius Company Method and apparatus for dispensing a beverage
US4172669A (en) 1978-07-27 1979-10-30 The Cornelius Company Mixing and dispensing machine
US4237536A (en) 1978-10-12 1980-12-02 M.R.E. Enterprises, Inc. System for indicating and controlling dispensing of beverages
US4302775A (en) 1978-12-15 1981-11-24 Compression Labs, Inc. Digital video compression system and methods utilizing scene adaptive coding with rate buffer feedback
US4413752A (en) 1979-01-04 1983-11-08 The Cornelius Company Apparatus for dispensing a carbonated beverage
US4222972A (en) 1979-01-29 1980-09-16 Caldwell Michael C Method and means for carbonating liquids in situ
US4218014A (en) 1979-02-21 1980-08-19 The Cornelius Company Multiple flavor post-mix beverage dispensing head
GB2067800B (en) 1979-04-03 1983-10-12 Lewin G F Adding device
US4523697A (en) 1979-07-11 1985-06-18 Cadbury Schweppes Limited Liquid dispensing package
USRE32179E (en) 1979-10-12 1986-06-10 The Coca-Cola Company Post-mix beverage dispensing system syrup package, valving system, and carbonator therefor
US4333587A (en) 1980-01-31 1982-06-08 The Coca-Cola Company Beverage dispenser
US4354613A (en) 1980-05-15 1982-10-19 Trafalgar Industries, Inc. Microprocessor based vending apparatus
US4393468A (en) 1981-03-26 1983-07-12 Advanced Micro Devices, Inc. Bit slice microprogrammable processor for signal processing applications
US4560089A (en) 1981-05-11 1985-12-24 The Cornelius Company Apparatus for dispensing a carbonated beverage
IT1196554B (en) 1981-10-22 1988-11-16 Tanabe Seiyaku Co SULFODEHYDROABIETIC ACID SALT AND PHARMACEUTICAL COMPOSITION CONTAINING IT
JPS5916053A (en) * 1982-07-16 1984-01-27 Nec Corp Pipeline arithmetic device
US5129549A (en) 1982-09-07 1992-07-14 Imi Cornelius Inc. Beverage dispensing valve
US4549675A (en) 1982-09-07 1985-10-29 The Cornelius Co. Beverage dispensing valve
US4936488A (en) 1982-09-07 1990-06-26 The Cornelius Company Beverage dispensing valve
US4509690A (en) 1982-12-06 1985-04-09 The Cornelius Company Carbonated beverage mixing nozzle for a dispenser
US4458584A (en) 1983-02-22 1984-07-10 General Foods Corporation Beverage carbonation device
US4475448A (en) 1983-02-22 1984-10-09 General Foods Corporation Reactant/gas separation means for beverage carbonation device
US4466342A (en) 1983-02-22 1984-08-21 General Foods Corporation Carbonation chamber with sparger for beverage carbonation
GB2137839B (en) * 1983-04-09 1986-06-04 Schlumberger Measurement Digital signal processors
US4577782A (en) 1983-05-02 1986-03-25 The Cornelius Company Beverage dispensing station
US4578799A (en) 1983-10-05 1986-03-25 Codenoll Technology Corporation Method and apparatus for recovering data and clock information from a self-clocking data stream
US4553573A (en) 1983-10-20 1985-11-19 Pepsico Inc. Bulk syrup delivery system
US4824075A (en) 1984-02-14 1989-04-25 Walter Holzboog Tilt action dispensing valve assembly
US4658988A (en) 1984-04-02 1987-04-21 The Cornelius Company Multiple flavor post-mix beverage dispensing apparatus
DK279985A (en) 1984-06-25 1985-12-26 Isoworth Ltd METHOD AND APPARATUS FOR CARBONIZATION
US4694416A (en) 1985-02-25 1987-09-15 General Electric Company VLSI programmable digital signal processor
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
US4713755A (en) * 1985-06-28 1987-12-15 Hewlett-Packard Company Cache memory consistency control with explicit software instructions
US4765513A (en) 1985-08-26 1988-08-23 The Cornelius Company Post-mix beverage dispenser with nozzle
US4993604A (en) 1985-09-13 1991-02-19 The Coca-Cola Company Low-cost post-mix beverage dispenser and syrup supply system therefor
US4711374A (en) 1985-09-13 1987-12-08 The Coca-Cola Company Low-cost post-mix beverage dispenser and syrup supply system therefor
US4747516A (en) 1985-12-23 1988-05-31 Liquid Motion Industries, Co. Soft drink maker
US4748585A (en) 1985-12-26 1988-05-31 Chiarulli Donald M Processor utilizing reconfigurable process segments to accomodate data word length
US4974643A (en) 1986-01-31 1990-12-04 The Cornelius Company Method of and apparatus for dispensing beverage into a tilted receptacle with automatic level responsive shut off
US4982876A (en) 1986-02-10 1991-01-08 Isoworth Limited Carbonation apparatus
GB2186265B (en) 1986-02-10 1989-11-01 Isoworth Ltd Beverage dispensing apparatus
US4960261A (en) 1986-03-17 1990-10-02 Isoworth Limited Gas cylinder connector
GB2218767A (en) 1988-05-17 1989-11-22 Isoworth Ltd Gas cylinder connector
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
JPS62249456A (en) 1986-04-23 1987-10-30 Hitachi Micro Comput Eng Ltd Electronic device
US4760525A (en) 1986-06-10 1988-07-26 The United States Of America As Represented By The Secretary Of The Air Force Complex arithmetic vector processor for performing control function, scalar operation, and set-up of vector signal processing instruction
US4760544A (en) 1986-06-20 1988-07-26 Plessey Overseas Limited Arithmetic logic and shift device
JPH0764789B2 (en) 1986-08-06 1995-07-12 ダイセル化学工業株式会社 Manufacturing method of methacrylic acid ester
US4811214A (en) 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US4766548A (en) 1987-01-02 1988-08-23 Pepsico Inc. Telelink monitoring and reporting system
US4781309A (en) 1987-02-19 1988-11-01 The Cornelius Company Dispenser with improved carbonated water manifold
JPS63147258U (en) 1987-03-16 1988-09-28
US4856684A (en) 1987-04-06 1989-08-15 William Gerstung Valve for a pressurized dispensing can containing flowable materials
US5381546A (en) 1987-04-13 1995-01-10 Gte Laboratories Incorporated Control process for allocating services in communications systems
US5818603A (en) 1996-03-29 1998-10-06 Ricoh Company, Ltd. Method and system for controlling and communicating with machines using multiple communication formats
US4800492A (en) 1987-05-13 1989-01-24 The Coca-Cola Company Data logger for a post-mix beverage dispensing system
US4827426A (en) 1987-05-18 1989-05-02 The Coca-Cola Company Data acquisition and processing system for post-mix beverage dispensers
US4850269A (en) 1987-06-26 1989-07-25 Aquatec, Inc. Low pressure, high efficiency carbonator and method
US5132898A (en) * 1987-09-30 1992-07-21 Mitsubishi Denki Kabushiki Kaisha System for processing data having different formats
GB2210441B (en) 1987-10-01 1992-03-04 Isoworth Ltd Pressure vessel
JPH03501070A (en) 1987-11-05 1991-03-07 ザ、プレイカウント、カンパニー Video cassette rental system and method, and recording playback counting device therefor
US4921315A (en) 1987-12-21 1990-05-01 Whirlpool Corporation Refrigerator door structure
US5428754A (en) * 1988-03-23 1995-06-27 3Dlabs Ltd Computer system with clock shared between processors executing separate instruction streams
US5572572A (en) 1988-05-05 1996-11-05 Transaction Technology, Inc. Computer and telephone apparatus with user friendly interface and enhanced integrity features
US4932564A (en) 1988-05-20 1990-06-12 The Cornelius Company Multiple flavor post-mix beverage dispensing head
JPH03500461A (en) 1988-07-22 1991-01-31 アメリカ合衆国 Data flow device for data-driven calculations
US4901887A (en) 1988-08-08 1990-02-20 Burton John W Beverage dispensing system
DE3829831A1 (en) 1988-09-02 1990-03-15 Hansa Metallwerke Ag DEVICE FOR TAPING A SELECTABLE QUANTITY OF LIQUID, IN PARTICULAR QUANTITY OF WATER
US4930666A (en) 1988-10-28 1990-06-05 The Coca-Cola Company Juice dispensing system for a refrigerator door
US5240144A (en) 1989-01-06 1993-08-31 Joseph Feldman Beverage dispensing apparatus
US5090015A (en) 1989-02-06 1992-02-18 Motorola, Inc. Programmable array logic self-checking system
ES2104580T3 (en) 1989-02-24 1997-10-16 At & T Corp ADAPTIVE PLANNING OF TASKS FOR MULTIPROCESS SYSTEMS.
US5007560A (en) 1989-03-01 1991-04-16 Sassak John J Beer dispensing and monitoring method and apparatus
ES2100883T3 (en) 1989-06-23 1997-07-01 Isoworth Holdings Limited APPARATUS FOR PREPARING OR DISTRIBUTING BEVERAGES.
US5261099A (en) 1989-08-24 1993-11-09 International Business Machines Corp. Synchronous communications scheduler allowing transient computing overloads using a request buffer
US5193151A (en) 1989-08-30 1993-03-09 Digital Equipment Corporation Delay-based congestion avoidance in computer networks
US5163131A (en) 1989-09-08 1992-11-10 Auspex Systems, Inc. Parallel i/o network file server architecture
GB2236736A (en) 1989-09-27 1991-04-17 Isoworth Ltd Carbonation apparatus for dispensing drinks, with plural carbonation chambers
US4961533A (en) 1989-09-27 1990-10-09 Viac Inc. Inventory control system
US5044171A (en) 1989-11-06 1991-09-03 Eli Farkas Counter with integral carbonated beverage dispenser
US5450557A (en) 1989-11-07 1995-09-12 Loral Aerospace Corp. Single-chip self-configurable parallel processor
GB2237908B (en) * 1989-11-08 1993-06-16 British Aerospace Method and apparatus for parallel processing data
US5428793A (en) 1989-11-13 1995-06-27 Hewlett-Packard Company Method and apparatus for compiling computer programs with interproceduural register allocation
EP0517856B1 (en) 1990-02-27 1995-07-12 The Coca-Cola Company Multiple fluid space dispenser and monitor
JP3210319B2 (en) 1990-03-01 2001-09-17 株式会社東芝 Neurochip and neurocomputer using the chip
US5203474A (en) 1990-06-16 1993-04-20 Alco Standard Corporation Beverage dispensing nozzle
US5303846A (en) 1990-09-17 1994-04-19 Abcc/Techcorp. Method and apparatus for generating and dispensing flavoring syrup in a post mix system
EP0477595A3 (en) * 1990-09-26 1992-11-19 Siemens Aktiengesellschaft Cache memory device with m bus connections
US5274832A (en) 1990-10-04 1993-12-28 National Semiconductor Corporation Systolic array for multidimensional matrix computations
US5190189A (en) 1990-10-30 1993-03-02 Imi Cornelius Inc. Low height beverage dispensing apparatus
US5156301A (en) 1990-12-17 1992-10-20 Imi Cornelius Inc. Constant ratio post-mix beverage dispensing valve
US5202993A (en) 1991-02-27 1993-04-13 Sun Microsystems, Inc. Method and apparatus for cost-based heuristic instruction scheduling
US5301100A (en) 1991-04-29 1994-04-05 Wagner Ferdinand H Method of and apparatus for constructing a control system and control system created thereby
US5156871A (en) 1991-05-01 1992-10-20 Imi Cornelius Inc. Low cost beverage carbonating apparatus and method
US5193718A (en) 1991-06-25 1993-03-16 Imi Cornelius Inc. Quick electronic disconnect for a beverage dispensing valve
US5440752A (en) 1991-07-08 1995-08-08 Seiko Epson Corporation Microprocessor architecture with a switch network for data transfer between cache, memory port, and IOU
US5339428A (en) 1991-09-04 1994-08-16 Digital Equipment Corporation Compiler allocating a register to a data item used between a use and store of another data item previously allocated to the register
WO1994009595A1 (en) * 1991-09-20 1994-04-28 Shaw Venson M Method and apparatus including system architecture for multimedia communications
US5625669A (en) 1991-09-27 1997-04-29 Telemac Cellular Corporation Mobile phone with internal call accounting controls
JP3124074B2 (en) 1991-09-30 2001-01-15 富士通株式会社 Information vending machine
US5278986A (en) 1991-12-13 1994-01-11 Thinking Machines Corporation System and method for compiling a source code supporting data parallel variables
AU3247393A (en) 1991-12-23 1993-07-28 Intel Corporation Circuitry for decoding huffman codes
US5522070A (en) 1992-03-19 1996-05-28 Fujitsu Limited Computer resource distributing method and system for distributing a multiplicity of processes to a plurality of computers connected in a network
US5269442A (en) * 1992-05-22 1993-12-14 The Cornelius Company Nozzle for a beverage dispensing valve
US5768561A (en) 1992-06-30 1998-06-16 Discovision Associates Tokens-based adaptive video processing arrangement
US5802290A (en) * 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5684980A (en) 1992-07-29 1997-11-04 Virtual Computer Corporation FPGA virtual computer for executing a sequence of program instructions by successively reconfiguring a group of FPGA in response to those instructions
US5368198A (en) 1992-08-26 1994-11-29 Imi Cornelius Inc. Beverage dispenser
US5437395A (en) 1992-09-15 1995-08-01 Imi Cornelius Inc. Modular beverage dispenser
GB9222840D0 (en) 1992-10-31 1992-12-16 Smiths Industries Plc Electronic assemblies
US5603043A (en) * 1992-11-05 1997-02-11 Giga Operations Corporation System for compiling algorithmic language source code for implementation in programmable hardware
US5263509A (en) 1992-11-12 1993-11-23 General Electric Company Refrigerator with door mounted dispenser supply mechanism
US5392960A (en) * 1992-11-13 1995-02-28 Wilshire Partners Postmix beverage dispenser and a method for making a beverage dispenser
US6192255B1 (en) * 1992-12-15 2001-02-20 Texas Instruments Incorporated Communication system and methods for enhanced information transfer
US5335276A (en) 1992-12-16 1994-08-02 Texas Instruments Incorporated Communication system and methods for enhanced information transfer
US5675579A (en) * 1992-12-17 1997-10-07 Tandem Computers Incorporated Method for verifying responses to messages using a barrier message
US5452457A (en) 1993-01-29 1995-09-19 International Business Machines Corporation Program construct and methods/systems for optimizing assembled code for execution
US5297400A (en) 1993-02-17 1994-03-29 Maytag Corporation Liquid dispensing assembly for a refrigerator
US5280711A (en) 1993-02-25 1994-01-25 Imi Cornelius Inc. Low cost beverage dispensing apparatus
US5483658A (en) * 1993-02-26 1996-01-09 Grube; Gary W. Detection of unauthorized use of software applications in processing devices
US5379343A (en) 1993-02-26 1995-01-03 Motorola, Inc. Detection of unauthorized use of software applications in communication units
EP0689694B1 (en) * 1993-03-15 1997-01-02 Siemens Aktiengesellschaft Process for the machine-generation of parallel processable command groups from a program for super-scalar microprocessors
JP3499252B2 (en) 1993-03-19 2004-02-23 株式会社ルネサステクノロジ Compiling device and data processing device
US5870427A (en) * 1993-04-14 1999-02-09 Qualcomm Incorporated Method for multi-mode handoff using preliminary time alignment of a mobile station operating in analog mode
US6513125B1 (en) * 1993-04-22 2003-01-28 Analog Devices, Inc. Multi-phase multi-access pipeline memory system in which the pipeline memory can decode addresses issued by one processor while simultaneously accessing memory array by other processor
FI932605A (en) 1993-06-07 1994-12-08 Nokia Telecommunications Oy Receiver device for base station
US5517667A (en) * 1993-06-14 1996-05-14 Motorola, Inc. Neural network that does not require repetitive training
US5343716A (en) 1993-06-29 1994-09-06 Imi Cornelius Inc. Beverage dispenser with improved cold plate
JP3159345B2 (en) * 1993-07-02 2001-04-23 日本電気株式会社 Pipeline arithmetic processing unit
JPH0728786A (en) * 1993-07-15 1995-01-31 Hitachi Ltd Vector processor
US5507009A (en) 1993-08-13 1996-04-09 Motorola, Inc. Method for reprogramming a communication unit's access to a wireless communication system
US5701482A (en) 1993-09-03 1997-12-23 Hughes Aircraft Company Modular array processor architecture having a plurality of interconnected load-balanced parallel processing nodes
US5732563A (en) * 1993-09-22 1998-03-31 Imi Cornelius Inc. Electronically controlled beverage dispenser
CA2126265A1 (en) * 1993-09-27 1995-03-28 Michael Robert Cantone System for synthesizing field programmable gate array implementations from high level circuit descriptions
GB2288677B (en) * 1993-09-28 1998-05-13 Namco Ltd Pipeline processing device, clipping processing device, three-dimensional simulator device and pipeline processing method
BR9304369A (en) 1993-10-26 1995-06-20 Imi Cornelius Brasil Ltda Liquid extractor assembly from a container
US5862961A (en) * 1993-10-26 1999-01-26 Imi Cornelius Inc. Connection device for dispensing fluid from a bottle
US6111935A (en) 1993-10-27 2000-08-29 Canon Kabushiki Kaisha Adaptive expansion table in a digital telephone receiver
US5490165A (en) * 1993-10-28 1996-02-06 Qualcomm Incorporated Demodulation element assignment in a system capable of receiving multiple signals
US5721854A (en) * 1993-11-02 1998-02-24 International Business Machines Corporation Method and apparatus for dynamic conversion of computer instructions
DE69431998T2 (en) 1993-11-05 2004-08-05 Intergraph Hardware Technologies Co., Las Vegas Superscalar computer architecture with software scheduling
KR960010668B1 (en) 1993-11-06 1996-08-07 엘지전자 주식회사 Refrigerator
US5530435A (en) 1993-12-09 1996-06-25 Steelcase Inc. Utility distribution system for modular furniture and the like
JP2655068B2 (en) 1993-12-30 1997-09-17 日本電気株式会社 Spread spectrum receiver
US5491823A (en) * 1994-01-25 1996-02-13 Silicon Graphics, Inc. Loop scheduler
US5635940A (en) 1994-02-02 1997-06-03 Hickman; Paul L. Communication configurator and method for implementing same
US5519694A (en) 1994-02-04 1996-05-21 Massachusetts Institute Of Technology Construction of hierarchical networks through extension
GB9403030D0 (en) 1994-02-17 1994-04-06 Austin Kenneth Re-configurable application specific device
BR7400414U (en) 1994-03-04 1994-07-26 Spal Ind Brasileira De Bebidas Soft drink dispensing machine
US5454406A (en) 1994-05-13 1995-10-03 Eaton Corporation Automatic beverage dispenser
US5694546A (en) 1994-05-31 1997-12-02 Reisman; Richard R. System for automatic unattended electronic information transport between a server and a client by a vendor provided transport software with a manifest list
US5557734A (en) * 1994-06-17 1996-09-17 Applied Intelligent Systems, Inc. Cache burst architecture for parallel processing, such as for image processing
GB2291567B (en) 1994-07-01 1999-02-24 Roke Manor Research Apparatus for use in equipment providing a digital radio link between a fixed and a mobile radio unit
FI943249A (en) 1994-07-07 1996-01-08 Nokia Mobile Phones Ltd Procedure for controlling recipients and recipients
US5745366A (en) 1994-07-14 1998-04-28 Omnicell Technologies, Inc. Pharmaceutical dispensing device and methods
US5655140A (en) 1994-07-22 1997-08-05 Network Peripherals Apparatus for translating frames of data transferred between heterogeneous local area networks
US5630206A (en) 1994-08-11 1997-05-13 Stanford Telecommunications, Inc. Position enhanced cellular telephone system
US5499758A (en) 1994-08-19 1996-03-19 Mccann's Engineering & Manufacturing Co. Liquid dispenser for use with containers
US6056194A (en) 1995-08-28 2000-05-02 Usa Technologies, Inc. System and method for networking and controlling vending machines
US5608643A (en) * 1994-09-01 1997-03-04 General Programming Holdings, Inc. System for managing multiple dispensing units and method of operation
FR2724273B1 (en) * 1994-09-05 1997-01-03 Sgs Thomson Microelectronics SIGNAL PROCESSING CIRCUIT FOR IMPLEMENTING A VITERBI ALGORITHM
JP3525353B2 (en) 1994-09-28 2004-05-10 株式会社リコー Digital electronic still camera
JPH08106375A (en) 1994-10-03 1996-04-23 Ricoh Co Ltd Signal processing computing element
US5600810A (en) * 1994-12-09 1997-02-04 Mitsubishi Electric Information Technology Center America, Inc. Scaleable very long instruction word processor with parallelism matching
US5602833A (en) * 1994-12-19 1997-02-11 Qualcomm Incorporated Method and apparatus for using Walsh shift keying in a spread spectrum communication system
US5636368A (en) 1994-12-23 1997-06-03 Xilinx, Inc. Method for programming complex PLD having more than one function block type
DE4446882B4 (en) 1994-12-27 2004-02-12 BSH Bosch und Siemens Hausgeräte GmbH Device for repeated, independent dosing of precisely metered amounts of a powdery cleaning agent in water-carrying cleaning machines, in particular household dishwashers and household washing machines
KR0146100B1 (en) * 1995-01-07 1998-09-15 이헌조 Information acquisition and analysis device
US5706191A (en) * 1995-01-19 1998-01-06 Gas Research Institute Appliance interface apparatus and automated residence management system
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
EP1431864B2 (en) 1995-02-13 2012-08-22 Intertrust Technologies Corporation Systems and methods for secure transaction management and electronic rights protection
US5892900A (en) 1996-08-30 1999-04-06 Intertrust Technologies Corp. Systems and methods for secure transaction management and electronic rights protection
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5696906A (en) * 1995-03-09 1997-12-09 Continental Cablevision, Inc. Telecommunicaion user account management system and method
US5669001A (en) 1995-03-23 1997-09-16 International Business Machines Corporation Object code compatible representation of very long instruction word programs
US5737631A (en) 1995-04-05 1998-04-07 Xilinx Inc Reprogrammable instruction set accelerator
US6085740A (en) 1996-02-21 2000-07-11 Aerogen, Inc. Liquid dispensing apparatus and methods
US5835753A (en) * 1995-04-12 1998-11-10 Advanced Micro Devices, Inc. Microprocessor with dynamically extendable pipeline stages and a classifying circuit
US5611867A (en) * 1995-04-12 1997-03-18 Maytag Corporation Method of selecting a wash cycle for an appliance
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
US5794062A (en) 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US6021186A (en) * 1995-04-17 2000-02-01 Ricoh Company Ltd. Automatic capture and processing of facsimile transmissions
WO1996033558A1 (en) 1995-04-18 1996-10-24 Advanced Micro Devices, Inc. Method and apparatus for hybrid vlc bitstream decoding
US5534796A (en) * 1995-04-21 1996-07-09 Intergraph Corporation Self-clocking pipeline register
US5751295A (en) 1995-04-27 1998-05-12 Control Systems, Inc. Graphics accelerator chip and method
GB9509988D0 (en) * 1995-05-17 1995-07-12 Sgs Thomson Microelectronics Matrix transposition
US5704053A (en) * 1995-05-18 1997-12-30 Hewlett-Packard Company Efficient explicit data prefetching analysis and code generation in a low-level optimizer for inserting prefetch instructions into loops of applications
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5634190A (en) 1995-06-06 1997-05-27 Globalstar L.P. Low earth orbit communication satellite gateway-to-gateway relay system
US5787237A (en) 1995-06-06 1998-07-28 Apple Computer, Inc. Uniform interface for conducting communications in a heterogeneous computing network
US5613004A (en) 1995-06-07 1997-03-18 The Dice Company Steganographic method and device
US5553755A (en) 1995-06-09 1996-09-10 Summit Packaging Systems, Inc. Whipped cream dispenser
US5542265A (en) 1995-06-30 1996-08-06 Rutland; Michael D. External refrigerator-mounted liquid dispenser
FR2736787B1 (en) 1995-07-11 1997-08-08 Alcatel Business Systems COMMUNICATION SYSTEM AND CORRESPONDING EQUIPMENT FOR SUBSCRIBER INSTALLATION
US5768594A (en) 1995-07-14 1998-06-16 Lucent Technologies Inc. Methods and means for scheduling parallel processors
US5822308A (en) 1995-07-17 1998-10-13 National Semiconductor Corporation Multi-tasking sequencer for a TDMA burst mode controller
US5842004A (en) * 1995-08-04 1998-11-24 Sun Microsystems, Inc. Method and apparatus for decompression of compressed geometric three-dimensional graphics data
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5991308A (en) 1995-08-25 1999-11-23 Terayon Communication Systems, Inc. Lower overhead method for data transmission using ATM and SCDMA over hybrid fiber coax cable plant
US5623545A (en) 1995-08-31 1997-04-22 National Semiconductor Corporation Automatic data generation for self-test of cryptographic hash algorithms in personal security devices
US5822360A (en) 1995-09-06 1998-10-13 Solana Technology Development Corporation Method and apparatus for transporting auxiliary data in audio signals
US5845815A (en) 1995-09-08 1998-12-08 Imi Cornelius Inc. Flow control for beverage dispensing valve
US5774737A (en) 1995-10-13 1998-06-30 Matsushita Electric Industrial Co., Ltd. Variable word length very long instruction word instruction processor with word length register or instruction number register
US5742821A (en) 1995-11-08 1998-04-21 Lucent Technologies Inc. Multiprocessor scheduling and execution
US5601158A (en) * 1995-11-13 1997-02-11 Transtech Resources, Inc. Wheel blocking system
EP0778240A1 (en) 1995-12-08 1997-06-11 IMI Cornelius Inc. Electro-mechanical refrigeration system
US6473609B1 (en) 1995-12-11 2002-10-29 Openwave Systems Inc. Method and architecture for interactive two-way communication devices to interact with a network
US5734582A (en) 1995-12-12 1998-03-31 International Business Machines Corporation Method and system for layout and schematic generation for heterogeneous arrays
KR100187284B1 (en) 1995-12-19 1999-05-01 김광호 Water dispenser of a refrigerator
US5706976A (en) * 1995-12-21 1998-01-13 Purkey; Jay Floyd Vending machine inventory control device
US6247036B1 (en) 1996-01-22 2001-06-12 Infinite Technology Corp. Processor with reconfigurable arithmetic data path
US6510510B1 (en) * 1996-01-25 2003-01-21 Analog Devices, Inc. Digital signal processor having distributed register file
US5889816A (en) * 1996-02-02 1999-03-30 Lucent Technologies, Inc. Wireless adapter architecture for mobile computing
US5791517A (en) 1996-02-21 1998-08-11 Menachem M. Deren Beverage dispenser device
US6237029B1 (en) 1996-02-26 2001-05-22 Argosystems, Inc. Method and apparatus for adaptable digital protocol processing
US5894473A (en) 1996-02-29 1999-04-13 Ericsson Inc. Multiple access communications system and method using code and time division
FR2745649B1 (en) 1996-03-01 1998-04-30 Bull Sa SYSTEM FOR CONFIGURING PRECONFIGURED SOFTWARE ON NETWORK OPEN SYSTEMS IN A DISTRIBUTED ENVIRONMENT AND METHOD IMPLEMENTED BY SUCH A SYSTEM
US6393046B1 (en) * 1996-04-25 2002-05-21 Sirf Technology, Inc. Spread spectrum receiver with multi-bit correlator
US6055314A (en) 1996-03-22 2000-04-25 Microsoft Corporation System and method for secure purchase and delivery of video content programs
US6346824B1 (en) * 1996-04-09 2002-02-12 Xilinx, Inc. Dedicated function fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5802055A (en) 1996-04-22 1998-09-01 Apple Computer, Inc. Method and apparatus for dynamic buffer allocation in a bus bridge for pipelined reads
US5903886A (en) 1996-04-30 1999-05-11 Smartlynx, Inc. Hierarchical adaptive state machine for emulating and augmenting software
US5626407A (en) 1996-05-10 1997-05-06 Emplast, Inc. Storage system for refrigerators
US6181981B1 (en) * 1996-05-15 2001-01-30 Marconi Communications Limited Apparatus and method for improved vending machine inventory maintenance
US5771362A (en) * 1996-05-17 1998-06-23 Advanced Micro Devices, Inc. Processor having a bus interconnect which is dynamically reconfigurable in response to an instruction field
US5822313A (en) 1996-05-24 1998-10-13 National Semiconductor Corporation Seamless handover in a cordless TDMA system
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5905893A (en) * 1996-06-10 1999-05-18 Lsi Logic Corporation Microprocessor adapted for executing both a non-compressed fixed length instruction set and a compressed variable length instruction set
US5907580A (en) 1996-06-10 1999-05-25 Morphics Technology, Inc Method and apparatus for communicating information
US6175854B1 (en) * 1996-06-11 2001-01-16 Ameritech Services, Inc. Computer system architecture and method for multi-user, real-time applications
US5887174A (en) * 1996-06-18 1999-03-23 International Business Machines Corporation System, method, and program product for instruction scheduling in the presence of hardware lookahead accomplished by the rescheduling of idle slots
US6192388B1 (en) * 1996-06-20 2001-02-20 Avid Technology, Inc. Detecting available computers to participate in computationally complex distributed processing problem
US5784306A (en) * 1996-06-28 1998-07-21 Cirrus Logic, Inc. Parallel multiply accumulate array circuit
US5867145A (en) 1996-07-01 1999-02-02 Sun Microsystems, Inc. Graphical image recasting
US6360256B1 (en) 1996-07-01 2002-03-19 Sun Microsystems, Inc. Name service for a redundant array of internet servers
US6023742A (en) * 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
CA2210582C (en) 1996-07-24 2001-01-30 Ntt Mobile Communications Network Inc. Method and apparatus for receiving cdma radio communication
US5890014A (en) * 1996-08-05 1999-03-30 Micronet Technology, Inc. System for transparently identifying and matching an input/output profile to optimal input/output device parameters
JP3123440B2 (en) 1996-08-14 2001-01-09 日本電気株式会社 Channel selection method for wireless communication system
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US6226387B1 (en) 1996-08-30 2001-05-01 Regents Of The University Of Minnesota Method and apparatus for scene-based video watermarking
US6041970A (en) 1996-08-30 2000-03-28 Imi Cornelius Inc. Pre-mix beverage dispensing system and components thereof
US5828858A (en) 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US5790817A (en) 1996-09-25 1998-08-04 Advanced Micro Devices, Inc. Configurable digital wireless and wired communications system architecture for implementing baseband functionality
US5825202A (en) 1996-09-26 1998-10-20 Xilinx, Inc. Integrated circuit with field programmable and application specific logic areas
US6021492A (en) * 1996-10-09 2000-02-01 Hewlett-Packard Company Software metering management of remote computing devices
US6016395A (en) * 1996-10-18 2000-01-18 Samsung Electronics Co., Ltd. Programming a vector processor and parallel programming of an asymmetric dual multiprocessor comprised of a vector processor and a risc processor
GB2318663B (en) 1996-10-25 2000-06-28 Altera Corp Hierarchical interconnect for programmable logic devices
US5950131A (en) 1996-10-29 1999-09-07 Motorola, Inc. Method and apparatus for fast pilot channel acquisition using a matched filter in a CDMA radiotelephone
US6005943A (en) 1996-10-29 1999-12-21 Lucent Technologies Inc. Electronic identifiers for network terminal devices
US5913172A (en) 1996-11-15 1999-06-15 Glenayre Electronics, Inc. Method and apparatus for reducing phase cancellation in a simulcast paging system
US7607147B1 (en) 1996-12-11 2009-10-20 The Nielsen Company (Us), Llc Interactive service device metering systems
US6246883B1 (en) 1996-12-24 2001-06-12 Lucent Technologies, Inc. Mobile base station
US5987611A (en) 1996-12-31 1999-11-16 Zone Labs, Inc. System and methodology for managing internet access on a per application basis for client computers connected to the internet
TW361051B (en) * 1997-01-09 1999-06-11 Matsushita Electric Ind Co Ltd Motion vector detection apparatus
US5953322A (en) 1997-01-31 1999-09-14 Qualcomm Incorporated Cellular internet telephone
US5940438A (en) 1997-02-18 1999-08-17 Mitsubishi Electric Information Technology Center America, Inc (Ita) Universal modem for digital video, audio and data communications
US6289488B1 (en) 1997-02-24 2001-09-11 Lucent Technologies Inc. Hardware-software co-synthesis of hierarchical heterogeneous distributed embedded systems
US6289434B1 (en) 1997-02-28 2001-09-11 Cognigine Corporation Apparatus and method of implementing systems on silicon using dynamic-adaptive run-time reconfigurable circuits for processing multiple, independent data and control streams of varying rates
US6061580A (en) 1997-02-28 2000-05-09 Randice-Lisa Altschul Disposable wireless telephone and method for call-out only
JP3340343B2 (en) 1997-03-13 2002-11-05 株式会社東芝 Processor and information processing device
US6059840A (en) 1997-03-17 2000-05-09 Motorola, Inc. Automatic scheduling of instructions to reduce code size
DE19711479C2 (en) 1997-03-19 2002-10-24 Bauer Maschinen Gmbh Method for operating a work module and device
US5933855A (en) * 1997-03-21 1999-08-03 Rubinstein; Richard Shared, reconfigurable memory architectures for digital signal processing
US5912572A (en) 1997-03-28 1999-06-15 Cypress Semiconductor Corp. Synchronizing clock pulse generator for logic derived clock signals with synchronous clock suspension capability for a programmable device
US6115751A (en) 1997-04-10 2000-09-05 Cisco Technology, Inc. Technique for capturing information needed to implement transmission priority routing among heterogeneous nodes of a computer network
US5991302A (en) 1997-04-10 1999-11-23 Cisco Technology, Inc. Technique for maintaining prioritization of data transferred among heterogeneous nodes of a computer network
EP0872991B1 (en) 1997-04-15 2006-07-26 Hewlett-Packard Company, A Delaware Corporation Method and apparatus for device interaction by format
US6041322A (en) 1997-04-18 2000-03-21 Industrial Technology Research Institute Method and apparatus for processing data in a neural network
JP3555729B2 (en) * 1997-04-22 2004-08-18 日本ビクター株式会社 Method and apparatus for processing variable-length encoded data
US5860021A (en) * 1997-04-24 1999-01-12 Klingman; Edwin E. Single chip microcontroller having down-loadable memory organization supporting "shadow" personality, optimized for bi-directional data transfers over a communication channel
US6219697B1 (en) 1997-05-02 2001-04-17 3Com Corporation Method and apparatus for operating the internet protocol over a high-speed serial bus
US5886537A (en) * 1997-05-05 1999-03-23 Macias; Nicholas J. Self-reconfigurable parallel processor made from regularly-connected self-dual code/data processing cells
US6047115A (en) 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US5917852A (en) 1997-06-11 1999-06-29 L-3 Communications Corporation Data scrambling system and method and communications system incorporating same
US5949415A (en) 1997-06-16 1999-09-07 Intel Corporation Method and apparatus for tracking program usage in a computer system
FI105251B (en) * 1997-06-18 2000-06-30 Nokia Mobile Phones Ltd A method for identifying base stations in a time division cellular network in a mobile station and a mobile station
US6292827B1 (en) 1997-06-20 2001-09-18 Shore Technologies (1999) Inc. Information transfer systems and method with dynamic distribution of data, control and management of information
US6628699B2 (en) 1997-06-23 2003-09-30 Schlumberger Resource Management Systems, Inc. Receiving a spread spectrum signal
EP0887989A3 (en) 1997-06-25 2001-02-28 FISHER &amp; PAYKEL LIMITED Appliance communication system
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
WO1999003776A1 (en) 1997-07-14 1999-01-28 Isoworth Uk Limited Temperature controlled beverage dispensing apparatus
ES2476600T3 (en) 1997-07-17 2014-07-15 Inventergy, Inc. CDMA radio communications system and transmission device for said system
US6111893A (en) 1997-07-31 2000-08-29 Cisco Technology, Inc. Universal protocol conversion
US6292830B1 (en) 1997-08-08 2001-09-18 Iterations Llc System for optimizing interaction among agents acting on multiple levels
US6311149B1 (en) 1997-08-18 2001-10-30 National Instruments Corporation Reconfigurable test system
US6006249A (en) 1997-08-19 1999-12-21 The Chase Manhattan Bank Method and apparatus for concurrent data processing
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
IL126149A (en) 1997-09-09 2003-07-31 Sanctum Ltd Method and system for protecting operations of trusted internal networks
KR100246399B1 (en) 1997-09-23 2000-04-01 구자홍 Dispenser assembly for refrigerator and control method thereof
US6036166A (en) 1997-09-25 2000-03-14 Imi Cornelius Inc. Chamber valve
US6120551A (en) 1997-09-29 2000-09-19 Xilinx, Inc. Hardwire logic device emulating an FPGA
US6363411B1 (en) 1998-08-05 2002-03-26 Mci Worldcom, Inc. Intelligent network
US6590415B2 (en) 1997-10-09 2003-07-08 Lattice Semiconductor Corporation Methods for configuring FPGA's having variable grain components for providing time-shared access to interconnect resources
US6195788B1 (en) * 1997-10-17 2001-02-27 Altera Corporation Mapping heterogeneous logic elements in a programmable logic device
CA2308755A1 (en) 1997-10-20 1999-04-29 Robert C. Ledzius Reconfigurable secure hardware apparatus and method of operation
US5999734A (en) 1997-10-21 1999-12-07 Ftl Systems, Inc. Compiler-oriented apparatus for parallel compilation, simulation and execution of computer programs and hardware models
US5873045A (en) * 1997-10-29 1999-02-16 International Business Machines Corporation Mobile client computer with radio frequency transceiver
US5993739A (en) 1997-10-29 1999-11-30 Chaircare Continuous washing system
US6122670A (en) 1997-10-30 2000-09-19 Tsi Telsys, Inc. Apparatus and method for constructing data for transmission within a reliable communication protocol by performing portions of the protocol suite concurrently
FR2770659A1 (en) 1997-10-31 1999-05-07 Sgs Thomson Microelectronics IMPROVED PROCESSING PROCESSOR
DE69835529T2 (en) 1997-11-03 2007-01-18 Harris Corp., Melbourne RECONFIGURABLE RADIO SYSTEM CONSTRUCTION
US6185418B1 (en) * 1997-11-07 2001-02-06 Lucent Technologies Inc. Adaptive digital radio communication system
GB9724779D0 (en) 1997-11-24 1998-01-21 Rpc Containers Ltd Containers
JP3985204B2 (en) 1997-12-09 2007-10-03 ソニー株式会社 Information broadcasting method, receiver, information center, and receiving method
US6046603A (en) 1997-12-12 2000-04-04 Xilinx, Inc. Method and apparatus for controlling the partial reconfiguration of a field programmable gate array
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
US6018783A (en) * 1997-12-12 2000-01-25 Advanced Micro Devices, Inc. Register access controller which prevents simultaneous coupling of more than one register to a bus interface
DE69827589T2 (en) 1997-12-17 2005-11-03 Elixent Ltd. Configurable processing assembly and method of using this assembly to build a central processing unit
DE69838374T2 (en) 1997-12-23 2008-05-29 Texas Instruments Inc., Dallas Processor and method for reducing its power consumption
JPH11184674A (en) * 1997-12-24 1999-07-09 Fujitsu Ltd Register file
US6192070B1 (en) * 1998-01-02 2001-02-20 Mitsubishi Electric Research Laboratories, Inc. Universal modem for digital video, audio and data communications
US5959811A (en) 1998-01-13 1999-09-28 Read-Rite Corporation Magnetoresistive transducer with four-lead contact
US6039219A (en) 1998-01-20 2000-03-21 Bach; Lanae E. Liquid dispensing system for a refrigerator
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
US6366999B1 (en) 1998-01-28 2002-04-02 Bops, Inc. Methods and apparatus to support conditional execution in a VLIW-based array processor with subword execution
US6378072B1 (en) 1998-02-03 2002-04-23 Compaq Computer Corporation Cryptographic system
US6094726A (en) 1998-02-05 2000-07-25 George S. Sheng Digital signal processor using a reconfigurable array of macrocells
US6076174A (en) 1998-02-19 2000-06-13 United States Of America Scheduling framework for a heterogeneous computer network
US6360263B1 (en) 1998-02-25 2002-03-19 International Business Machines Corporation Dynamic resource allocation for user management in multi-processor time shared computer systems
JPH11261440A (en) 1998-03-11 1999-09-24 Oki Electric Ind Co Ltd Receiver
US6691148B1 (en) * 1998-03-13 2004-02-10 Verizon Corporate Services Group Inc. Framework for providing quality of service requirements in a distributed object-oriented computer system
US6073132A (en) 1998-03-27 2000-06-06 Lsi Logic Corporation Priority arbiter with shifting sequential priority scheme
JP3611714B2 (en) 1998-04-08 2005-01-19 株式会社ルネサステクノロジ Processor
US6202130B1 (en) 1998-04-17 2001-03-13 Motorola, Inc. Data processing system for processing vector data and method therefor
US6088043A (en) 1998-04-30 2000-07-11 3D Labs, Inc. Scalable graphics processor architecture
US6226735B1 (en) * 1998-05-08 2001-05-01 Broadcom Method and apparatus for configuring arbitrary sized data paths comprising multiple context processing elements
US6292822B1 (en) 1998-05-13 2001-09-18 Microsoft Corporation Dynamic load balancing among processors in a parallel computer
US6223222B1 (en) 1998-05-14 2001-04-24 3Com Corporation Method and system for providing quality-of-service in a data-over-cable system using configuration protocol messaging
US6411612B1 (en) 1998-05-19 2002-06-25 Harris Communication Selective modification of antenna directivity pattern to adaptively cancel co-channel interference in TDMA cellular communication system
KR20010043794A (en) 1998-06-05 2001-05-25 샌제이브 사이두 Computer implemented scheduling system and process using abstract local search technique
GB2338558A (en) 1998-06-17 1999-12-22 Isoworth Uk Ltd Drink dispenser, concentrate detector and concentrate container
US6305014B1 (en) 1998-06-18 2001-10-16 International Business Machines Corporation Lifetime-sensitive instruction scheduling mechanism and method
US6175892B1 (en) * 1998-06-19 2001-01-16 Hitachi America. Ltd. Registers and methods for accessing registers for use in a single instruction multiple data system
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
KR100333724B1 (en) 1998-06-30 2002-09-17 주식회사 하이닉스반도체 Mehod for forming metal wire of semiconductor device by using TiAlN antireflection layer
US6356994B1 (en) 1998-07-09 2002-03-12 Bops, Incorporated Methods and apparatus for instruction addressing in indirect VLIW processors
US6604085B1 (en) 1998-07-20 2003-08-05 Usa Technologies, Inc. Universal interactive advertising and payment system network for public access electronic commerce and business related products and services
EP0974906A3 (en) 1998-07-24 2008-12-24 Interuniversitair Microelektronica Centrum Vzw Method for determining an optimized memory organization of a digital device
US6587684B1 (en) 1998-07-28 2003-07-01 Bell Atlantic Nynex Mobile Digital wireless telephone system for downloading software to a digital telephone using wireless data link protocol
US6377983B1 (en) 1998-08-31 2002-04-23 International Business Machines Corporation Method and system for converting expertise based on document usage
US6442672B1 (en) 1998-09-30 2002-08-27 Conexant Systems, Inc. Method for dynamic allocation and efficient sharing of functional unit datapaths
US6381735B1 (en) 1998-10-02 2002-04-30 Microsoft Corporation Dynamic classification of sections of software
US6360259B1 (en) 1998-10-09 2002-03-19 United Technologies Corporation Method for optimizing communication speed between processors
US6301653B1 (en) 1998-10-14 2001-10-09 Conexant Systems, Inc. Processor containing data path units with forwarding paths between two data path units and a unique configuration or register blocks
US6219780B1 (en) 1998-10-27 2001-04-17 International Business Machines Corporation Circuit arrangement and method of dispatching instructions to multiple execution units
US6289375B1 (en) 1998-10-30 2001-09-11 International Business Machines Corporation Method and apparatus for invoking network agent functions using a hash table
US6052600A (en) 1998-11-23 2000-04-18 Motorola, Inc. Software programmable radio and method for configuring
US6138693A (en) 1998-11-23 2000-10-31 Matz; Warren W. Automatic detergent dispenser
US6563891B1 (en) 1998-11-24 2003-05-13 Telefonaktiebolaget L M Ericsson (Publ) Automatic gain control for slotted mode operation
US6405214B1 (en) 1998-12-17 2002-06-11 Hewlett-Packard Company Method of gathering usage information and transmitting to a primary server and a third party server by a client program
US6385751B1 (en) 1998-12-30 2002-05-07 Texas Instruments Incorporated Programmable, reconfigurable DSP implementation of a Reed-Solomon encoder/decoder
JP3585800B2 (en) * 1999-01-13 2004-11-04 株式会社東芝 Information processing equipment
US6618777B1 (en) * 1999-01-21 2003-09-09 Analog Devices, Inc. Method and apparatus for communicating between multiple functional units in a computer environment
JP3444216B2 (en) 1999-01-28 2003-09-08 日本電気株式会社 Programmable device
WO2000049496A1 (en) 1999-02-15 2000-08-24 Koninklijke Philips Electronics N.V. Data processor with a configurable functional unit and method using such a data processor
US20020083423A1 (en) 1999-02-17 2002-06-27 Elbrus International List scheduling algorithm for a cycle-driven instruction scheduler
JP3033575B1 (en) 1999-02-17 2000-04-17 日本電気株式会社 Image processing device
US6718541B2 (en) * 1999-02-17 2004-04-06 Elbrus International Limited Register economy heuristic for a cycle driven multiple issue instruction scheduler
US6510138B1 (en) * 1999-02-25 2003-01-21 Fairchild Semiconductor Corporation Network switch with head of line input buffer queue clearing
US6150838A (en) 1999-02-25 2000-11-21 Xilinx, Inc. FPGA configurable logic block with multi-purpose logic/memory circuit
US6271679B1 (en) 1999-03-24 2001-08-07 Altera Corporation I/O cell configuration for multiple I/O standards
US6349394B1 (en) * 1999-03-31 2002-02-19 International Business Machines Corporation Performance monitoring in a NUMA computer
US6141283A (en) 1999-04-01 2000-10-31 Intel Corporation Method and apparatus for dynamically placing portions of a memory in a reduced power consumption state
US6570877B1 (en) 1999-04-07 2003-05-27 Cisco Technology, Inc. Search engine for forwarding table content addressable memory
US6832250B1 (en) 1999-04-13 2004-12-14 Lexmark International, Inc. Usage-based billing and management system and method for printers and other assets
GB2349548A (en) 1999-04-27 2000-11-01 Roke Manor Research Downloading software to mobile telecommunication users
WO2000068784A1 (en) * 1999-05-06 2000-11-16 Koninklijke Philips Electronics N.V. Data processing device, method for executing load or store instructions and method for compiling programs
EP1177631B1 (en) 1999-05-07 2005-12-28 Infineon Technologies AG Heterogeneous programmable gate array
US6263057B1 (en) 1999-05-07 2001-07-17 Lucent Technologies Inc. Automatic telecommunications provider selection system
KR100450789B1 (en) 1999-05-25 2004-10-01 삼성전자주식회사 Apparatus for acquiring PN code and DS-CDMA receiver comprising it
JP4248703B2 (en) 1999-05-31 2009-04-02 パナソニック株式会社 Stream multiplexing device, data broadcasting device
JP2000353099A (en) 1999-06-01 2000-12-19 Tektronix Inc Flow control method in active pipeline
EP1061437A1 (en) 1999-06-16 2000-12-20 STMicroelectronics S.r.l. Improved control unit for electronic microcontrollers or microprocessors
US6347346B1 (en) * 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
US6901440B1 (en) 1999-07-02 2005-05-31 Agilent Technologies, Inc. System and method for universal service activation
KR100358427B1 (en) 1999-07-12 2002-10-25 한국전자통신연구원 Hardware-Efficient Demodulator for CDMA Adaptive Antenna Array Systems
US6359248B1 (en) 1999-08-02 2002-03-19 Xilinx, Inc. Method for marking packaged integrated circuits
WO2001011281A1 (en) 1999-08-09 2001-02-15 Imi Cornelius Brasil Ltda. Universal connector for interconnecting fluid carrying components of beverage dispensing devices
US6507947B1 (en) * 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6446169B1 (en) * 1999-08-31 2002-09-03 Micron Technology, Inc. SRAM with tag and data arrays for private external microprocessor bus
US6349346B1 (en) 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6675374B2 (en) * 1999-10-12 2004-01-06 Hewlett-Packard Development Company, L.P. Insertion of prefetch instructions into computer program code
US6430624B1 (en) 1999-10-21 2002-08-06 Air2Web, Inc. Intelligent harvesting and navigation system and method
US6421372B1 (en) 1999-11-10 2002-07-16 Itt Manufacturing Enterprises, Inc. Sequential-acquisition, multi-band, multi-channel, matched filter
US6691305B1 (en) * 1999-11-10 2004-02-10 Nec Corporation Object code compression using different schemes for different instruction types
US6539467B1 (en) * 1999-11-15 2003-03-25 Texas Instruments Incorporated Microprocessor with non-aligned memory access
EP1107512A1 (en) 1999-12-03 2001-06-13 Sony International (Europe) GmbH Communication device and software for operating multimedia applications
JP2001166947A (en) 1999-12-06 2001-06-22 Nec Corp Compile processing system
GB2357226B (en) 1999-12-08 2003-07-16 Hewlett Packard Co Security protocol
US6766163B1 (en) 1999-12-09 2004-07-20 Nokia Corpoaration Method and system of displaying teletext information on mobile devices
US6967999B2 (en) * 1999-12-30 2005-11-22 Infineon Technologies Ag Method and apparatus to support multi standard, multi service base-stations for wireless voice and data networks
AU2915201A (en) 1999-12-30 2001-07-16 Morphics Technology, Inc. A fast initial acquisition and search device for a spread spectrum communicationsystem
US6601158B1 (en) * 1999-12-30 2003-07-29 Pmc-Sierra, Inc. Count/address generation circuitry
WO2001056199A1 (en) 2000-01-28 2001-08-02 Morphics Technology Inc. Method and apparatus for processing a secondary synchronization channel in a spread spectrum system
US6701431B2 (en) 2000-01-28 2004-03-02 Infineon Technologies Ag Method of generating a configuration for a configurable spread spectrum communication device
US6711617B1 (en) 2000-02-09 2004-03-23 International Business Machines Corporation Method and apparatus for providing automatic configuration of a computer system based on its physical location using an electronically read schedule
US6438737B1 (en) 2000-02-15 2002-08-20 Intel Corporation Reconfigurable logic for a computer
US6735621B1 (en) 2000-02-18 2004-05-11 Nortel Networks Limited Method and apparatus for messaging between disparate networks
US7509420B2 (en) 2000-02-18 2009-03-24 Emc Corporation System and method for intelligent, globally distributed network storage
US6557078B1 (en) * 2000-02-21 2003-04-29 Hewlett Packard Development Company, L.P. Cache chain structure to implement high bandwidth low latency cache memory subsystem
US6778212B1 (en) 2000-02-22 2004-08-17 Pixim, Inc. Digital image sensor with on -chip programmable logic
AU2001237697A1 (en) 2000-03-17 2001-09-24 Filesx Ltd. Accelerating responses to requests made by users to an internet
US6662260B1 (en) * 2000-03-28 2003-12-09 Analog Devices, Inc. Electronic circuits with dynamic bus partitioning
US6446181B1 (en) * 2000-03-31 2002-09-03 Intel Corporation System having a configurable cache/SRAM memory
PL354956A1 (en) 2000-03-31 2004-03-22 General Dynamics Decision Systems, Inc. Scalable cryptographic engine
US6658048B1 (en) 2000-04-07 2003-12-02 Nokia Mobile Phones, Ltd. Global positioning system code phase detector with multipath compensation and method for reducing multipath components associated with a received signal
DE10019085A1 (en) 2000-04-10 2001-12-06 Francotyp Postalia Gmbh Arrangement and method for providing a message when loading service data for a terminal
US7181542B2 (en) * 2000-04-12 2007-02-20 Corente, Inc. Method and system for managing and configuring virtual private networks
DE10018374A1 (en) 2000-04-13 2001-10-18 Siemens Ag Mobile terminal such as personal digital assistant or communications terminal
US6804357B1 (en) 2000-04-28 2004-10-12 Nokia Corporation Method and system for providing secure subscriber content data
US6691143B2 (en) * 2000-05-11 2004-02-10 Cyberguard Corporation Accelerated montgomery multiplication using plural multipliers
US7044741B2 (en) 2000-05-20 2006-05-16 Young-Hie Leem On demand contents providing method and system
US20020010848A1 (en) * 2000-05-29 2002-01-24 Shoichi Kamano Data processing system
US6601086B1 (en) 2000-06-06 2003-07-29 Emware, Inc. Service provider for providing data, applications and services to embedded devices and for facilitating control and monitoring of embedded devices
US6606529B1 (en) 2000-06-09 2003-08-12 Frontier Technologies, Inc. Complex scheduling method and device
US6675265B2 (en) * 2000-06-10 2004-01-06 Hewlett-Packard Development Company, L.P. Multiprocessor cache coherence system and method in which processor nodes and input/output nodes are equal participants
US6684319B1 (en) * 2000-06-30 2004-01-27 Conexant Systems, Inc. System for efficient operation of a very long instruction word digital signal processor
US6410941B1 (en) 2000-06-30 2002-06-25 Motorola, Inc. Reconfigurable systems using hybrid integrated circuits with optical ports
WO2002011309A1 (en) 2000-07-31 2002-02-07 Morphics Technology, Inc. Generic finger architecture for spread spectrum applications
US7003015B2 (en) * 2000-07-31 2006-02-21 Infineon Technologies Ag Apparatus and method for configurable multi-dwell search engine for spread spectrum applications
AU2001278148A1 (en) 2000-08-01 2002-02-13 Hrl Laboratories, Llc Apparatus and method for context-sensitive dynamic information service
US20020032551A1 (en) 2000-08-07 2002-03-14 Jabari Zakiya Systems and methods for implementing hash algorithms
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
GB0019341D0 (en) * 2000-08-08 2000-09-27 Easics Nv System-on-chip solutions
US6745293B2 (en) * 2000-08-21 2004-06-01 Texas Instruments Incorporated Level 2 smartcache architecture supporting simultaneous multiprocessor accesses
FR2813409A1 (en) 2000-08-29 2002-03-01 Canon Res Ct France Sa Configuration of a peripheral for processing of electronic documents in a communication network, uses user data to access level of service available to that user on a network and prepares configuration from user data and service level data
JP3473695B2 (en) * 2000-08-30 2003-12-08 Necエレクトロニクス株式会社 Cell search method and circuit in W-CDMA system
US6754470B2 (en) 2000-09-01 2004-06-22 Telephia, Inc. System and method for measuring wireless device and network usage and performance metrics
KR100342483B1 (en) 2000-09-09 2002-06-28 윤종용 Apparatus and method for searching base station in umts
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6718182B1 (en) 2000-09-18 2004-04-06 Compal Electronics, Inc. Modularized functionality enhancement for a cellular telephone
US6771688B1 (en) 2000-09-19 2004-08-03 Lucent Technologies Inc. Segmented architecture for multiple sequence detection and identification in fading channels
JP2004512716A (en) 2000-10-02 2004-04-22 アルテラ・コーポレイション Programmable logic integrated circuit device including dedicated processor device
JP3933380B2 (en) 2000-10-05 2007-06-20 富士通株式会社 compiler
JPWO2002032029A1 (en) * 2000-10-06 2004-02-26 株式会社鷹山 Receiver
US7035932B1 (en) 2000-10-27 2006-04-25 Eric Morgan Dowling Federated multiprotocol communication
US6748360B2 (en) 2000-11-03 2004-06-08 International Business Machines Corporation System for selling a product utilizing audio content identification
US20020107962A1 (en) 2000-11-07 2002-08-08 Richter Roger K. Single chassis network endpoint system with network processor for load balancing
JP3415579B2 (en) * 2000-11-09 2003-06-09 松下電器産業株式会社 Matched filter and correlation detection calculation method
US6604174B1 (en) * 2000-11-10 2003-08-05 International Business Machines Corporation Performance based system and method for dynamic allocation of a unified multiport cache
US6766165B2 (en) 2000-12-05 2004-07-20 Nortel Networks Limited Method and system for remote and local mobile network management
US6738744B2 (en) 2000-12-08 2004-05-18 Microsoft Corporation Watermark detection via cardinality-scaled correlation
US7844666B2 (en) 2000-12-12 2010-11-30 Microsoft Corporation Controls and displays for acquiring preferences, inspecting behavior, and guiding the learning and decision policies of an adaptive communications prioritization and routing system
US6865664B2 (en) 2000-12-13 2005-03-08 Conexant Systems, Inc. Methods, systems, and computer program products for compressing a computer program based on a compression criterion and executing the compressed program
US6823448B2 (en) * 2000-12-15 2004-11-23 Intel Corporation Exception handling using an exception pipeline in a pipelined processor
US6842895B2 (en) 2000-12-21 2005-01-11 Freescale Semiconductor, Inc. Single instruction for multiple loops
US20020087829A1 (en) 2000-12-29 2002-07-04 Snyder Walter L. Re-targetable communication system
WO2002054601A1 (en) 2000-12-29 2002-07-11 Morphics Technology, Inc. Channel codec processor configurable for multiple wireless communications standards
US7299355B2 (en) 2001-01-12 2007-11-20 Broadcom Corporation Fast SHA1 implementation
US6871236B2 (en) 2001-01-26 2005-03-22 Microsoft Corporation Caching transformed content in a mobile gateway
US7085310B2 (en) 2001-01-29 2006-08-01 Qualcomm, Incorporated Method and apparatus for managing finger resources in a communication system
US6753873B2 (en) * 2001-01-31 2004-06-22 General Electric Company Shared memory control between detector framing node and processor
US6925167B2 (en) * 2001-02-01 2005-08-02 Estech Systems, Inc. Service observing in a voice over IP telephone system
US20020107905A1 (en) 2001-02-05 2002-08-08 Roe Colleen A. Scalable agent service system
JP2005503047A (en) 2001-02-06 2005-01-27 エン ガルデ システムズ、インコーポレイテッド Apparatus and method for providing a secure network
US6760587B2 (en) 2001-02-23 2004-07-06 Qualcomm Incorporated Forward-link scheduling in a wireless communication system during soft and softer handoff
US7433942B2 (en) 2001-02-27 2008-10-07 Intel Corporation Network management
US20020147845A1 (en) 2001-03-06 2002-10-10 Juan-Antonio Sanchez-Herrero Flexible user distribution between user's serving entities
US6674999B2 (en) 2001-03-16 2004-01-06 Skyworks Solutions, Inc Dynamically varying linearity system for an RF front-end of a communication device
US7249242B2 (en) 2002-10-28 2007-07-24 Nvidia Corporation Input pipeline registers for a node in an adaptive computing engine
US7225279B2 (en) * 2002-06-25 2007-05-29 Nvidia Corporation Data distributor in a computation unit forwarding network data to select components in respective communication method type
US7325123B2 (en) 2001-03-22 2008-01-29 Qst Holdings, Llc Hierarchical interconnect for configuring separate interconnects for each group of fixed and diverse computational elements
US6836839B2 (en) * 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US7653710B2 (en) * 2002-06-25 2010-01-26 Qst Holdings, Llc. Hardware task manager
WO2002077854A1 (en) * 2001-03-26 2002-10-03 Azurn Networks Inc. Unified xml voice and data media converging switch and application delivery system
JP4642264B2 (en) 2001-04-03 2011-03-02 株式会社日立国際電気 Correlation circuit for spread spectrum communication
EP1255368A1 (en) 2001-04-30 2002-11-06 Siemens Information and Communication Networks S.p.A. Method to perform link adaptation in enhanced cellular communication systems with several modulation and coding schemes
US6577678B2 (en) 2001-05-08 2003-06-10 Quicksilver Technology Method and system for reconfigurable channel coding
US6785341B2 (en) 2001-05-11 2004-08-31 Qualcomm Incorporated Method and apparatus for processing data in a multiple-input multiple-output (MIMO) communication system utilizing channel state information
US20020184291A1 (en) 2001-05-31 2002-12-05 Hogenauer Eugene B. Method and system for scheduling in an adaptable computing engine
US6618434B2 (en) 2001-05-31 2003-09-09 Quicksilver Technology, Inc. Adaptive, multimode rake receiver for dynamic search and multipath reception
US7032229B1 (en) 2001-06-04 2006-04-18 Palmsource, Inc. Automatic tracking of user progress in a software application
US6912515B2 (en) 2001-06-04 2005-06-28 Xerox Corporation Method and system for algorithm synthesis in problem solving
US7861071B2 (en) * 2001-06-11 2010-12-28 Broadcom Corporation Conditional branch instruction capable of testing a plurality of indicators in a predicate register
US6653859B2 (en) 2001-06-11 2003-11-25 Lsi Logic Corporation Heterogeneous integrated circuit with reconfigurable logic cores
US7266703B2 (en) 2001-06-13 2007-09-04 Itt Manufacturing Enterprises, Inc. Single-pass cryptographic processor and method
WO2003003197A2 (en) * 2001-06-28 2003-01-09 Oak Technology, Inc. System-on-a-chip controller
US7969431B2 (en) * 2001-06-29 2011-06-28 National Instruments Corporation Graphical program node for generating a measurement program
JP3860436B2 (en) * 2001-07-09 2006-12-20 富士通株式会社 Semiconductor memory device
US20030023830A1 (en) * 2001-07-25 2003-01-30 Hogenauer Eugene B. Method and system for encoding instructions for a VLIW that reduces instruction memory requirements
US6883084B1 (en) 2001-07-25 2005-04-19 University Of New Mexico Reconfigurable data path processor
JP3729759B2 (en) * 2001-08-07 2005-12-21 株式会社ルネサステクノロジ Microcontroller that reads compressed instruction code, program memory that compresses and stores instruction code
US6768768B2 (en) 2001-09-19 2004-07-27 Qualcomm Incorporated Method and apparatus for step two W-CDMA searching
US7257620B2 (en) 2001-09-24 2007-08-14 Siemens Energy & Automation, Inc. Method for providing engineering tool services
US20030061260A1 (en) 2001-09-25 2003-03-27 Timesys Corporation Resource reservation and priority management
US20030142818A1 (en) 2001-09-28 2003-07-31 Nec Usa, Inc. Techniques for efficient security processing
US7139263B2 (en) 2001-10-19 2006-11-21 Sentito Networks, Inc. Voice over IP architecture
US7146500B2 (en) 2001-11-14 2006-12-05 Compass Technology Management, Inc. System for obtaining signatures on a single authoritative copy of an electronic record
US7106787B2 (en) 2001-11-28 2006-09-12 Broadcom Corporation Acquisition matched filter for W-CDMA systems providing frequency offset robustness
US6986021B2 (en) * 2001-11-30 2006-01-10 Quick Silver Technology, Inc. Apparatus, method, system and executable module for configuration and operation of adaptive integrated circuitry having fixed, application specific computational elements
US8412915B2 (en) 2001-11-30 2013-04-02 Altera Corporation Apparatus, system and method for configuration of adaptive integrated circuitry having heterogeneous computational elements
US6795078B2 (en) * 2002-01-31 2004-09-21 Sun Microsystems, Inc. Parallel read with source-clear operation
US7631196B2 (en) 2002-02-25 2009-12-08 Intel Corporation Method and apparatus for loading a trustable operating system
US20040015970A1 (en) * 2002-03-06 2004-01-22 Scheuermann W. James Method and system for data flow control of execution nodes of an adaptive computing engine (ACE)
US20030212684A1 (en) 2002-03-11 2003-11-13 Markus Meyer System and method for adapting preferences based on device location or network topology
US20040039801A9 (en) 2002-03-11 2004-02-26 Venkatachary Srinivasan System and method for delivering data in a network
US20030172138A1 (en) 2002-03-11 2003-09-11 Mccormack Jonathan I. System and method for managing two or more electronic devices
US7200735B2 (en) 2002-04-10 2007-04-03 Tensilica, Inc. High-performance hybrid processor with configurable execution units
US6732354B2 (en) 2002-04-23 2004-05-04 Quicksilver Technology, Inc. Method, system and software for programming reconfigurable hardware
US6988139B1 (en) * 2002-04-26 2006-01-17 Microsoft Corporation Distributed computing of a job corresponding to a plurality of predefined tasks
US6720894B2 (en) * 2002-05-29 2004-04-13 Broadcom Corporation Method of and system for performing differential lossless compression
JP3860075B2 (en) * 2002-05-30 2006-12-20 シャープ株式会社 Self-synchronous logic circuit having test circuit and method for testing self-synchronous logic circuit
US6907598B2 (en) 2002-06-05 2005-06-14 Microsoft Corporation Method and system for compressing program code and interpreting compressed program code
US20040062300A1 (en) 2002-10-01 2004-04-01 Mcdonough John G. System and method for detecting direct sequence spread spectrum signals using batch processing of independent parameters
US6883074B2 (en) 2002-12-13 2005-04-19 Sun Microsystems, Inc. System and method for efficient write operations for repeated snapshots by copying-on-write to most recent snapshot
US6924812B2 (en) * 2002-12-24 2005-08-02 Intel Corporation Method and apparatus for reading texture data from a cache
US7152152B2 (en) 2004-01-22 2006-12-19 International Business Machines Corporation Method of avoiding flush due to store queue full in a high frequency system with a stall mechanism and no reject mechanism

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110018847A (en) * 2018-01-10 2019-07-16 北京思朗科技有限责任公司 Configurable register and the data access method based on configurable register

Also Published As

Publication number Publication date
US7904603B2 (en) 2011-03-08
US7568086B2 (en) 2009-07-28
WO2004040456A2 (en) 2004-05-13
AU2003284172A1 (en) 2004-05-25
WO2004040414A2 (en) 2004-05-13
US8706916B2 (en) 2014-04-22
US9015352B2 (en) 2015-04-21
US20040093479A1 (en) 2004-05-13
US20070150656A1 (en) 2007-06-28
US20090327541A1 (en) 2009-12-31
AU2003284172A8 (en) 2004-05-25
AU2003285001A8 (en) 2004-05-25
US20040093465A1 (en) 2004-05-13
US20070271415A1 (en) 2007-11-22
US20130227182A1 (en) 2013-08-29
US7194605B2 (en) 2007-03-20
US20040168044A1 (en) 2004-08-26
US20040133745A1 (en) 2004-07-08
US20110161535A1 (en) 2011-06-30
US7340562B2 (en) 2008-03-04
AU2003285001A1 (en) 2004-05-25
US8380884B2 (en) 2013-02-19
US7606943B2 (en) 2009-10-20
WO2004040414A3 (en) 2005-04-07
WO2004040456A3 (en) 2005-08-04
US7249242B2 (en) 2007-07-24

Similar Documents

Publication Publication Date Title
US9015352B2 (en) Adaptable datapath for a digital processing system
US8069337B2 (en) Methods and apparatus for dynamic instruction controlled reconfigurable register file
US7028170B2 (en) Processing architecture having a compare capability
US5752071A (en) Function coprocessor
US6631439B2 (en) VLIW computer processing architecture with on-chip dynamic RAM
US6988181B2 (en) VLIW computer processing architecture having a scalable number of register files
US7493472B2 (en) Meta-address architecture for parallel, dynamically reconfigurable computing
US20040019765A1 (en) Pipelined reconfigurable dynamic instruction set processor
CN110770701A (en) Register based matrix multiplication
US20020035678A1 (en) Processing architecture having field swapping capability
US6209078B1 (en) Accelerated multimedia processor
JP2014505916A (en) Method and apparatus for moving data from a SIMD register file to a general purpose register file
US8949576B2 (en) Arithmetic node including general digital signal processing functions for an adaptive computing machine
US7139899B2 (en) Selected register decode values for pipeline stage register addressing
US20020032710A1 (en) Processing architecture having a matrix-transpose capability
WO2014202825A1 (en) Microprocessor apparatus
JP2004503872A (en) Shared use computer system
EP3759593B1 (en) Pack and unpack network and method for variable bit width data formats
TWI810262B (en) Single pack &amp; unpack network and method for variable bit width data formats for computational machines
Vanderbauwhede et al. Programming Model and Low-level Language for a Coarse-Grained Reconfigurable Multimedia Processor.
McGrath et al. A WE-DSP32 based, low-cost, high-performance, synchronous multiprocessor for cyclo-static implementations
Zhan et al. NeuralScale: A RISC-V Based Neural Processor Boosting AI Inference in Clouds
Wijtvliet et al. Concept of the Blocks Architecture
KR20230132844A (en) Multi-core processing and memory array
Masera et al. A microprogrammable parallel architecture for DSP

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: TECHFARM VENTURES MANAGEMENT, LLC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:QUICKSILVER TECHNOLOGY, INC.;REEL/FRAME:038082/0222

Effective date: 20051013

Owner name: QUICKSILVER TECHNOLOGY, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:RAMCHANDRAN, AMIT;REEL/FRAME:038082/0615

Effective date: 20030816

Owner name: QST HOLDINGS, LLC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TECHFARM VENTURES MANAGEMENT, LLC;REEL/FRAME:038083/0108

Effective date: 20060831

Owner name: ALTERA CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:QST HOLDINGS, LLC;REEL/FRAME:038083/0291

Effective date: 20120824

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20230421