US20140264879A1 - Copper-filled trench contact for transistor performance improvement - Google Patents

Copper-filled trench contact for transistor performance improvement Download PDF

Info

Publication number
US20140264879A1
US20140264879A1 US14/289,581 US201414289581A US2014264879A1 US 20140264879 A1 US20140264879 A1 US 20140264879A1 US 201414289581 A US201414289581 A US 201414289581A US 2014264879 A1 US2014264879 A1 US 2014264879A1
Authority
US
United States
Prior art keywords
contact
copper
opening
layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/289,581
Inventor
Kelin J. Kuhn
Kaizad Mistry
Mark Bohr
Chris Auth
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US14/289,581 priority Critical patent/US20140264879A1/en
Publication of US20140264879A1 publication Critical patent/US20140264879A1/en
Priority to US15/607,982 priority patent/US20170263721A1/en
Priority to US17/558,425 priority patent/US20220115505A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the present invention relate to the fabrication of interconnect structures in microelectronic devices.
  • embodiments of the present invention relate to utilizing copper to form contact immediately to device formed on a semiconductor substrate.
  • microelectronic devices involves forming electronic components on microelectronic substrates, such as silicon wafers. These electronic components may include transistors, resistors, capacitors, and the like, with intermediate and overlying metallization patterns at varying levels, separated by dielectric materials, which interconnect the electrical components to form integrated circuits.
  • the metallization patterns are generally referred to as “interconnects.”
  • Copper metallurgy has been proposed as a substitute for aluminum metallurgy as a material for the metallization layers since copper exhibits greater conductivity than aluminum. Yet several problems have been encountered in the development of copper metallurgy. The main issue is the fast diffusion of copper through an insulator, such as silicon dioxide, to form an undesired copper oxide compound. Another issue is the known junction-poisoning effect of copper. These issues have led to the development of a liner to separate the copper metallization layer from the insulator.
  • Suitable liners include titanium nitride, tantalum nitride, tungsten silicon nitride, zirconium, hafnium, titanium, tantalum, and the like.
  • FIG. 1A illustrates a conventional semiconductor structure 100 (e.g., a transistor).
  • the semiconductor structure 100 includes a substrate 102 having formed therein isolation regions (Shallow Junction Isolation, STI) 104 .
  • Active or passive devices can be formed on the substrate 102 .
  • Such devices typically include source and drain regions 106 , gate dielectric 112 , gate electrode 114 , spacers 110 and silicide surfaces 108 and 116 .
  • contacts 120 to electrically connect to and from the device, contacts 120 (first contacts) are made to the device.
  • contacts 120 first contacts
  • An interlayer dielectric layer 118 is formed and patterned on the substrate 102 .
  • Through vias are formed in the dielectric layer 118 to expose contact areas on the substrate. Contact areas typically are source/drain regions and/or on top of the gate electrode 114 . To establish/enhance the contact connection, silicide layers 108 and 116 are formed on the surface of these regions as shown in FIGS. 1A-1B . The through vias are then filled with tungsten (referred to as tungsten plug) to form the first level of contact to the device.
  • tungsten plug referred to as tungsten plug
  • an additionally contact 122 (or VIAO) formed in a dielectric layer 124 is also formed to connect the contact or tungsten plug 120 .
  • the additional contact (or VIAO) 122 can be filled with copper.
  • a plurality of metallization layer 130 having dielectric material 126 and conductive lines 128 are formed on top of the device.
  • FIG. 1A-1B illustrates a conventional semiconductor device such as a transistor
  • FIG. 2 illustrates an exemplary semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper;
  • FIGS. 3 A- 1 - 3 A- 3 illustrates a top view of a first contacts made to source/drains regions for the device shown in FIG. 2 ;
  • FIG. 3B-1 illustrates a three-dimensional view of a first contacts made to a source/drains region for a conventional device
  • FIG. 3B-2 illustrates a three-dimensional view of a row of first contact pillars made to a source/drain region for a conventional device
  • FIG. 3C illustrates a three-dimensional view of a first contacts made to a source/drains region for a device according to embodiments of the present invention
  • FIGS. 4A-4C illustrate an exemplary process flow of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper;
  • FIGS. 5A-5C illustrate another exemplary process flow of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper;
  • FIGS. 6A-6E illustrate yet another exemplary process flow of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper and where there is no prior silicide;
  • FIGS. 7-9 illustrate exemplary methods of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper with FIG. 7 illustrating a method where no silicide is used, FIG. 8 illustrating a method with silicide being used and FIG. 9 with silicide being forming through a contact opening.
  • Embodiments of the present invention relate to the fabrication of interconnect structures in microelectronic devices. More particularly, embodiments of the present invention disclose the use of copper (Cu) in the Front-End-Of-Line (FEOL) processing for a semiconductor device such as a transistor. As is known, a semiconductor device is typically formed buried in the semiconductor substrate. What end up being exposed are usually contact regions for interconnecting the devices to other metallization layers. Minimizing resistance from one interconnection level to the next is desired for an efficient and optimal device.
  • Cu copper
  • FEOL Front-End-Of-Line
  • Copper is not used in the FEOL processing due to the high tendency of copper to diffuse through an insulator even though copper has the desirable low resistivity and high conductivity characteristics. Copper can create copper oxide and disrupt the device. Copper can also migrate into the substrate, diffuse, and create both yield and reliability issues as it getters on dislocations or other interfaces. Because of such dangerous possibility, contacts immediately above the surface of the semiconductor substrate or the device have been formed with tungsten (W) to provide conductive interfaces or interconnection to the device while preventing the diffusion of copper into the device areas. Copper is used in many metal layers in the Back-End-Of-Line processing (e.g., dual damascene processing) to form metallization layers.
  • W tungsten
  • Fabrication of a semiconductor device includes two main process flows, FEOL and BEOL processes.
  • FEOL process wafer substrates are marked, STI regions are formed, e.g., by implantation, P- and N-wells are formed, e.g., by implantation, poly gate stacks are etched and formed, and source and drain regions are also formed by doping.
  • silicide layers or regions are also formed to enhance or establish conductive surfaces to the device.
  • the first contact level is made to the device.
  • the first contact levels typically include contacts to the source/drain regions and the gate electrode region (or the respective silicide surfaces).
  • the device and the associated contacts are interconnected to metallization layers including forming the metallization and dielectric layers.
  • Copper has been used in the BEOL process, e.g., dual damascene processing to form vias and trenches in the dielectric layers.
  • tungsten is used for the first layer of contact.
  • Embodiments of the present invention relate to forming the first layer of contact using copper. Additionally, the conventional FEOL processing has the first contacts being vertical thin lines (or pillars), referred to as “round contacts.”
  • Embodiments of the present invention include forming the first contacts with “extended interconnect-style contacts” or “trench contacts” that have rectangular/square configurations.
  • a first contact extends the entire length of the contact region.
  • the first contact extends the entire length of the contact region and replace a a set of conventional contact pillars. For example, the first contact to a source region would extend the entire length of the source region. In other embodiments, the contact would extend only partially down the length of the contact region.
  • Embodiments of the present invention also pertain to using barrier layers to encapsulate the first contact that is made of copper.
  • Embodiments of the present invention also include forming a silicide layer that can also act as a barrier layer and in some embodiments, the silicide layer is a copper silicide layer.
  • Embodiments of the present invention also reduce contact resistance from the first contact to the device, between the first contact and the silicide layer, and between the first contact and other metallization layer above the first contact.
  • Embodiments of the present invention may enable better density scaling and cross-technology scaling by enabling optimal placement and alignment flexibility in interconnection positioning.
  • one device can be connected to another without going up to another metallization layer as is currently done in the art.
  • the copper contacts provide reduction in parasitic resistance in the device by eliminating the conventional copper-to-tungsten junction and replacing it with copper-t-copper junction from the device to the metallization layers.
  • the resistivity reduction in the contacts and interconnection also enable more conductivity, thus, better drive current in a device.
  • the extended interconnect-style contact in the first contact level improves drive current by enhancing not just vertical conductivity but also lateral conductivity since the contact extend more laterally compared to a conventional round-type contact.
  • the conductivity to and from the device is increased, and in many cases, an increase of 30-45% drive current have been observed with devices made in according to embodiments of the present invention.
  • FIG. 2 illustrates an exemplary microstructure device 200 fabricated according to embodiments of the present invention.
  • the device 200 can be a transistor or capacitor or other semiconductor device.
  • the device 200 is formed on a semiconductor substrate 202 (e.g., a silicon wafer). Isolation regions such as STI 204 are formed in the substrate 202 to isolate one device from another as is known in the art.
  • Source and drain regions 206 are also formed by doping into the substrate 202 as known in the art. Between the source and drain regions 206 and on the top surface of the substrate 202 , a gate dielectric 210 is formed.
  • a gate electrode 208 is formed on top of the gate dielectric 210 . Contacts to the device 200 can be made to the source and drain regions 206 and optionally, the gate electrode 208 .
  • the source/drain regions or gate electrode can sometimes be referred to as conductive surfaces so that the first contact can be made to the device 200 .
  • a layer of silicide material 212 is formed over the source and drain regions 206 and optionally, the gate electrode 208 to enhance the contact.
  • the silicide layer 212 can be formed as conventionally done.
  • the contact surfaces are the silicide surfaces.
  • Spacer sidewalls 218 are also disposed on each side of the gate electrode 208 .
  • the first layer of contact refers to the contact that is made immediate to the device 200 or on the top surface of the substrate 202 or as illustrated in FIG. 2 (on top of the silicide layer 212 ).
  • contacts are made to the source/drain regions 206 and the gate electrode 208 (or their respective silicide layer formed thereon).
  • a dielectric layer 216 is formed on the top surface of the substrate 202 . Openings 201 are formed into the dielectric layer 216 and expose the silicide layer 212 .
  • the silicide layer 212 lines the bottom of each opening 201 .
  • a barrier layer 214 lines the entire surface (bottom surface and sidewalls) of the opening 201 as shown in FIG. 2 .
  • the openings 201 are then filled with copper to form the first contact 220 using methods such as deposition, sputtering, electroplating, or electroless plating.
  • a seed layer (not shown) is also used to line the opening and on top of the barrier layer 214 to promote the adhesion of the copper or to promote nucleation of copper to form the first contact 220 .
  • a via connector 222 is formed that connects to the first contact 220 .
  • the via connector 222 and the opening 201 are filled in a single operation.
  • the via connector 222 is then interconnected to one or more metallization layers (MT1 to MT8) 226 above.
  • Methods such as dual damascene processing can be used to form the via connector 222 and the metallization layers MT1-MT8.
  • Interlevel dielectric layers are also used at each metallization layer as is known in the art.
  • wafer and substrate used in the following description include any base semiconductor structure. Both are to be understood as including silicon-on-sapphire (SOS) technology, silicon-on-insulator (SOI) technology, thin film transistor (TFT) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor structure, as well as other semiconductor structures well known to one skilled in the art.
  • SOS silicon-on-sapphire
  • SOI silicon-on-insulator
  • TFT thin film transistor
  • doped and undoped semiconductors epitaxial layers of silicon supported by a base semiconductor structure, as well as other semiconductor structures well known to one skilled in the art.
  • wafer or substrate include the underlying layers containing such regions/junctions and layers that may have been formed above.
  • the silicide layer 212 can be formed using a conventional method such as depositing a conductive material (e.g., using chemical vapor deposition) on the top surface of the source/drain regions 206 or the gate electrode 208 and then anneal the structure to form the silicide material.
  • the silicide layer 212 comprises any metal that is capable of reacting with silicon to form metal silicide. Examples of such metals include Ti, Ta, W, Co, Ni, Pt, Pd and alloys thereof.
  • the silicide layer 212 may be deposited using a conventional deposition process including chemical vapor deposition, physical vapor deposition, sputtering, evaporation, chemical solution deposition, plating, and the like.
  • the structure is annealed to convert the silicide metal layer 212 into a metal silicide.
  • the annealing is typically done at a temperature from about 600-3000° C.
  • An inert gas e.g., helium, argon, and nitrogen
  • the dielectric layer 216 includes a substance that comprises a material selected from a group consisting of a polymer, a foamed polymer, a fluorinated polymer, a fluorinated-foamed polymer, an aerogel, and an insulator oxide compound.
  • the polymer includes polyimide.
  • the insulator oxide compound may include silicon dioxide, silicon nitride, carbon doped oxide, fluorinated silicon oxide, boron/phosphorous doped oxide, and the like.
  • the dielectric layer 216 can be formed using a conventional method such as chemical vapor deposition, evaporation, atomic layer deposition, or chemical solution deposition.
  • Vias 201 may be formed into the dielectric layer 216 using methods known in the art, e.g., etching, photolithography, lithography, ion milling, laser ablation, damascene, dual damascene, and the like.
  • the vias 201 are opening formed in the dielectric layer 216 and extending into the dielectric layer.
  • the vias 201 have a trench-like configuration or an extended interconnect-style configuration as opposed to the conventional thin vertical line via.
  • the barrier layer 214 is formed to prevent diffusion of the copper atoms into the dielectric layer 216 . This diffusion changes the microstructure of a portion of the device 200 and causes undesired effects.
  • the barrier layer 214 can be formed using conventional methods.
  • the barrier layer 214 can be thin and in one embodiment, less than 100 ⁇ . In other embodiments, barrier layer 214 is less than 20 ⁇ , less than 15 ⁇ , and even less than 10 ⁇ along the sidewalls of the opening 201 and optionally, along all surfaces of the opening 201 .
  • the barrier layer 214 is made of a material that can both act as a diffusion barrier for the interconnect material (such as acting as a diffusion barrier for copper and copper alloys) and act as an activation/nucleation layer for the interconnect material to form thereon (such as acting as a seed layer for the copper to form).
  • Materials that can be used as a barrier layer include but are not limited to ruthenium (Ru), paladium (Pd), rhodium (Rh), nickel (Ni), cobalt (Co), and platinum (Pt), titanium (Ti), tantalum (Ta), titanium nitride (TiN), TaN, WsiN, Zr, hafnium (Hf), tungsten (W), etc.
  • a portion of the barrier layer 214 may also extend over and abut the surface of dielectric layer 216 .
  • a seed layer (not shown) is deposited on the barrier layer 214 prior to the deposition of the copper to form the first contact 220 .
  • a low-energy ion implantation can be used to form the seed layer.
  • depositing the seed layer includes depositing a copper seed layer.
  • depositing the seed layer includes depositing copper seed layer having a thickness of less than 100 Angstroms. This can be achieved using an 8 ⁇ 10 16 ion implantation of copper.
  • the energy of implantation includes about 100 electron-volts.
  • the copper seed layer can also be implanted at an angle normal to the planarized surface.
  • the seed material may be deposited in a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process or a physical vapor deposition (PVD) process, such as magnetron sputtering, but is not so limited.
  • the seed material provides a nucleation site for a subsequent electroless plating process for the copper.
  • the seed material may include, but is not limited to, copper (Cu), palladium (Pd), cobalt (Co), nickel (Ni), ruthenium (Ru), platinum (Pt), alloys thereof, and the like.
  • copper is electrolessly deposited into the via 201 to form the first contact 220 .
  • the electroless deposition process may include any autocatalytic (e.g., no external power supply is applied) deposition of the conductive material layer through the interaction of a metal salt and a chemical reducing agent.
  • preparing or treating a surface may be necessary in order to produce an activated surface so that the surface that is receptive to the electroless deposition process.
  • the seed material serves as the activated surface upon which the electroless deposition forms.
  • the seed material also acts as a region which controls the placement of the deposited copper from the electroless deposition solution only deposits on the prepared or treated surface (e.g., the seed material).
  • the electroless plating bath or deposition solution may comprise cobalt and alloys thereof (such as cobalt alloyed with tungsten, boron, phosphorus, molybdenum, and/or the like), nickel and alloys thereof (such as nickel alloyed with tungsten, boron, phosphorus, molybdenum, and/or the like), copper, palladium, silver, gold, platinum metals and their selective alloys to fill narrow and high aspect ratio trenches and via holes.
  • cobalt and alloys thereof such as cobalt alloyed with tungsten, boron, phosphorus, molybdenum, and/or the like
  • nickel and alloys thereof such as nickel alloyed with tungsten, boron, phosphorus, molybdenum, and/or the like
  • copper palladium, silver, gold, platinum metals and their selective alloys to fill narrow and high aspect ratio trenches and via holes.
  • the electroless deposition solution may also include additives (such as suppressors, polyethylene glycol, and anti-suppressors, di-sulfide) and complexing agents (such as thiosulfate and peroxodisulfate).
  • additives such as suppressors, polyethylene glycol, and anti-suppressors, di-sulfide
  • complexing agents such as thiosulfate and peroxodisulfate.
  • the solution may comprise other materials that serve to deposit the conductive material electroles sly.
  • the technique of electroles sly depositing a metal or metal alloy is known to those skilled in the art, and may be performed either by immersing the substrate in an electroless deposition solution, by semi-immersion, or by spraying the electroless deposition solution onto the substrate or target (e.g., the dielectric material layer 216 ).
  • the seed material may be subsumed during the electroless deposition process, such that the seed material may become continuous with the conductive material.
  • the contact 220 is planarized after the copper fills the vias 201 , usually by a technique called chemical mechanical polish (CMP) or by an etching process, which removes the copper, which is not within the opening 201 .
  • CMP chemical mechanical polish
  • via connector 222 are formed to electrically interconnect to the first contact 220 .
  • a conventional BEOL process can be used to form the via connector 222 .
  • a dual damascene method can be used to form the via connector 222 .
  • the via connector 222 connects to the metallization layers 226 , which can also be formed using similar methods.
  • the copper deposited in the opening to form the first contact 220 is etched or otherwise removed in a way to leave the via connector 222 extending from the first contact 220 .
  • the first contact 220 and the via connector 222 are filled simultaneously with copper. It is to be noted that dual damascene process is not the only technique that can be used to form the first contact 220 and the via connector 222 . Conventional metal etching methods can be used to form the first contact 220 and the via connector 222 , sequentially or simultaneously.
  • FIGS. 3A-1 to 3 A- 3 describe in more details an exemplary embodiment where the first contact has a configuration of an extended interconnect-style contact as opposed to the conventional round via or line of vias (in other words, a conventional vertical pillar; or line of pillars) contact shown in FIG. 3B-1 and FIG. 3B-2 .
  • the first contact 220 extends an entire length of the source and drain regions 206 .
  • the first contact 220 that is formed over the gate electrode 208 may also extend the entire length of the gate electrode 208 .
  • the first contact 220 does not extend the entire length of the source and drain regions or that the first contact 220 extends a length sufficient to allow electrical interconnection to the device ( FIG. 3A-2 ).
  • the first contact 220 has a rectangular configuration as shown in FIGS. 3A-1 to 3 A- 3 .
  • FIG. 3A-1 illustrates the device 200 from a top view with the source and drain regions 206 and the gate electrode 208 showing.
  • the silicide layer 212 is not shown for clarity purpose.
  • the opening 201 is formed so that the opening 201 extend an entire length L101 of the source/drain regions 206 .
  • the opening 201 extends an entire length of the device.
  • the opening 201 extends down a fraction of the device as shown in FIG. 3A-2 .
  • the opening 201 extends a fraction of the device and then extend larger to meet the via connector 222 as shown in FIG. 3A-3 . While FIGS. 3A-1 and 3 A- 2 show the top view of the device FIG. 3A-3 shows the cross-sectional side view for clarity purposes.
  • the via connector 222 needs not be placed entirely over the first contact 220 . As shown in FIGS. 3A-1 and 3 A- 2 , the via connector 222 is placed partially in contact with and only partially over the first contact 220 . Similarly, the first metallization layer MT1 226 needs not be placed entirely over the first contact 220 or the via connector 222 . As shown in FIG. 3A-1 , the metallization layer MT1 226 is placed partially in contact with and only partially over the via connector 222 and/or the first contact 220 .
  • FIG. 3B-1 illustrates the configuration of a conventional via contact (e.g., device 100 ).
  • a source/drain region 106 and a dielectric layer 118 formed over the source/drain region are shown.
  • the via has a configuration of a cylindrical tube (or pillar) and as such, often is called “round contact.”
  • the conventional via is typically only a thin vertical conductive line forming through the dielectric layer and connecting to the contact region (e.g., source/drain region) as shown in FIG. 3B-1 .
  • the conventional via can be implemented as a single pillar (as in FIG. 3B-1 ) or a line of pillars (as in FIG. 3B-2 )
  • the first contact 220 made according to embodiments of the present invention has the configuration of a rectangular contact or trench contact as shown in FIG. 3C (in a three dimensional view).
  • the extended configuration or trench contact configuration for the first contact 220 enables flexibility in circuit design and placement of the interconnecting elements.
  • the trench contact need not run the entire length of the source drain region, and (because of the high conductivity of the contact due the Cu fill) can also extend significantly beyond the edge of the source drain region.
  • the via connector 222 needs not be placed directly over the region of the substrate 202 where the device is formed (“field region”) but instead, can be placed toward the side where space is available and still able to interconnect to the first contact 220 . Additionally, filling the trench contact with copper may be easier than filling a round via contact with copper.
  • the extended first contact filled with copper reduces vertical resistivity as well as lateral resistivity; and, being filled with copper, the first contact further optimizes drive current by providing optimal vertical and lateral conductivity.
  • a device built using the extended-interconnect style first contact has a 10-15% conductivity improvement over the conventional round style first contact.
  • FIGS. 4A-4C illustrate an exemplary process flow and corresponding structures throughout the process of making a semiconductor device 400 during a FEOL according to embodiments of the present invention.
  • a substrate 402 is provided.
  • the substrate 402 has a set of isolation regions 404 formed therein.
  • Source and drain regions 406 are also formed in the substrate.
  • a gate dielectric 408 is formed on the top surface 410 of the substrate 402 .
  • a gate electrode 412 is formed on top of the gate dielectric layer 408 .
  • the gate electrode 412 and the gate dielectric are referred to as a gate stack. Spacers 414 are also formed on each side of the gate stack.
  • a silicide layer is formed over the contact regions of the device and in the present example, a silicide layer 416 is formed over the source/drain regions 416 and the gate electrode 412 .
  • a conductive metal is deposited and the structure is annealed to convert the metal and the top portion of the substrate into metal silicide.
  • the silicide layer 416 is resistant to etching, is conductive, and behaves like a semiconductor crystal.
  • the silicide layer 416 thus provides a conductive surface on a silicon surface.
  • a dielectric layer 418 is formed over the surface 410 and patterned to form opening 420 as shown in FIG. 4B .
  • the opening 420 each extend the entire length of the device 400 .
  • the opening 420 only extends down part of the device. In either the case of a full extension or a partial extension, the opening may extend beyond the device over the adjacent field.
  • the opening 420 extends into the page and covers the entire length of the device 400 that extends into the page.
  • An etch stop layer (not shown) may be used to prevent etching or damaging to the silicide layer 416 during the opening 420 formation process.
  • the dielectric layer 418 is formed over the substrate 402 (over the top surface 410 ) prior to the silicide layer 416 formation.
  • the dielectric layer 418 is then etched to form the opening 420 .
  • the silicide layer 416 is formed inside each of the opening 420 .
  • a barrier layer 422 is formed and lined the opening 420 .
  • the barrier layer 422 e.g., TaN
  • the barrier layer 422 is formed in conjunction with an oxygen plasma treatment applied to the barrier layer 422 to improve its barrier performance.
  • Copper is then deposited into the opening 420 to form the first contact 424 .
  • a seed layer is not used.
  • the barrier layer 422 is made of a material that is capable of being activated to be a nucleated site for the copper to form thereon.
  • electroless plating is used to deposit the copper.
  • electroplating is used to deposit the copper.
  • the FEOL may be considered finished and the BEOL begins to form other interconnection layers to the device 400 . For example, via connectors and metallization layers are formed to connect to the first contact 424 using methods known in the art.
  • FIGS. 5A-5C illustrate an exemplary process flow similar to FIGS. 4A-4C with the addition of a seed layer 506 (e.g., a copper seed layer) being deposited on top of the barrier layer 422 .
  • a seed layer 506 e.g., a copper seed layer
  • FIGS. 6A-6E illustrate another exemplary process flow of making a semiconductor device 600 during a FEOL according to embodiments of the present invention.
  • a substrate 602 is provided.
  • the substrate 602 has a set of isolation regions 604 formed therein.
  • Source and drain regions 606 are also formed in the substrate.
  • a gate dielectric 608 is formed on the top surface 610 of the substrate 602 .
  • a gate electrode 612 is formed on top of the gate dielectric layer 608 .
  • the gate electrode 612 and the gate dielectric 608 are referred to as a gate stack. Spacers 614 are also formed on each side of the gate stack.
  • a dielectric layer 618 is formed over the substrate 602 (over the top surface 610 ). At this point, unlike the device 400 , no silicide layer is formed. The dielectric layer 618 is then etched to form the opening 620 .
  • the opening 620 each extend the entire length of the device 600 . Alternatively, the opening 620 only extends down part of the device. In either the case of a full extension or partial extension, the opening may extend beyond the device over the adjacent field. For example, the opening 620 extends into the page and covers the entire length of the device 600 that extends into the page.
  • a barrier layer 622 is formed and lined the opening 620 .
  • the barrier layer 622 is also formed to provide an additional function much like that of a metal silicide layer.
  • the barrier layer 622 thus contains a metal or a conductive material that will react with the silicon surface and form a conductive surface similar to a conventional metal silicide.
  • the barrier layer 622 is made of material that inhibits diffusion of the copper into the dielectric layer 618 . Examples of such metal include TaN, TaN(O), WN, tantalum, cobalt, nickel, and copper.
  • the barrier layer 622 e.g., TaN or WN
  • the barrier layer 622 is formed in conjunction with an oxygen plasma treatment applied to the barrier layer 622 to improve its barrier performance.
  • the barrier layer 622 is also subjected to annealing so that the metal component in the barrier layer 622 can form metal silicide. It is to be noted herein that only the portion of the barrier layer 622 that lies above the source/drain region or on top of a silicon containing surface such as the source and drain region that a silicide layer is formed. Specifically, a portion of the barrier layer 622 is converted into silicide where the barrier layer 622 material is formed over the silicon. In one embodiment, copper is deposited and immediately annealed to form copper silicide which then allow the copper silicide to act as a barrier layer 622 with conductivity characteristic that of a metal silicide. FIG.
  • FIG. 6D illustrates the bottom portion 622 -B of the barrier layer 622 converting into a silicide portion after the barrier layer 622 is treated.
  • the barrier layer 622 thus has a portion 622 -B that transforms into a silicide layer in addition to the rest of the barrier layer 622 being a diffusion barrier for copper.
  • FIG. 6E shows copper being deposited into the opening 620 to form the first contact 624 .
  • a seed layer is not used.
  • the barrier layer 622 is also made of a material that is capable of being activated to be a nucleated site for the copper to form thereon.
  • electroless plating is used to deposit the copper.
  • electroplating is used to deposit the copper.
  • the FEOL may be considered finished and the BEOL begins to form other interconnection layers to the device 600 .
  • via connector and metallization layers are formed to connect to the first contact 624 using methods known in the art.
  • a copper seed layer is deposited on top of the barrier layer 622 prior to the deposition of the copper through electroplating or electroless plating.
  • FIG. 7 illustrates an exemplary method 700 of forming a contact to a semiconductor device (e.g., during a FEOL process).
  • a substrate having a contact device e.g., a transistor
  • a dielectric layer is formed immediately above the top surface of the semiconductor device.
  • an opening is formed into the dielectric layer to expose a contact region (e.g., source/drain region) immediately above the top surface of the semiconductor device.
  • the openings can extend the entire length of the device, for example, the openings exposing the source/drain regions extend the entire length of the source/drain regions.
  • the opening only extends down part of the device. In either the case of a full extension or a partial extension, the opening may extend beyond the device over the adjacent field.
  • the opening is lined with a barrier layer such that the barrier liner covers the exposed contact region to prevent diffusion of a material such as copper that will be used to fill the opening.
  • the opening is filled with the conductive material or copper.
  • FIG. 8 illustrates an exemplary method 800 of forming a first contact to a semiconductor device (e.g., during a FEOL process).
  • a substrate is provided. Isolation trench, source/drain regions, gate stack and spacers are also formed in or on the substrate.
  • a silicide layer is formed over the source/drain regions and optionally, the gate electrode layer of the gate stack.
  • a dielectric layer is formed immediately above the top surface of the semiconductor device. Openings (having a trench like configuration or a rectangular configuration) are formed into the dielectric layer to expose the source/drain regions and optionally, the gate electrode region.
  • the openings can extend the entire length of the device, for example, the openings exposing the source/drain regions extend the entire length of the source/drain regions. Alternatively, the opening only extends down part of the device. In either the case of a full extension or a partial extension, the opening may extend beyond the device over the adjacent field.
  • the opening is lined with a barrier layer such that the barrier liner covers the exposed contact region to prevent diffusion of a material such as copper that will be used to fill the opening.
  • a seed layer is optionally formed on top of the barrier layer to initiate nucleation for the formation of the copper in the openings.
  • the openings are filled with the conductive material or copper using electroplating or electroless plating.
  • FIG. 9 illustrates an exemplary method 900 of forming a first contact to a semiconductor device (e.g., during a FEOL process).
  • a substrate is provided. Isolation trench, source/drain regions, gate stack and spacers are also formed in or on the substrate.
  • a dielectric layer is formed immediately above the top surface of the semiconductor device. Openings (having a trench like configuration or a rectangular configuration) are formed into the dielectric layer to expose the source/drain regions and optionally, the gate electrode region.
  • the openings can extend the entire length of the device, for example, the openings exposing the source/drain regions extend the entire length of the source/drain regions. Alternatively, the opening only extends down part of the device.
  • the opening may extend beyond the device over the adjacent field.
  • a metal is added to permit formation of a silicide on the exposed silicon region.
  • the metal is annealed and a silicide layer is formed over the source/drain regions and optionally, the gate electrode layer of the gate stack.
  • the opening is optionally lined with a barrier layer such that the barrier liner covers the exposed contact region to prevent diffusion of a material such as copper that will be used to fill the opening.
  • a seed layer is optionally formed on top of the copper silicide layer to initiate nucleation for the formation of the copper in the openings.
  • the openings are filled with the conductive material or copper using electroplating or electroless plating.
  • Embodiments of the present invention permit more flexibility in the placement of via connector and/or metalizations to a device while providing superior performance over conventional configuration of interconnection to a device.
  • a via connector such as the via connector 222 is located adjacent to the device on the adjacent field and connects to the device through the extension of a first contact such as the contact 220 onto the field.
  • a first contact such as the contact 220 onto the field.
  • the high conductivity of the trench contact style for the first contact provides significant performance improvement.

Abstract

Methods of fabricating a first contact to a semiconductor device, which fundamentally comprises providing a semiconductor device formed on a substrate. The substrate further includes a conductive surface. A dielectric layer is formed over the substrate and has an opening exposing the conductive surface. The opening extends an entire length of the semiconductor device, partway down the entire length of the device, extending from the device onto adjacent field of the device, or and a combination thereof. A barrier layer is formed within the opening. A copper containing material fills the opening to form a first contact to the semiconductor device.

Description

    RELATED APPLICATIONS
  • The present application is a Continuation of U.S. patent application Ser. No. 13/569,150, filed on Aug. 7, 2012, which is a Divisional of U.S. patent application Ser. No. 11/396,201, filed on Mar. 30, 2006, Issued as U.S. Pat. No. 8,258,057 on Sep. 4, 2012.
  • BACKGROUND
  • 1. Field
  • Embodiments of the present invention relate to the fabrication of interconnect structures in microelectronic devices. In particular, embodiments of the present invention relate to utilizing copper to form contact immediately to device formed on a semiconductor substrate.
  • 2. State of the Art
  • The fabrication of microelectronic devices involves forming electronic components on microelectronic substrates, such as silicon wafers. These electronic components may include transistors, resistors, capacitors, and the like, with intermediate and overlying metallization patterns at varying levels, separated by dielectric materials, which interconnect the electrical components to form integrated circuits. The metallization patterns are generally referred to as “interconnects.”
  • One of the main issues confronting the semiconductor processing industry is that of the resistance problem in metallization layers. An industry-wide effort has undertaken to address the problem. Since the beginning, the semiconductor processing industry has relied on aluminum and aluminum alloys to serve as metallization layers. Silicon dioxide was selected as the insulator of choice although polyimide, a polymer, was used in a number of products by IBM for a number of years. With each succeeding generation of technology, the resistance problem grows. Because each generation requires that the dimensions of the semiconductor structure be reduced, the minimum line-space combination must also decrease. As the line-space combination decreases, the resistance of the semiconductor structure increases.
  • Copper metallurgy has been proposed as a substitute for aluminum metallurgy as a material for the metallization layers since copper exhibits greater conductivity than aluminum. Yet several problems have been encountered in the development of copper metallurgy. The main issue is the fast diffusion of copper through an insulator, such as silicon dioxide, to form an undesired copper oxide compound. Another issue is the known junction-poisoning effect of copper. These issues have led to the development of a liner to separate the copper metallization layer from the insulator.
  • Suitable liners include titanium nitride, tantalum nitride, tungsten silicon nitride, zirconium, hafnium, titanium, tantalum, and the like.
  • FIG. 1A illustrates a conventional semiconductor structure 100 (e.g., a transistor). The semiconductor structure 100 includes a substrate 102 having formed therein isolation regions (Shallow Junction Isolation, STI) 104. Active or passive devices can be formed on the substrate 102. Such devices typically include source and drain regions 106, gate dielectric 112, gate electrode 114, spacers 110 and silicide surfaces 108 and 116. As shown in FIG. 1B, to electrically connect to and from the device, contacts 120 (first contacts) are made to the device. Typically, several layers of interconnections are also made to connect to the first layer of contacts. An interlayer dielectric layer 118 is formed and patterned on the substrate 102. Through vias (or contact holes) are formed in the dielectric layer 118 to expose contact areas on the substrate. Contact areas typically are source/drain regions and/or on top of the gate electrode 114. To establish/enhance the contact connection, silicide layers 108 and 116 are formed on the surface of these regions as shown in FIGS. 1A-1B. The through vias are then filled with tungsten (referred to as tungsten plug) to form the first level of contact to the device. In some applications, an additionally contact 122 (or VIAO) formed in a dielectric layer 124 is also formed to connect the contact or tungsten plug 120. The additional contact (or VIAO) 122 can be filled with copper. Then, a plurality of metallization layer 130 having dielectric material 126 and conductive lines 128 are formed on top of the device.
  • Because of the undesirable characteristics of copper, copper has not been used in forming the first contact that is immediate to the device. However, as devices are scaled smaller and smaller, the high resistivity characteristic of the conductive material such as tungsten that is typically used in the first contact layer is becoming more undesirable. Tungsten causes parasitic resistance in the device by introducing high resistivity and low conductivity at the first contact level, at the junction from the first contact level to other interconnection levels in the devices. Thus, there is a need for a first layer of contact directly to the device that will lower resistivity overall.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • While the specification concludes with claims particularly pointing out and distinctly claiming that which is regarded as the present invention, the advantages of this invention can be more readily ascertained from the following description of the invention when read in conjunction with the accompanying drawings in which:
  • FIG. 1A-1B illustrates a conventional semiconductor device such as a transistor;
  • FIG. 2 illustrates an exemplary semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper;
  • FIGS. 3A-1-3A-3 illustrates a top view of a first contacts made to source/drains regions for the device shown in FIG. 2;
  • FIG. 3B-1 illustrates a three-dimensional view of a first contacts made to a source/drains region for a conventional device;
  • FIG. 3B-2 illustrates a three-dimensional view of a row of first contact pillars made to a source/drain region for a conventional device;
  • FIG. 3C illustrates a three-dimensional view of a first contacts made to a source/drains region for a device according to embodiments of the present invention;
  • FIGS. 4A-4C illustrate an exemplary process flow of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper;
  • FIGS. 5A-5C illustrate another exemplary process flow of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper;
  • FIGS. 6A-6E illustrate yet another exemplary process flow of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper and where there is no prior silicide;
  • FIGS. 7-9 illustrate exemplary methods of making a semiconductor device made according to embodiments of the present invention where a first contact to the device is formed with copper with FIG. 7 illustrating a method where no silicide is used, FIG. 8 illustrating a method with silicide being used and FIG. 9 with silicide being forming through a contact opening.
  • DETAILED DESCRIPTION OF THE ILLUSTRATED EMBODIMENT
  • In the following detailed description, reference is made to the accompanying drawings that show, by way of illustration, specific embodiments in which the invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Certain known processes are not described or discussed in details in order to not obscure the invention. It is to be understood that the various embodiments of the invention, although different, are not necessarily mutually exclusive. For example, a particular feature, structure, or characteristic described herein, in connection with one embodiment, may be implemented within other embodiments without departing from the spirit and scope of the invention. In addition, it is to be understood that the location or arrangement of individual elements within each disclosed embodiment may be modified without departing from the spirit and scope of the invention. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, appropriately interpreted, along with the full range of equivalents to which the claims are entitled. In the drawings, like numerals refer to the same or similar functionality throughout the several views.
  • Embodiments of the present invention relate to the fabrication of interconnect structures in microelectronic devices. More particularly, embodiments of the present invention disclose the use of copper (Cu) in the Front-End-Of-Line (FEOL) processing for a semiconductor device such as a transistor. As is known, a semiconductor device is typically formed buried in the semiconductor substrate. What end up being exposed are usually contact regions for interconnecting the devices to other metallization layers. Minimizing resistance from one interconnection level to the next is desired for an efficient and optimal device.
  • Currently, copper is not used in the FEOL processing due to the high tendency of copper to diffuse through an insulator even though copper has the desirable low resistivity and high conductivity characteristics. Copper can create copper oxide and disrupt the device. Copper can also migrate into the substrate, diffuse, and create both yield and reliability issues as it getters on dislocations or other interfaces. Because of such dangerous possibility, contacts immediately above the surface of the semiconductor substrate or the device have been formed with tungsten (W) to provide conductive interfaces or interconnection to the device while preventing the diffusion of copper into the device areas. Copper is used in many metal layers in the Back-End-Of-Line processing (e.g., dual damascene processing) to form metallization layers.
  • Fabrication of a semiconductor device includes two main process flows, FEOL and BEOL processes. In the FEOL process, wafer substrates are marked, STI regions are formed, e.g., by implantation, P- and N-wells are formed, e.g., by implantation, poly gate stacks are etched and formed, and source and drain regions are also formed by doping. Also, silicide layers or regions are also formed to enhance or establish conductive surfaces to the device. Also in the FEOL process, the first contact level is made to the device. The first contact levels typically include contacts to the source/drain regions and the gate electrode region (or the respective silicide surfaces). In the BEOL process, the device and the associated contacts are interconnected to metallization layers including forming the metallization and dielectric layers. Copper has been used in the BEOL process, e.g., dual damascene processing to form vias and trenches in the dielectric layers.
  • As mentioned, in conventional FEOL processing, tungsten is used for the first layer of contact. Embodiments of the present invention relate to forming the first layer of contact using copper. Additionally, the conventional FEOL processing has the first contacts being vertical thin lines (or pillars), referred to as “round contacts.” Embodiments of the present invention include forming the first contacts with “extended interconnect-style contacts” or “trench contacts” that have rectangular/square configurations. In one embodiment, a first contact extends the entire length of the contact region. In one embodiment, the first contact extends the entire length of the contact region and replace a a set of conventional contact pillars. For example, the first contact to a source region would extend the entire length of the source region. In other embodiments, the contact would extend only partially down the length of the contact region.
  • Embodiments of the present invention also pertain to using barrier layers to encapsulate the first contact that is made of copper. Embodiments of the present invention also include forming a silicide layer that can also act as a barrier layer and in some embodiments, the silicide layer is a copper silicide layer. Embodiments of the present invention also reduce contact resistance from the first contact to the device, between the first contact and the silicide layer, and between the first contact and other metallization layer above the first contact.
  • Embodiments of the present invention may enable better density scaling and cross-technology scaling by enabling optimal placement and alignment flexibility in interconnection positioning. Additionally, with the trench contact configuration, one device can be connected to another without going up to another metallization layer as is currently done in the art. More importantly, the copper contacts provide reduction in parasitic resistance in the device by eliminating the conventional copper-to-tungsten junction and replacing it with copper-t-copper junction from the device to the metallization layers. The resistivity reduction in the contacts and interconnection also enable more conductivity, thus, better drive current in a device. Furthermore, the extended interconnect-style contact in the first contact level improves drive current by enhancing not just vertical conductivity but also lateral conductivity since the contact extend more laterally compared to a conventional round-type contact. Thus, the conductivity to and from the device is increased, and in many cases, an increase of 30-45% drive current have been observed with devices made in according to embodiments of the present invention.
  • FIG. 2 illustrates an exemplary microstructure device 200 fabricated according to embodiments of the present invention. The device 200 can be a transistor or capacitor or other semiconductor device. The device 200 is formed on a semiconductor substrate 202 (e.g., a silicon wafer). Isolation regions such as STI 204 are formed in the substrate 202 to isolate one device from another as is known in the art. Source and drain regions 206 are also formed by doping into the substrate 202 as known in the art. Between the source and drain regions 206 and on the top surface of the substrate 202, a gate dielectric 210 is formed. A gate electrode 208 is formed on top of the gate dielectric 210. Contacts to the device 200 can be made to the source and drain regions 206 and optionally, the gate electrode 208. The source/drain regions or gate electrode can sometimes be referred to as conductive surfaces so that the first contact can be made to the device 200. Often, a layer of silicide material 212 is formed over the source and drain regions 206 and optionally, the gate electrode 208 to enhance the contact. The silicide layer 212 can be formed as conventionally done. When silicide layer 212 is include, the contact surfaces are the silicide surfaces. Spacer sidewalls 218 are also disposed on each side of the gate electrode 208.
  • Contacts are made to the device. As referred herein, the first layer of contact refers to the contact that is made immediate to the device 200 or on the top surface of the substrate 202 or as illustrated in FIG. 2 (on top of the silicide layer 212). Thus, contacts are made to the source/drain regions 206 and the gate electrode 208 (or their respective silicide layer formed thereon). In one embodiment, a dielectric layer 216 is formed on the top surface of the substrate 202. Openings 201 are formed into the dielectric layer 216 and expose the silicide layer 212. In one embodiment, the silicide layer 212 lines the bottom of each opening 201. In one embodiment, a barrier layer 214 lines the entire surface (bottom surface and sidewalls) of the opening 201 as shown in FIG. 2. The openings 201 are then filled with copper to form the first contact 220 using methods such as deposition, sputtering, electroplating, or electroless plating. In one embodiment, a seed layer (not shown) is also used to line the opening and on top of the barrier layer 214 to promote the adhesion of the copper or to promote nucleation of copper to form the first contact 220. In one embodiment, a via connector 222 is formed that connects to the first contact 220. In one embodiment, the via connector 222 and the opening 201 are filled in a single operation. The via connector 222 is then interconnected to one or more metallization layers (MT1 to MT8) 226 above. Methods such as dual damascene processing can be used to form the via connector 222 and the metallization layers MT1-MT8. Interlevel dielectric layers are also used at each metallization layer as is known in the art.
  • In more particular, the terms wafer and substrate used in the following description include any base semiconductor structure. Both are to be understood as including silicon-on-sapphire (SOS) technology, silicon-on-insulator (SOI) technology, thin film transistor (TFT) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor structure, as well as other semiconductor structures well known to one skilled in the art. Furthermore, when reference is made to a wafer or substrate in the following description, previous process steps may have been utilized to form regions/junctions in the base semiconductor structure and layer formed above, and the terms wafer or substrate include the underlying layers containing such regions/junctions and layers that may have been formed above. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims.
  • The silicide layer 212 can be formed using a conventional method such as depositing a conductive material (e.g., using chemical vapor deposition) on the top surface of the source/drain regions 206 or the gate electrode 208 and then anneal the structure to form the silicide material. In one embodiment, the silicide layer 212 comprises any metal that is capable of reacting with silicon to form metal silicide. Examples of such metals include Ti, Ta, W, Co, Ni, Pt, Pd and alloys thereof. The silicide layer 212 may be deposited using a conventional deposition process including chemical vapor deposition, physical vapor deposition, sputtering, evaporation, chemical solution deposition, plating, and the like. To complete the silicide layer formation, the structure is annealed to convert the silicide metal layer 212 into a metal silicide. The annealing is typically done at a temperature from about 600-3000° C. An inert gas (e.g., helium, argon, and nitrogen) may be presence during the silicide annealing.
  • The dielectric layer 216 includes a substance that comprises a material selected from a group consisting of a polymer, a foamed polymer, a fluorinated polymer, a fluorinated-foamed polymer, an aerogel, and an insulator oxide compound. The polymer includes polyimide. The insulator oxide compound may include silicon dioxide, silicon nitride, carbon doped oxide, fluorinated silicon oxide, boron/phosphorous doped oxide, and the like. The dielectric layer 216 can be formed using a conventional method such as chemical vapor deposition, evaporation, atomic layer deposition, or chemical solution deposition.
  • Vias 201 may be formed into the dielectric layer 216 using methods known in the art, e.g., etching, photolithography, lithography, ion milling, laser ablation, damascene, dual damascene, and the like. The vias 201 are opening formed in the dielectric layer 216 and extending into the dielectric layer. In one embodiment, the vias 201 have a trench-like configuration or an extended interconnect-style configuration as opposed to the conventional thin vertical line via.
  • The barrier layer 214 is formed to prevent diffusion of the copper atoms into the dielectric layer 216. This diffusion changes the microstructure of a portion of the device 200 and causes undesired effects. The barrier layer 214 can be formed using conventional methods. The barrier layer 214 can be thin and in one embodiment, less than 100 Å. In other embodiments, barrier layer 214 is less than 20 Å, less than 15 Å, and even less than 10 Å along the sidewalls of the opening 201 and optionally, along all surfaces of the opening 201. In one embodiment, the barrier layer 214 is made of a material that can both act as a diffusion barrier for the interconnect material (such as acting as a diffusion barrier for copper and copper alloys) and act as an activation/nucleation layer for the interconnect material to form thereon (such as acting as a seed layer for the copper to form).
  • Materials that can be used as a barrier layer include but are not limited to ruthenium (Ru), paladium (Pd), rhodium (Rh), nickel (Ni), cobalt (Co), and platinum (Pt), titanium (Ti), tantalum (Ta), titanium nitride (TiN), TaN, WsiN, Zr, hafnium (Hf), tungsten (W), etc. A portion of the barrier layer 214 may also extend over and abut the surface of dielectric layer 216.
  • In one embodiment, a seed layer (not shown) is deposited on the barrier layer 214 prior to the deposition of the copper to form the first contact 220. A low-energy ion implantation can be used to form the seed layer. In one embodiment, depositing the seed layer includes depositing a copper seed layer. In one embodiment, depositing the seed layer includes depositing copper seed layer having a thickness of less than 100 Angstroms. This can be achieved using an 8×1016 ion implantation of copper. In one embodiment, the energy of implantation includes about 100 electron-volts. Additionally, the copper seed layer can also be implanted at an angle normal to the planarized surface.
  • The seed material may be deposited in a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process or a physical vapor deposition (PVD) process, such as magnetron sputtering, but is not so limited. The seed material provides a nucleation site for a subsequent electroless plating process for the copper. The seed material may include, but is not limited to, copper (Cu), palladium (Pd), cobalt (Co), nickel (Ni), ruthenium (Ru), platinum (Pt), alloys thereof, and the like.
  • In one embodiment, copper is electrolessly deposited into the via 201 to form the first contact 220. The electroless deposition process may include any autocatalytic (e.g., no external power supply is applied) deposition of the conductive material layer through the interaction of a metal salt and a chemical reducing agent. As is known in the art, preparing or treating a surface, may be necessary in order to produce an activated surface so that the surface that is receptive to the electroless deposition process.
  • In one embodiment, during the electroless deposition, the seed material serves as the activated surface upon which the electroless deposition forms. The seed material also acts as a region which controls the placement of the deposited copper from the electroless deposition solution only deposits on the prepared or treated surface (e.g., the seed material).
  • In one embodiment, the electroless plating bath or deposition solution may comprise cobalt and alloys thereof (such as cobalt alloyed with tungsten, boron, phosphorus, molybdenum, and/or the like), nickel and alloys thereof (such as nickel alloyed with tungsten, boron, phosphorus, molybdenum, and/or the like), copper, palladium, silver, gold, platinum metals and their selective alloys to fill narrow and high aspect ratio trenches and via holes. It is, of course, understood that the electroless deposition solution may also include additives (such as suppressors, polyethylene glycol, and anti-suppressors, di-sulfide) and complexing agents (such as thiosulfate and peroxodisulfate). Although a few examples of materials that may comprise the electroless deposition solution are described here, the solution may comprise other materials that serve to deposit the conductive material electroles sly. The technique of electroles sly depositing a metal or metal alloy is known to those skilled in the art, and may be performed either by immersing the substrate in an electroless deposition solution, by semi-immersion, or by spraying the electroless deposition solution onto the substrate or target (e.g., the dielectric material layer 216). It is well known to those skilled in the art that the seed material may be subsumed during the electroless deposition process, such that the seed material may become continuous with the conductive material.
  • In one embodiment, the contact 220 is planarized after the copper fills the vias 201, usually by a technique called chemical mechanical polish (CMP) or by an etching process, which removes the copper, which is not within the opening 201.
  • In one embodiment, via connector 222 are formed to electrically interconnect to the first contact 220. A conventional BEOL process can be used to form the via connector 222. For example, a dual damascene method can be used to form the via connector 222. The via connector 222 connects to the metallization layers 226, which can also be formed using similar methods. In an alternative embodiment, the copper deposited in the opening to form the first contact 220 is etched or otherwise removed in a way to leave the via connector 222 extending from the first contact 220. In one embodiment, the first contact 220 and the via connector 222 are filled simultaneously with copper. It is to be noted that dual damascene process is not the only technique that can be used to form the first contact 220 and the via connector 222. Conventional metal etching methods can be used to form the first contact 220 and the via connector 222, sequentially or simultaneously.
  • FIGS. 3A-1 to 3A-3 describe in more details an exemplary embodiment where the first contact has a configuration of an extended interconnect-style contact as opposed to the conventional round via or line of vias (in other words, a conventional vertical pillar; or line of pillars) contact shown in FIG. 3B-1 and FIG. 3B-2. In the present embodiment (FIG. 3A-1), the first contact 220 extends an entire length of the source and drain regions 206. Similarly, the first contact 220 that is formed over the gate electrode 208 may also extend the entire length of the gate electrode 208. It is to be understood that in many devices, the first contact 220 does not extend the entire length of the source and drain regions or that the first contact 220 extends a length sufficient to allow electrical interconnection to the device (FIG. 3A-2). In one embodiment, the first contact 220 has a rectangular configuration as shown in FIGS. 3A-1 to 3A-3.
  • FIG. 3A-1 illustrates the device 200 from a top view with the source and drain regions 206 and the gate electrode 208 showing. The silicide layer 212 is not shown for clarity purpose. In one embodiment, the opening 201 is formed so that the opening 201 extend an entire length L101 of the source/drain regions 206. In one embodiment, the opening 201 extends an entire length of the device. In other embodiments, the opening 201 extends down a fraction of the device as shown in FIG. 3A-2. In yet other embodiments, the opening 201 extends a fraction of the device and then extend larger to meet the via connector 222 as shown in FIG. 3A-3. While FIGS. 3A-1 and 3A-2 show the top view of the device FIG. 3A-3 shows the cross-sectional side view for clarity purposes.
  • The via connector 222 needs not be placed entirely over the first contact 220. As shown in FIGS. 3A-1 and 3A-2, the via connector 222 is placed partially in contact with and only partially over the first contact 220. Similarly, the first metallization layer MT1 226 needs not be placed entirely over the first contact 220 or the via connector 222. As shown in FIG. 3A-1, the metallization layer MT1 226 is placed partially in contact with and only partially over the via connector 222 and/or the first contact 220.
  • FIG. 3B-1 illustrates the configuration of a conventional via contact (e.g., device 100). Here, a source/drain region 106 and a dielectric layer 118 formed over the source/drain region are shown. The via has a configuration of a cylindrical tube (or pillar) and as such, often is called “round contact.” The conventional via is typically only a thin vertical conductive line forming through the dielectric layer and connecting to the contact region (e.g., source/drain region) as shown in FIG. 3B-1. The conventional via can be implemented as a single pillar (as in FIG. 3B-1) or a line of pillars (as in FIG. 3B-2) On the other hand, in one embodiment, the first contact 220 made according to embodiments of the present invention has the configuration of a rectangular contact or trench contact as shown in FIG. 3C (in a three dimensional view).
  • The extended configuration or trench contact configuration for the first contact 220 enables flexibility in circuit design and placement of the interconnecting elements. For example, the trench contact need not run the entire length of the source drain region, and (because of the high conductivity of the contact due the Cu fill) can also extend significantly beyond the edge of the source drain region. The via connector 222 needs not be placed directly over the region of the substrate 202 where the device is formed (“field region”) but instead, can be placed toward the side where space is available and still able to interconnect to the first contact 220. Additionally, filling the trench contact with copper may be easier than filling a round via contact with copper. Furthermore, the extended first contact filled with copper reduces vertical resistivity as well as lateral resistivity; and, being filled with copper, the first contact further optimizes drive current by providing optimal vertical and lateral conductivity. In one embodiment, a device built using the extended-interconnect style first contact has a 10-15% conductivity improvement over the conventional round style first contact.
  • FIGS. 4A-4C illustrate an exemplary process flow and corresponding structures throughout the process of making a semiconductor device 400 during a FEOL according to embodiments of the present invention. A substrate 402 is provided. The substrate 402 has a set of isolation regions 404 formed therein. Source and drain regions 406 are also formed in the substrate. A gate dielectric 408 is formed on the top surface 410 of the substrate 402. A gate electrode 412 is formed on top of the gate dielectric layer 408. Typically, the gate electrode 412 and the gate dielectric are referred to as a gate stack. Spacers 414 are also formed on each side of the gate stack.
  • In one embodiment, (FIGS. 4A-4B), a silicide layer is formed over the contact regions of the device and in the present example, a silicide layer 416 is formed over the source/drain regions 416 and the gate electrode 412. In one embodiment, to form the silicide layer 416, a conductive metal is deposited and the structure is annealed to convert the metal and the top portion of the substrate into metal silicide. The silicide layer 416 is resistant to etching, is conductive, and behaves like a semiconductor crystal. The silicide layer 416 thus provides a conductive surface on a silicon surface. A dielectric layer 418 is formed over the surface 410 and patterned to form opening 420 as shown in FIG. 4B. In one embodiment, the opening 420 each extend the entire length of the device 400. Alternatively, the opening 420 only extends down part of the device. In either the case of a full extension or a partial extension, the opening may extend beyond the device over the adjacent field. For example, the opening 420 extends into the page and covers the entire length of the device 400 that extends into the page. An etch stop layer (not shown) may be used to prevent etching or damaging to the silicide layer 416 during the opening 420 formation process.
  • Alternatively, the dielectric layer 418 is formed over the substrate 402 (over the top surface 410) prior to the silicide layer 416 formation. The dielectric layer 418 is then etched to form the opening 420. Then, the silicide layer 416 is formed inside each of the opening 420.
  • In FIG. 4C, a barrier layer 422 is formed and lined the opening 420. In one embodiment, the barrier layer 422 (e.g., TaN) is formed in conjunction with an oxygen plasma treatment applied to the barrier layer 422 to improve its barrier performance.
  • Copper is then deposited into the opening 420 to form the first contact 424. In one embodiment a seed layer is not used. In such embodiment, the barrier layer 422 is made of a material that is capable of being activated to be a nucleated site for the copper to form thereon. In one embodiment, electroless plating is used to deposit the copper. In another embodiment, electroplating is used to deposit the copper. When the device 400 is completed, at this point, the FEOL may be considered finished and the BEOL begins to form other interconnection layers to the device 400. For example, via connectors and metallization layers are formed to connect to the first contact 424 using methods known in the art.
  • FIGS. 5A-5C illustrate an exemplary process flow similar to FIGS. 4A-4C with the addition of a seed layer 506 (e.g., a copper seed layer) being deposited on top of the barrier layer 422.
  • FIGS. 6A-6E illustrate another exemplary process flow of making a semiconductor device 600 during a FEOL according to embodiments of the present invention. A substrate 602 is provided. The substrate 602 has a set of isolation regions 604 formed therein. Source and drain regions 606 are also formed in the substrate. A gate dielectric 608 is formed on the top surface 610 of the substrate 602. A gate electrode 612 is formed on top of the gate dielectric layer 608. Typically, the gate electrode 612 and the gate dielectric 608 are referred to as a gate stack. Spacers 614 are also formed on each side of the gate stack.
  • In one embodiment, (FIGS. 6A-6B), a dielectric layer 618 is formed over the substrate 602 (over the top surface 610). At this point, unlike the device 400, no silicide layer is formed. The dielectric layer 618 is then etched to form the opening 620. In one embodiment, the opening 620 each extend the entire length of the device 600. Alternatively, the opening 620 only extends down part of the device. In either the case of a full extension or partial extension, the opening may extend beyond the device over the adjacent field. For example, the opening 620 extends into the page and covers the entire length of the device 600 that extends into the page.
  • FIG. 6C, a barrier layer 622 is formed and lined the opening 620. In one embodiment, the barrier layer 622 is also formed to provide an additional function much like that of a metal silicide layer. The barrier layer 622 thus contains a metal or a conductive material that will react with the silicon surface and form a conductive surface similar to a conventional metal silicide. Further, the barrier layer 622 is made of material that inhibits diffusion of the copper into the dielectric layer 618. Examples of such metal include TaN, TaN(O), WN, tantalum, cobalt, nickel, and copper. In one embodiment, the barrier layer 622 (e.g., TaN or WN) is formed in conjunction with an oxygen plasma treatment applied to the barrier layer 622 to improve its barrier performance. The barrier layer 622 is also subjected to annealing so that the metal component in the barrier layer 622 can form metal silicide. It is to be noted herein that only the portion of the barrier layer 622 that lies above the source/drain region or on top of a silicon containing surface such as the source and drain region that a silicide layer is formed. Specifically, a portion of the barrier layer 622 is converted into silicide where the barrier layer 622 material is formed over the silicon. In one embodiment, copper is deposited and immediately annealed to form copper silicide which then allow the copper silicide to act as a barrier layer 622 with conductivity characteristic that of a metal silicide. FIG. 6D illustrates the bottom portion 622-B of the barrier layer 622 converting into a silicide portion after the barrier layer 622 is treated. The barrier layer 622 thus has a portion 622-B that transforms into a silicide layer in addition to the rest of the barrier layer 622 being a diffusion barrier for copper.
  • FIG. 6E shows copper being deposited into the opening 620 to form the first contact 624. In one embodiment a seed layer is not used. In such embodiment, the barrier layer 622 is also made of a material that is capable of being activated to be a nucleated site for the copper to form thereon. In one embodiment, electroless plating is used to deposit the copper. In another embodiment, electroplating is used to deposit the copper. When the device 600 is completed, at this point, the FEOL may be considered finished and the BEOL begins to form other interconnection layers to the device 600. For example, via connector and metallization layers are formed to connect to the first contact 624 using methods known in the art. In an alternative embodiment, a copper seed layer is deposited on top of the barrier layer 622 prior to the deposition of the copper through electroplating or electroless plating.
  • FIG. 7 illustrates an exemplary method 700 of forming a contact to a semiconductor device (e.g., during a FEOL process). At 702, a substrate having a contact device (e.g., a transistor) formed thereon is provided. At 704, a dielectric layer is formed immediately above the top surface of the semiconductor device. At 706, an opening (having a trench like configuration or a rectangular configuration) is formed into the dielectric layer to expose a contact region (e.g., source/drain region) immediately above the top surface of the semiconductor device. The openings can extend the entire length of the device, for example, the openings exposing the source/drain regions extend the entire length of the source/drain regions. Alternatively, the opening only extends down part of the device. In either the case of a full extension or a partial extension, the opening may extend beyond the device over the adjacent field. At 708, the opening is lined with a barrier layer such that the barrier liner covers the exposed contact region to prevent diffusion of a material such as copper that will be used to fill the opening. At 710, the opening is filled with the conductive material or copper.
  • FIG. 8 illustrates an exemplary method 800 of forming a first contact to a semiconductor device (e.g., during a FEOL process). At 802, a substrate is provided. Isolation trench, source/drain regions, gate stack and spacers are also formed in or on the substrate. At 804, a silicide layer is formed over the source/drain regions and optionally, the gate electrode layer of the gate stack. At 806, a dielectric layer is formed immediately above the top surface of the semiconductor device. Openings (having a trench like configuration or a rectangular configuration) are formed into the dielectric layer to expose the source/drain regions and optionally, the gate electrode region. The openings can extend the entire length of the device, for example, the openings exposing the source/drain regions extend the entire length of the source/drain regions. Alternatively, the opening only extends down part of the device. In either the case of a full extension or a partial extension, the opening may extend beyond the device over the adjacent field. At 808, the opening is lined with a barrier layer such that the barrier liner covers the exposed contact region to prevent diffusion of a material such as copper that will be used to fill the opening. At 810, a seed layer is optionally formed on top of the barrier layer to initiate nucleation for the formation of the copper in the openings. At 812, the openings are filled with the conductive material or copper using electroplating or electroless plating.
  • FIG. 9 illustrates an exemplary method 900 of forming a first contact to a semiconductor device (e.g., during a FEOL process). At 902, a substrate is provided. Isolation trench, source/drain regions, gate stack and spacers are also formed in or on the substrate. At 904, a dielectric layer is formed immediately above the top surface of the semiconductor device. Openings (having a trench like configuration or a rectangular configuration) are formed into the dielectric layer to expose the source/drain regions and optionally, the gate electrode region. The openings can extend the entire length of the device, for example, the openings exposing the source/drain regions extend the entire length of the source/drain regions. Alternatively, the opening only extends down part of the device. In either the case of a full extension or a partial extension, the opening may extend beyond the device over the adjacent field. At 906, a metal is added to permit formation of a silicide on the exposed silicon region. Using processing known in the art, the metal is annealed and a silicide layer is formed over the source/drain regions and optionally, the gate electrode layer of the gate stack. The opening is optionally lined with a barrier layer such that the barrier liner covers the exposed contact region to prevent diffusion of a material such as copper that will be used to fill the opening. At 910, a seed layer is optionally formed on top of the copper silicide layer to initiate nucleation for the formation of the copper in the openings. At 912, the openings are filled with the conductive material or copper using electroplating or electroless plating.
  • Embodiments of the present invention permit more flexibility in the placement of via connector and/or metalizations to a device while providing superior performance over conventional configuration of interconnection to a device. For instance, in one embodiment, (as the state of the art or conventional devices with round contacts does not even permit this configuration) a via connector such as the via connector 222 is located adjacent to the device on the adjacent field and connects to the device through the extension of a first contact such as the contact 220 onto the field. In this configuration the high conductivity of the trench contact style for the first contact provides significant performance improvement. Experimental results from devices fabricated with copper-contacts in this exemplary configuration show for NMOS up to 35% Idsat and 50% IDlin improvement, and for PMOS up to 30% Idsat and 50% IDlin improvement; when compared to conventional W processing in the same geometry. If the W processing is enhanced to the limit of the state of the art (i.e. configurations unrealistic for mass production), improvements are still seen for NMOS up to 15% Idsat and 25% IDlin improvement, and for PMOS up to 10% Idsat and 20% IDlin improvement; when compared to conventional W processing in the same geometry.
  • Although embodiments above focus mainly on FEOL processing, the same can be applied to BEOL processing without exceeding the scope of the embodiments of the present invention.
  • Having thus described in detail embodiments of the present invention, it is understood that the invention defined by the appended claims is not to be limited by particular details set forth in the above description, as many apparent variations thereof are possible without departing from the spirit or scope thereof.

Claims (20)

What is claimed is:
1. A semiconductor device comprising:
a semiconductor substrate having a contact region;
a dielectric layer on a top surface of the semiconductor substrate having an opening exposing at least a portion of the contact region;
a conductive material in the opening forming a contact to the contact region; and
a via connector on a portion of the first contact and on a portion of the dielectric layer.
2. The semiconductor device of claim 1, further comprising a metallization layer connected to the via connector.
3. The semiconductor device of claim 2, wherein the metallization layer is over the entire via connector.
4. The semiconductor device of claim 1, wherein the opening has an opening length and an opening width wherein the opening length is greater than the opening width.
5. The semiconductor device of claim 4, wherein the opening length extends one of an entire length of the contact region, partially across the entire length of the contact region, or from the contact region onto an adjacent field of the contact region.
6. The semiconductor device of claim 1, wherein the contact region is a conductive surface on the semiconductor substrate.
7. The semiconductor device of claim 6, wherein the contact region is formed of a silicide material.
8. The semiconductor device of claim 6, wherein the contact region is a doped region of the semiconductor substrate.
9. The semiconductor device of claim 1, wherein the conductive material comprises copper.
10. The semiconductor device of claim 1, further comprising a barrier layer lining surfaces of the opening, the barrier layer comprising copper silicide.
11. A method of forming a semiconductor device, comprising:
forming a contact region on a semiconductor substrate;
forming a dielectric layer on a top surface of the semiconductor substrate;
forming an opening in the dielectric layer exposing at least a portion of the contact region;
filling the opening with a conductive material to form a first contact to the semiconductor device; and
forming a via connector on a portion of the first contact and on a portion of the dielectric layer.
12. The method of claim 11, wherein forming the via connector comprises a dual damascene process.
13. The method of claim 12, wherein the first contact and the via connector are filled simultaneously with the conductive material.
14. The method of claim 11, wherein the conductive material is copper.
15. The method of claim 11, further comprising forming a metallization layer on a top surface of the via connector.
16. The method of claim 15, wherein forming the metallization layer and the via connector comprises a dual damascene process.
17. The method of claim 11, further comprising forming a barrier layer within the opening, wherein the barrier layer performs as a silicide layer, a diffusion barrier for copper, and a nucleation layer for copper.
18. The method of claim 17, further comprising forming a copper containing material on the barrier layer within the opening.
19. The method of claim 11, wherein filling the opening comprises an electroless deposition process.
20. The method of claim 19, further comprising performing a surface treatment to produce an activated surface.
US14/289,581 2006-03-30 2014-05-28 Copper-filled trench contact for transistor performance improvement Abandoned US20140264879A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/289,581 US20140264879A1 (en) 2006-03-30 2014-05-28 Copper-filled trench contact for transistor performance improvement
US15/607,982 US20170263721A1 (en) 2006-03-30 2017-05-30 Copper-filled trench contact for transistor performance improvement
US17/558,425 US20220115505A1 (en) 2006-03-30 2021-12-21 Copper-filled trench contact for transistor performance improvement

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/396,201 US8258057B2 (en) 2006-03-30 2006-03-30 Copper-filled trench contact for transistor performance improvement
US13/569,150 US8766372B2 (en) 2006-03-30 2012-08-07 Copper-filled trench contact for transistor performance improvement
US14/289,581 US20140264879A1 (en) 2006-03-30 2014-05-28 Copper-filled trench contact for transistor performance improvement

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/569,150 Continuation US8766372B2 (en) 2006-03-30 2012-08-07 Copper-filled trench contact for transistor performance improvement

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/607,982 Continuation US20170263721A1 (en) 2006-03-30 2017-05-30 Copper-filled trench contact for transistor performance improvement

Publications (1)

Publication Number Publication Date
US20140264879A1 true US20140264879A1 (en) 2014-09-18

Family

ID=38748779

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/396,201 Active 2028-01-07 US8258057B2 (en) 2006-03-30 2006-03-30 Copper-filled trench contact for transistor performance improvement
US13/569,150 Active US8766372B2 (en) 2006-03-30 2012-08-07 Copper-filled trench contact for transistor performance improvement
US14/289,581 Abandoned US20140264879A1 (en) 2006-03-30 2014-05-28 Copper-filled trench contact for transistor performance improvement
US15/607,982 Pending US20170263721A1 (en) 2006-03-30 2017-05-30 Copper-filled trench contact for transistor performance improvement
US17/558,425 Pending US20220115505A1 (en) 2006-03-30 2021-12-21 Copper-filled trench contact for transistor performance improvement

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/396,201 Active 2028-01-07 US8258057B2 (en) 2006-03-30 2006-03-30 Copper-filled trench contact for transistor performance improvement
US13/569,150 Active US8766372B2 (en) 2006-03-30 2012-08-07 Copper-filled trench contact for transistor performance improvement

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/607,982 Pending US20170263721A1 (en) 2006-03-30 2017-05-30 Copper-filled trench contact for transistor performance improvement
US17/558,425 Pending US20220115505A1 (en) 2006-03-30 2021-12-21 Copper-filled trench contact for transistor performance improvement

Country Status (1)

Country Link
US (5) US8258057B2 (en)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8937292B2 (en) * 2011-08-15 2015-01-20 Unity Semiconductor Corporation Vertical cross point arrays for ultra high density memory applications
JP4741965B2 (en) * 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
KR100857229B1 (en) * 2007-05-28 2008-09-05 삼성전자주식회사 Semiconductor device and method of forming the same
US8299455B2 (en) * 2007-10-15 2012-10-30 International Business Machines Corporation Semiconductor structures having improved contact resistance
US7964497B2 (en) * 2008-06-27 2011-06-21 International Business Machines Corporation Structure to facilitate plating into high aspect ratio vias
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
KR101186038B1 (en) * 2010-11-26 2012-09-26 에스케이하이닉스 주식회사 Method of fabricating semiconductor device
US8569810B2 (en) 2010-12-07 2013-10-29 International Business Machines Corporation Metal semiconductor alloy contact with low resistance
DE102010063294B4 (en) * 2010-12-16 2019-07-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A method of manufacturing metallization systems of semiconductor devices comprising a copper / silicon compound as a barrier material
US8507957B2 (en) * 2011-05-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layouts with power rails under bottom metal layer
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US8901627B2 (en) * 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits
USD721047S1 (en) 2013-03-07 2015-01-13 Vlt, Inc. Semiconductor device
US8975694B1 (en) * 2013-03-07 2015-03-10 Vlt, Inc. Low resistance power switching device
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
KR20150137720A (en) * 2014-05-30 2015-12-09 에스케이하이닉스 주식회사 Method for fabricating of the semiconductor apparatus
US9368436B2 (en) * 2014-08-04 2016-06-14 Infineon Technologies Ag Source down semiconductor devices and methods of formation thereof
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10297673B2 (en) 2014-10-08 2019-05-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices including conductive contacts on source/drains
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9922877B2 (en) * 2015-08-14 2018-03-20 Macronix International Co., Ltd. Connector structure and method for fabricating the same
US9601586B1 (en) 2015-11-02 2017-03-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a metal layer on source/drain regions
KR20240012619A (en) * 2015-11-20 2024-01-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device, method for manufacturing semiconductor device or display device having semiconductor device
US9496225B1 (en) 2016-02-08 2016-11-15 International Business Machines Corporation Recessed metal liner contact with copper fill
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10396012B2 (en) 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9768063B1 (en) 2016-06-30 2017-09-19 Lam Research Corporation Dual damascene fill
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
CN109285810A (en) * 2017-07-20 2019-01-29 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacturing method and electronic device
KR20200032756A (en) 2017-08-14 2020-03-26 램 리써치 코포레이션 Metal filling process for 3D vertical NAND wordlines
KR102556021B1 (en) * 2017-10-13 2023-07-17 삼성디스플레이 주식회사 Display apparatus and manufacturing the same
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
FR3096830B1 (en) * 2019-05-27 2021-06-18 St Microelectronics Crolles 2 Sas Interconnection element and its manufacturing process
US20230131403A1 (en) * 2021-10-25 2023-04-27 Globalfoundries U.S. Inc. Integrated circuit structure with spacer sized for gate contact and methods to form same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4837609A (en) * 1987-09-09 1989-06-06 American Telephone And Telegraph Company, At&T Bell Laboratories Semiconductor devices having superconducting interconnects
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6274923B1 (en) * 1998-06-12 2001-08-14 Nec Corporation Semiconductor device and method for making the same
US20020001944A1 (en) * 1999-08-27 2002-01-03 Faust Richard A. In-situ co-deposition of Si iN diffusion barrier material depositions with improved wettability, barrier efficiency, and device reliability
US20070176261A1 (en) * 2006-01-30 2007-08-02 Macronix International Co., Ltd. Vertical Side Wall Active Pin Structures in a Phase Change Memory and Manufacturing Methods

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54881A (en) * 1977-06-03 1979-01-06 Fujitsu Ltd Semiconductor device
US4914500A (en) * 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
US5124780A (en) * 1991-06-10 1992-06-23 Micron Technology, Inc. Conductive contact plug and a method of forming a conductive contact plug in an integrated circuit using laser planarization
CA2082771C (en) 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
US5733812A (en) * 1993-11-15 1998-03-31 Matsushita Electric Industrial Co., Ltd. Semiconductor device with a field-effect transistor having a lower resistance impurity diffusion layer, and method of manufacturing the same
JP3500707B2 (en) * 1994-06-28 2004-02-23 ソニー株式会社 Method of forming connection structure and method of designing connection structure
JPH08148561A (en) * 1994-11-16 1996-06-07 Mitsubishi Electric Corp Semiconductor device and its manufacture
JP2845176B2 (en) * 1995-08-10 1999-01-13 日本電気株式会社 Semiconductor device
JP4142753B2 (en) * 1996-12-26 2008-09-03 株式会社東芝 Sputtering target, sputtering apparatus, semiconductor device and manufacturing method thereof
US6121129A (en) * 1997-01-15 2000-09-19 International Business Machines Corporation Method of contact structure formation
KR100243286B1 (en) * 1997-03-05 2000-03-02 윤종용 Method for manufacturing a semiconductor device
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US5985749A (en) * 1997-06-25 1999-11-16 Vlsi Technology, Inc. Method of forming a via hole structure including CVD tungsten silicide barrier layer
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6731007B1 (en) * 1997-08-29 2004-05-04 Hitachi, Ltd. Semiconductor integrated circuit device with vertically stacked conductor interconnections
US6214731B1 (en) * 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
US5982001A (en) * 1998-03-30 1999-11-09 Texas Instruments - Acer Incorporated MOSFETS structure with a recessed self-aligned silicide contact and an extended source/drain junction
US6146978A (en) * 1998-05-06 2000-11-14 Advanced Micro Devices, Inc. Integrated circuit having an interlevel interconnect coupled to a source/drain region(s) with source/drain region(s) boundary overlap and reduced parasitic capacitance
US6197644B1 (en) * 1998-11-06 2001-03-06 Advanced Micro Devices, Inc. High density mosfet fabrication method with integrated device scaling
US6750079B2 (en) * 1999-03-25 2004-06-15 Ovonyx, Inc. Method for making programmable resistance memory element
JP3821624B2 (en) * 1999-12-17 2006-09-13 シャープ株式会社 Manufacturing method of semiconductor device
US6521977B1 (en) * 2000-01-21 2003-02-18 International Business Machines Corporation Deuterium reservoirs and ingress paths
US6660664B1 (en) 2000-03-31 2003-12-09 International Business Machines Corp. Structure and method for formation of a blocked silicide resistor
JP3490046B2 (en) * 2000-05-02 2004-01-26 シャープ株式会社 Semiconductor device and manufacturing method thereof
US6887784B1 (en) * 2000-06-15 2005-05-03 Cypress Semiconductor Corporation Self aligned metal interconnection and method of making the same
US6406986B1 (en) * 2000-06-26 2002-06-18 Advanced Micro Devices, Inc. Fabrication of a wide metal silicide on a narrow polysilicon gate structure
US7405112B2 (en) * 2000-08-25 2008-07-29 Advanced Micro Devices, Inc. Low contact resistance CMOS circuits and methods for their fabrication
US6440830B1 (en) * 2000-08-30 2002-08-27 Advanced Micro Devices, Inc. Method of copper-polysilicon gate formation
DE10056871B4 (en) * 2000-11-16 2007-07-12 Advanced Micro Devices, Inc., Sunnyvale Improved gate contact field effect transistor and method of making the same
US6872644B1 (en) * 2001-07-03 2005-03-29 Advanced Micro Devices, Inc. Semiconductor device with non-compounded contacts, and method of making
JP3759909B2 (en) * 2002-02-22 2006-03-29 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP2004111479A (en) * 2002-09-13 2004-04-08 Toshiba Corp Semiconductor device and its manufacturing method
KR100476694B1 (en) * 2002-11-07 2005-03-17 삼성전자주식회사 structure of a Fuse for a semiconductor device and method of manufacturing the same
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US7397087B2 (en) 2004-08-06 2008-07-08 International Business Machines Corporation FEOL/MEOL metal resistor for high end CMOS
US7078810B2 (en) * 2004-12-01 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
JP2006165469A (en) * 2004-12-10 2006-06-22 Fujitsu Ltd Semiconductor apparatus and its manufacturing method
JP5134193B2 (en) * 2005-07-15 2013-01-30 株式会社東芝 Semiconductor device and manufacturing method thereof
DE102005052000B3 (en) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a contact structure based on copper and tungsten
US7365009B2 (en) * 2006-01-04 2008-04-29 United Microelectronics Corp. Structure of metal interconnect and fabrication method thereof
JP2007201054A (en) * 2006-01-25 2007-08-09 Matsushita Electric Ind Co Ltd Joint construction and its process for fabrication
KR100809330B1 (en) * 2006-09-04 2008-03-05 삼성전자주식회사 Semiconductor devices free stress of gate spacer and method for fabricating the same
US7407875B2 (en) * 2006-09-06 2008-08-05 International Business Machines Corporation Low resistance contact structure and fabrication thereof
JP4501965B2 (en) * 2006-10-16 2010-07-14 ソニー株式会社 Manufacturing method of semiconductor device
DE102006056620B4 (en) * 2006-11-30 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Semiconductor structure and method for its production
US7888200B2 (en) * 2007-01-31 2011-02-15 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
JP5211503B2 (en) * 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7504287B2 (en) * 2007-03-22 2009-03-17 Advanced Micro Devices, Inc. Methods for fabricating an integrated circuit
US7534678B2 (en) * 2007-03-27 2009-05-19 Samsung Electronics Co., Ltd. Methods of forming CMOS integrated circuit devices having stressed NMOS and PMOS channel regions therein and circuits formed thereby
US7728371B2 (en) * 2007-09-19 2010-06-01 International Business Machines Corporation SOI CMOS compatible multiplanar capacitor
DE102007057682A1 (en) * 2007-11-30 2009-06-04 Advanced Micro Devices, Inc., Sunnyvale A hybrid contact structure with a small aspect ratio contact in a semiconductor device
US7985674B2 (en) * 2008-11-05 2011-07-26 Spansion Llc SiH4 soak for low hydrogen SiN deposition to improve flash memory device performance

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4837609A (en) * 1987-09-09 1989-06-06 American Telephone And Telegraph Company, At&T Bell Laboratories Semiconductor devices having superconducting interconnects
US6274923B1 (en) * 1998-06-12 2001-08-14 Nec Corporation Semiconductor device and method for making the same
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US20020001944A1 (en) * 1999-08-27 2002-01-03 Faust Richard A. In-situ co-deposition of Si iN diffusion barrier material depositions with improved wettability, barrier efficiency, and device reliability
US20070176261A1 (en) * 2006-01-30 2007-08-02 Macronix International Co., Ltd. Vertical Side Wall Active Pin Structures in a Phase Change Memory and Manufacturing Methods

Also Published As

Publication number Publication date
US20220115505A1 (en) 2022-04-14
US20170263721A1 (en) 2017-09-14
US20120299069A1 (en) 2012-11-29
US8766372B2 (en) 2014-07-01
US8258057B2 (en) 2012-09-04
US20070273042A1 (en) 2007-11-29

Similar Documents

Publication Publication Date Title
US20220115505A1 (en) Copper-filled trench contact for transistor performance improvement
US8372739B2 (en) Diffusion barrier for integrated circuits formed from a layer of reactive metal and method of fabrication
US6426289B1 (en) Method of fabricating a barrier layer associated with a conductor layer in damascene structures
US7154178B2 (en) Multilayer diffusion barrier for copper interconnections
US6359328B1 (en) Methods for making interconnects and diffusion barriers in integrated circuits
JP5503147B2 (en) Metal layer on insulator patterned by wet chemical deposition including electroless phase and current supply phase
JP5379848B2 (en) Structure and process for the incorporation of conductive contacts
US20080242088A1 (en) Method of forming low resistivity copper film structures
US20030207564A1 (en) Copper dual damascene interconnect technology
TW201709293A (en) Ruthenium metal feature fill for interconnects
US10903116B2 (en) Void-free metallic interconnect structures with self-formed diffusion barrier layers
TWI518843B (en) Interconnect structure and method for forming interconnect structure
TWI423387B (en) Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
JP2011238917A (en) Method of manufacturing semiconductor device
JP2004040101A (en) Alloying and/or local-doping of metallization for improving wiring performance
US20090166867A1 (en) Metal interconnect structures for semiconductor devices
JP2023182638A (en) Seed layers for copper interconnection
KR100845715B1 (en) Structrue of Metal Wiring in Semiconcuctor Device and Method of forming the same
US20090032961A1 (en) Semiconductor device having a locally enhanced electromigration resistance in an interconnect structure
US6656834B1 (en) Method of selectively alloying interconnect regions by deposition process
US6955984B2 (en) Surface treatment of metal interconnect lines
KR100924556B1 (en) Metal wiring of semiconductor device and method of manufacturing the same
US20050085073A1 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
TW579571B (en) Method for manufacturing copper damascene structure
KR20110020484A (en) Method for fabricating metal interconnction of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION