US20140318584A1 - Formulations for the removal of particles generated by cerium-containing solutions - Google Patents

Formulations for the removal of particles generated by cerium-containing solutions Download PDF

Info

Publication number
US20140318584A1
US20140318584A1 US13/978,825 US201213978825A US2014318584A1 US 20140318584 A1 US20140318584 A1 US 20140318584A1 US 201213978825 A US201213978825 A US 201213978825A US 2014318584 A1 US2014318584 A1 US 2014318584A1
Authority
US
United States
Prior art keywords
acid
composition
lanthanoid
species
ammonium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/978,825
Inventor
Emanuel I. Cooper
Jeffrey A. Barnes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to US13/978,825 priority Critical patent/US20140318584A1/en
Assigned to ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ADVANCED TECHNOLOGY MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BARNES, JEFFREY A., COOPER, EMANUEL I.
Assigned to GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT reassignment GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC., ATMI PACKAGING, INC., ATMI, INC., ENTEGRIS, INC., POCO GRAPHITE, INC.
Assigned to GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT reassignment GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC., ATMI PACKAGING, INC., ATMI, INC., ENTEGRIS, INC., POCO GRAPHITE, INC.
Publication of US20140318584A1 publication Critical patent/US20140318584A1/en
Assigned to ENTEGRIS, INC. reassignment ENTEGRIS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADVANCED TECHNOLOGY MATERIALS, INC.
Assigned to POCO GRAPHITE, INC., ADVANCED TECHNOLOGY MATERIALS, INC., ATMI, INC., ATMI PACKAGING, INC., ENTEGRIS, INC. reassignment POCO GRAPHITE, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT
Assigned to ATMI, INC., POCO GRAPHITE, INC., ENTEGRIS, INC., ATMI PACKAGING, INC., ADVANCED TECHNOLOGY MATERIALS, INC. reassignment ATMI, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT
Assigned to GOLDMAN SACHS BANK USA reassignment GOLDMAN SACHS BANK USA SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ENTEGRIS, INC., SAES PURE GAS, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. ASSIGNMENT OF PATENT SECURITY INTEREST RECORDED AT REEL/FRAME 048811/0679 Assignors: GOLDMAN SACHS BANK USA
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02076Cleaning after the substrates have been singulated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Definitions

  • the present invention relates to compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware.
  • the compositions and methods remove cerium-containing solids and/or species from surfaces.
  • Resist is a radiation sensitive (e.g., light radiation sensitive) material used to form a patterned layer on a substrate (e.g., a semiconductor wafer) during semiconductor device fabrication.
  • a radiation sensitive material e.g., light radiation sensitive
  • the exposed portion of the resist (for positive resist), or the unexposed portion of the resist (for negative resist) is removed to reveal the underlying surface of the substrate, leaving the rest of the surface of the substrate coated and protected by resist.
  • Resist may be more generally referred to as a masking material.
  • Other fabrication processes such as ion-implanting, etching, or depositing may be performed on the uncovered surface of the substrate and the remaining resist. After performing the other fabrication processes, the remaining resist is removed in a strip operation.
  • dopant ions e.g., ions of boron, boron difluoride, arsenic, indium, gallium, phosphorous, germanium, antimony, xenon or bismuth
  • the ions are implanted in the exposed regions of the substrate as well as in the remaining resist.
  • Ion-implantation may be used, for example, to form implanted regions in the substrate such as the channel region and source and drain regions of transistors. Ion-implantation may also be used to form lightly doped drain and double diffused drain regions.
  • ions implanted in the resist may deplete hydrogen from the surface of the resist causing the resist to form an outer layer or crust, which may be a carbonized layer that is harder than the underlying portion of the resist layer (i.e., the bulk portion of the resist layer).
  • the outer layer and the bulk portion have different thermal expansion rates and react to stripping processes at different rates.
  • High dose ion-implanted resist may cause severe hardening or crusting of the resist resulting in relatively large differences between the outer layer and bulk portion in, for example, differences in thermal expansion rates, solubilities and other chemical and physical characteristics.
  • a composition comprising at least one salt or coordination complex of the element cerium, e.g., ceric ammonium nitrate (CAN), can effectively remove masking material, e.g., high dose ion-implanted resist, from a substrate.
  • this composition and method operates at a lower acidity and temperature than the compositions and methods known in the prior art and as such, causes less damage to TiN and other metal gate materials present on the substrate.
  • the reduction of cerium (IV) compounds and sometimes the dilution of the solution with water may result in precipitation of hydrolyzed solids such as Ce(NO 3 ) x (OH) y , where x+y ⁇ 4, especially at elevated temperatures typically used for HDIS.
  • hydrolyzed cerium species may adsorb to films present on the substrate being treated.
  • the present invention relates to compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware.
  • the compositions and methods remove cerium-containing solids and/or species from surfaces.
  • the present invention relates to compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware.
  • the compositions and methods remove cerium-containing solids and/or species from surfaces.
  • the composition is preferably substantially devoid of fluoride ions.
  • a method of removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microfabrication hardware comprising contacting the surface with a composition that substantially dissolves the lanthanoid-containing solids and/or species present on the surface.
  • the composition comprises at least one acid, at least one reducing agent, and water, wherein the composition is substantially devoid of fluoride ions.
  • microelectronic device corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar cell devices, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, energy collection, or computer chip applications.
  • MEMS microelectromechanical systems
  • microelectronic device “microelectronic substrate” and “microelectronic device structure” are not meant to be limiting in any way and include any substrate or structure that will eventually become a microelectronic device or microelectronic assembly.
  • the microelectronic device can be patterned, blanketed, a control and/or a test device.
  • Ion-implantation is a process by which ions of a dopant material can be implanted into target material, usually a solid.
  • the physical properties of an ion-implanted material are usually different from the physical properties of the target material prior to implantation.
  • Ion-implantation is used in microelectronic device fabrication, for example, in the fabrication of integrated circuits and silicon semiconductor devices.
  • the implanted ions may introduce or cause a chemical change in the target due to the ions being a different element than the target, and/or a structural change, in that the target may be modified, damaged or even destroyed by ion-implantation.
  • elements that are typically used for implanted species in semiconductor fabrication include boron, boron difluoride, arsenic, indium, gallium, germanium, bismuth, xenon, phosphorus and antimony.
  • Boron is a p-type dopant in silicon because it donates or causes a “hole” (i.e., electron vacancy) in the silicon.
  • Arsenic is an n-type dopant in silicon because it donates or causes an extra electron in the silicon.
  • Dopants, such as boron and arsenic, implanted in intrinsic silicon may cause the intrinsic silicon to become conductive as a semiconductor.
  • One or more dopant materials may be implanted into a target material.
  • Ion-implantation is usually characterized by dose and energy.
  • the dose is the number of ions that are implanted per area of target material.
  • the energy is the energy of the ions being implanted. More advanced microelectronic device processing or fabrication technologies typically use higher dose and/or higher energy than older technologies.
  • high dose ion-implantation the ion dose may be greater than about 5 ⁇ 10 14 ions/cm 2 and/or the average energy of the ions, before the ions impact the target or substrate, may be from about five thousand electron volts (KeV) to greater than 100 KeV.
  • Resist including photoresist (more generally, masking material) is a radiation sensitive material that is used to form a patterned coating on a surface, for example, the surface of a substrate or target. Resists are used in the fabrication of microelectronic devices, for example, integrated circuits and silicon semiconductor devices. One use of resists in the fabrication of semiconductor devices is as a mask for selective ion-implantation of dopants into a semiconductor substrate. A layer of resist is applied to the surface of the semiconductor substrate, or to the surface of a layer on or within the substrate, such as an insulator layer above a semiconductor layer.
  • a portion of the resist is exposed to the radiation, such portion of the resist corresponding to either the area of the semiconductor to be implanted (positive resist) or to the area of the semiconductor not to be implanted (negative resist).
  • the resist is then exposed to a developer which assists in removing a portion of the resist so that only the desired portion of the resist remains. Ion-implantation occurs after the resist is patterned by exposure to the radiation and developed by the developer. The remaining portion of the resist blocks the implanted ions from reaching the microelectronic device, or other material, below the resist. The ions blocked by the resist are implanted into the resist instead of the underlying substrate. The portions of the microelectronic device not covered by resist are ion-implanted.
  • the resist Because of the relatively high dose and/or high energy of the implanted ions blocked by the resist, the resist forms a crust or hard shell on the outer portions or outer sides of the resist where the ions impact and are absorbed.
  • the resist hardening may result from, or be referred to as, carbonization, polymerizing or polymer cross-linking.
  • the crust is known to be difficult to remove during a resist stripping process (e.g., the crust is insoluble in some known solvents used for stripping).
  • the thickness of the resist crust is dependent upon the dosage of the implanted ions and the ion-implant energy.
  • the resist material that is inside or beneath the crust, that is, the portion of the resist that is generally unaffected by the ions is referred to as bulk resist or bulk resist material.
  • High dose ion-implantation strip is the process of stripping exposed resist that has received HDII.
  • Some HDIS processes may include dry processes, such as plasma processes and vacuum processes.
  • Characteristics of an HDIS process may include, for example, strip rate, amount of residue, and loss of the exposed and underlying layer, such as the substrate, silicon substrate or layers above silicon. Residues are sometimes found on the substrate surface after an HDIS. The residues may result from, for example, sputtering during HDII, incomplete removal of the outer layer of resist, and/or oxidation of implanted ions in the resist.
  • the surface should be substantially residue free to ensure high yield and eliminate the need for additional residue removal processing.
  • the “surface” comprises at least silicon, a metal gate material, or both, for example, TiN comprised in a metal gate of an field-effect transistor (FET) or TiN comprised in a barrier between a semiconductor and a metal.
  • Silicon is comprised in silicon-on-insulator (SOI) wafers that may be used, for example, as substrates or part of a substrate for electronic devices such as FETs and integrated circuits.
  • SOI silicon-on-insulator
  • “Silicon” may be defined to include, Si, polycrystalline Si, monocrystalline Si, and SiGe. Other forms of “silicon” may include silicon-containing materials such as silicon oxide, thermal oxide, SiOH and SiCOH.
  • metal gate material corresponds to materials having a Fermi level corresponding to the mid-gap of the semiconductor substrate such as Ti, Ta, W, Mo, Ru, Al, La, titanium nitride, tantalum nitride, tantalum carbide, titanium carbide, molybdenum nitride, tungsten nitride, ruthenium (IV) oxide, tantalum silicon nitride, titanium silicon nitride, tantalum carbon nitride, titanium carbon nitride, titanium aluminide, tantalum aluminide, titanium aluminum nitride, tantalum aluminum nitride, lanthanum oxide, or combinations thereof.
  • titanium nitride will be represented as TiN x herein
  • tantalum nitride will be represented as TaN x herein, and so on.
  • substantially devoid is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, even more preferably less than 0.1 wt. %, and most preferably 0 wt.%.
  • to remove means that material is dissolved or otherwise solubilized in the composition, preferably dissolved.
  • post-CMP residue corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, copper-containing materials, aluminum, aluminum oxides, aluminum-containing materials, organic residues, and any other materials that are the by-products of the CMP process.
  • post-etch residue corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing.
  • the post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.
  • post-ash residue corresponds to material remaining following oxidative or reductive plasma aching to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials.
  • the post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
  • compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • compositions wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • the present invention relates to a composition and method of use wherein the composition can be used to safely remove hydrolyzed lanthanoid-containing solids and species, e.g., hydrolyzed cerium-containing solids and species, from a substrate having same thereon.
  • hydrolyzed lanthanoid-containing solids and species e.g., hydrolyzed cerium-containing solids and species
  • the reduction of lanthanoid-containing compounds and sometimes the dilution of the solution with water may result in precipitation of hydrolyzed solids such as Ce(NO 3 ) x (OH) y , where x+y ⁇ 4, especially at elevated temperatures typically used for HDIS.
  • hydrolyzed lanthanoid-containing species which are ionic or molecular in nature, may adsorb to films present on the surface being treated.
  • Lanthanoid elements are generally known to be those elements with atomic numbers 57 through 71, i.e., lanthanum, cerium, praseodymium, neodymium, promethium, samarium, europium, gadolinium, terbium, dysprosium, holmium, erbium, thulium, ytterbium, and lutetium.
  • lanthanoid-containing solids and “lanthanoid-containing species” correspond to solids that comprise a lanthanoid element which precipitate on the surface as a result of reduction of the lanthanoid element, dilution of the composition comprising the lanthanoid element, or both, or otherwise adsorb to the surface.
  • a method of removing lanthanoid-containing solids from the surface of a microelectronic device comprising contacting the surface with a composition that substantially dissolves the lanthanoid-containing solids relative to the surface.
  • the lanthanoid-containing solids will be present as particulate matter. Accordingly, “substantial dissolution” corresponds to the dissolution of at least 95% of the volume of the particle relative to the particle prior to contact with the composition, more preferably at least 98%, even more preferably at least 99%, and most preferably at least 99.9% of the volume of the particle relative to the particle prior to contact with the composition.
  • the selectivity of the composition for the lanthanoid-containing solids relative to the surface is at least about 100:1 lanthanoid-containing solid relative to surface, more preferably at least about 1000:1, even more preferably at least about 10000:1, and most preferably at least about 100000:1.
  • the surface should not be substantially removed (i.e., dissolve, erode, etc.) by the composition while the lanthanoid-containing solids should be substantially removed.
  • the lanthanoid-containing solid comprises cerium.
  • a method of removing lanthanoid-containing species from the surface of a microelectronic device comprising contacting the surface with a composition that substantially removes the lanthanoid-containing species from the surface, wherein the lanthanoid-containing species are adsorbed at the surface prior to contact of the surface with the composition.
  • the surface is not substantially affected by the composition, meaning that the surface does not undergo substantial dissolution or erosion in the presence of the composition.
  • adsorption corresponds to the adhesion of the lanthanoid-containing species at a surface and can be characterized as physisorption (physical adsorption characterized by weak van der Waals forces) or chemisorption (chemical adsorption driven by a chemical reaction occurring at a surface).
  • the lanthanoid-containing species comprises cerium.
  • a method of removing lanthanoid-containing species and/or solids from microfabrication hardware comprising contacting the surface of the hardware with a composition that substantially removes the lanthanoid-containing species and/or solids from the surface.
  • the lanthanoid-containing solids will be present as particulate matter and the lanthanoid-containing species are adsorbed at the surface.
  • Microfabrication hardware includes, but is not limited to, hardware used during photolithography that is exposed to compositions comprising lanthanoids.
  • the material construction of the microfabrication hardware may be metal, plastic, glass, porcelain, or a mineral.
  • the lanthanoid comprises cerium and the lanthanoid-containing solid and/or species comprise cerium.
  • the methods of the first, second and third aspects are carried out at temperature in a range from about room temperature to about 100° C., preferably about room temperature to about 80° C., and most preferably about room temperature to about 60° C.
  • time of removal varies depending on whether the removal is performed in a single wafer tool or a multiple wafer tool or lanthanoid-containing species and/or solid is removed from hardware.
  • time is preferably in a range from about 10 sec to about 10 minutes, preferably about 20 sec to about 5 minutes
  • time is preferably in a range from about 1 minute to about 1000 minutes.
  • Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to remove cerium-containing solids and/or species from a surface.
  • the composition is applied in any suitable manner to the device, e.g., by spraying the composition on the surface of the device, by dipping the device in a static or dynamic volume of the composition, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, or by any other suitable means, manner or technique by which the composition is brought into contact with the surface having the cerium-containing solids and/or species thereon.
  • another material e.g., a pad, or fibrous sorbent applicator element
  • the composition is applied in any suitable manner to the hardware, e.g., by spraying the composition on the surface of the hardware, by dipping the hardware in a static or dynamic volume of the composition, by contacting the hardware with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, or by any other suitable means, manner or technique by which the composition is brought into contact with the hardware having the cerium-containing solids and/or species thereon.
  • a suitable material e.g., a pad, or fibrous sorbent applicator element
  • the composition is readily removed from the surface of the device or the hardware to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious.
  • the device or hardware may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N 2 , solvents (such as IPA) vapor-dry etc.).
  • a composition to remove lanthanoid-containing solids and/or species comprising at least one acid and at least one reducing agent.
  • the composition comprises, consists of or consists essentially of at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid.
  • the composition comprises, consists of or consists essentially of at least one weak acid, at least one reducing agent, and water, with the proviso that the composition is substantially devoid of fluoride ions.
  • the composition does not substantially remove metal gate material present on the substrate.
  • the water is preferably deionized.
  • the composition is used in the methods of the first through third aspects described herein.
  • the pH of the composition of the fourth aspect is in a range from about 0 to about 4, preferably about 1 to about 3.5.
  • the pH of the composition is greater than or equal to 2 and less than 4.
  • the at least one strong acid is selected from the group consisting of nitric acid, sulfuric acid, perchloric acid, hydrochloric acid, hydrobromic acid, hydroiodic acid, methanesulfonic acid, and combinations thereof.
  • the at least one strong acid comprises sulfuric acid, nitric acid, or a combination of nitric and sulfuric acid, even more preferably sulfuric acid.
  • the amount of the at least one strong acid is preferably in a range from about 0.1 wt % to about 15 wt %, preferably about 0.1 wt % to about 5 wt %, and most preferably about 0.5 wt % to about 2.5 wt %, based on the total weight of the composition.
  • the at least one reducing agent includes, but is not limited to, hydrogen peroxide, ascorbic acid, borane complexes such as borane-pyridine or borane-morpholine, hydroxylamine sulfate, hydroxylamine hydrochloride, ammonium nitrite, ammonium sulfite, ammonium hydrogen sulfite, hydrazine sulfate, hydrazine hydrochloride, ammonium hydrogen sulfide, diethyl malonate, hydroquinone, ammonium metabisulfite, polyphenon 60, glucose, ammonium citrate, hydrogen, formic acid, oxalic acid, acetaldehyde, hydrogen iodide, ammonium phosphite, ammonium hydrogen phosphite, hypophosphorous acid, and combinations thereof.
  • borane complexes such as borane-pyridine or borane-morpholine
  • hydroxylamine sulfate
  • the at least one reducing agent comprises ascorbic acid or hydrogen peroxide, preferably ascorbic acid.
  • the amount of the at least one reducing agent is preferably in a range from about 0.1 wt % to about 10 wt %, preferably about 0.1 wt % to about 5 wt %, and most preferably about 0.1 wt % to about 2 wt %, based on the total weight of the composition.
  • the salt of the at least one strong acid corresponds to a sodium, potassium, tetramethylammonium, or preferably ammonium salt of the conjugate base of the at least one strong acid.
  • the strong acid comprises sulfuric acid
  • the salt of the at least one strong acid can be sodium sulfate, potassium sulfate, tetramethylammonium sulfate, ammonium sulfate, or the like.
  • the amount of salt of the at least one strong acid is preferably in a range from about 0.1 to about 10 wt %, preferably about 0.5 to about 5 wt %, based on the total weight of the composition.
  • the components of the composition comprising, consisting of or consisting essentially of at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid, are present in the following amounts:
  • more most component preferably preferably preferably alternative strong about 0.1 about 0.1 about 0.5 about 0.5 acid(s) to about to about to about to about 15 wt % 5 wt % 2.5 wt % 2.5 wt % reducing about 0.1 about 0.1 about 0.1 about 0.1 agent(s) to about to about to about to about 10 wt % 5 wt % 2 wt % 2 wt % salt of 0 0 0 0.5 strong to about to about to about to about acid 10 wt % 10 wt % 10 wt % 5 wt % water about 65 about 80 about 90.5 about 85.5 to about to about to about to about to about 99.8 wt % 99.8 wt % 98.9 wt % 99.4 wt %
  • a “weak acid” preferably has a pKa in a range from about 1.5 to about 4.
  • Weak acids include, but are not limited to, nitrous acid, phosphorous acid, hydrogen bisulfate, hydrogen selenite, phosphoric acid, cyanic acid, formic acid, glyceric acid, glycolic acid, glyoxylic acid, lactic acid, pyruvic acid, mandelic acid, succinic acid, malonic acid, and combinations thereof.
  • the at least one weak acid comprises formic acid.
  • the amount of the at least one weak acid is preferably in a range from about 0.1 wt % to about 15 wt %, preferably about 0.1 wt % to about 5 wt %, and most preferably about 1 wt % to about 5 wt %, based on the total weight of the composition.
  • the components of the composition comprising, consisting of or consisting essentially of at least one weak acid, at least one reducing agent, and water, with the proviso that the composition is substantially devoid of fluoride ions, are present in the following amounts:
  • more most component preferably preferably preferably preferably weak about 0.1 about 0.1 about 1 acid(s) to about to about to about 15 wt % 5 wt % 5 wt % reducing about 0.1 about 0.1 about 0.1 agent(s) to about to about to about 10 wt % 5 wt % 2 wt % water about 75 about 90 about 93 to about to about to about 99.8 wt % 99.8 wt % 98.9 wt %
  • the composition of the fourth aspect comprises, consists of, or consists essentially of nitric acid, ascorbic acid, and water.
  • the composition of the fourth aspect comprises, consists of, or consists essentially of sulfuric acid, ascorbic acid, and water.
  • the composition of the fourth aspect comprises, consists of, or consists essentially of hydrochloric acid, ascorbic acid, and water.
  • the composition of the fourth aspect comprises, consists of, or consists essentially of formic acid, ascorbic acid, and water.
  • Still another embodiment of the fourth aspect is a composition comprising, consisting of, or consisting essentially of malonic acid, ascorbic acid, and water.
  • the composition of the fourth aspect comprises, consists of, or consists essentially of sulfuric acid, ammonium sulfate, ascorbic acid, and water.
  • the composition is substantially devoid of fluoride ions.
  • the composition of the fourth aspect can further comprise at least one reduced lanthanoid species, e.g., cerium (III) species, solubilized therein.
  • the composition comprises, consists of or consists essentially of at least one strong acid, at least one reducing agent, at least one reduced lanthanoid species, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid.
  • the composition comprises, consists of or consists essentially of at least one weak acid, at least one reducing agent, at least one reduced lanthanoid species, and water, with the proviso that the composition is substantially devoid of fluoride ions.
  • the composition may be manufactured in a more concentrated form, including at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid, and thereafter diluted with water at the manufacturer, before use, and/or during use at the fab.
  • the composition may comprise, consist of or consist essentially of at least one weak acid, at least one reducing agent, at least one reduced lanthanoid species, and water, with the proviso that the composition is substantially devoid of fluoride ions, and thereafter diluted with water at the manufacturer, before use, and/or during use at the fab.
  • Dilution ratios may be in a range from about 0.1 part diluent:1 part composition concentrate to about 100 parts diluent:1 part composition concentrate.
  • compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, preferably multi-part formulations.
  • the individual parts of the multi-part formulation may be mixed at the tool or in a mixing region/area such as an inline mixer or in a storage tank upstream of the tool. It is contemplated that the various parts of the multi-part formulation may contain any combination of ingredients/constituents that when mixed together form the desired composition.
  • compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • a fifth aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions described herein.
  • the kit includes, in one or more containers, at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and optionally water, for combining with water at the fab or the point of use.
  • the containers of the kit may include at least one weak acid, at least one reducing agent, and optionally water, for combining with water and/or oxidizing agent(s) at the fab or the point of use.
  • the containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the one or more containers which contain the components of the composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense.
  • gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense.
  • gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication.
  • the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.
  • Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials are preferably used to fabricate the liners for said one or more containers.
  • Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner.
  • a listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on.
  • Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
  • a sixth aspect of the invention relates to the removal of post-etch residue, post-ash residue, post-chemical mechanical polishing residue, and other contaminants and/or by-products of the microelectronic device manufacturing process, said method comprising contacting a surface of a microelectronic device having said residue, contaminants and/or by-products thereon with a composition of the fourth aspect to substantially remove said residue, contaminants and/or by-products from the surface.
  • Another aspect relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • a still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting a surface of the microelectronic device with a composition for sufficient time to substantially dissolve lanthanoid-containing solids and/or species from the surface, and incorporating said microelectronic device into said article.
  • the lanthanoid comprises cerium.
  • compositions comprising, consists of or consists essentially of at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid.
  • the composition comprises, consists of or consists essentially of at least one weak acid, at least one reducing agent, and water, with the proviso that the composition is substantially devoid of fluoride ions.
  • Another aspect relates to a method for removing photoresist from a surface, said method comprising contacting the photoresist with a solution comprising cerium to substantially remove the photoresist, and contacting the surface with a composition that substantially removes the lanthanoid-containing species present on the surface.
  • the photoresist Prior to contacting the photoresist with the solution, it is assumed that the photoresist has been ion-implanted by greater than approximately 5 ⁇ 10 14 ions per square centimeter, and/or ions having an average energy, before the ions impact the photoresist, greater than approximately five thousand electron volts (5 KeV).
  • the surface comprises TiN.
  • the solution used to remove the resist or photoresist comprises cerium ammonium nitrate.
  • the composition that substantially removes the lanthanoid-containing species is one of the compositions of the fourth aspect described herein.
  • a method for removing a masking material comprising: contacting the masking material with a solution comprising cerium and contacting the surface with a composition that substantially removes the lanthanoid-containing species present on the surface, wherein the masking material is comprised within a layer formed on at least a first portion of a surface, and wherein the masking material blocks at least a first portion of dopant material from contacting the at least a first portion of the surface, and wherein the first portion of the dopant material comprises ions implanted into the masking material.
  • Ions implanted into the masking material can comprise at least one of: boron; boron trifluoride; indium; gallium; thallium; germanium; bismuth; arsenic; phosphorus; xenon and antimony.
  • the solution used to remove the resist or photoresist comprises cerium ammonium nitrate.
  • the composition that substantially removes the lanthanoid-containing species is one of the compositions of the fourth aspect described herein.
  • Still another aspect relates to the removal of manganese oxide particles from the surface of microfabrication hardware, said method comprising contacting the surface of the hardware with a composition that substantially removes the manganese oxide particles from the surface.
  • Manganese oxide particles are often the byproduct of a composition that includes permanganate, whether present as a permanganate salt or generated in situ, wherein the manganese oxide particles deposit on the surface of the microfabrication hardware as well as on wafers. It was surprisingly discovered that the compositions described herein, are effective at dissolving these manganese-containing precipitates under the process conditions described herein to remove lanthanum-containing species.
  • the precipitates were generated by heating a 20% cerium ammonium nitrate (CAN) solution in deionized water at 70° C. for 20 hours. A substantial amount of yellow precipitate was formed and settled at the bottom of the bottle. The solution was decanted, leaving behind a slurry of precipitate in residual CAN solution. The dissolution of 0.05-0.1 ml of the slurry was then tested at room temperature in test tubes, in the presence of 3-6 ml water, some acid, and some reducing agent. The mixture was shaken vigorously in the capped test tube for 0.5-2 minutes and then periodically as needed. The dissolution was considered successful if the solution was colorless and clear within 1 minute; however, it should be understood that much longer process times and/or temperatures higher than ambient may be acceptable.
  • CAN cerium ammonium nitrate

Abstract

Compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware. Preferably, the lanthanoid-containing solids and/or species comprise cerium. The composition is preferably substantially devoid of fluoride ions.

Description

    FIELD
  • The present invention relates to compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware. Preferably, the compositions and methods remove cerium-containing solids and/or species from surfaces.
  • DESCRIPTION OF THE RELATED ART
  • Resist, including photoresist, is a radiation sensitive (e.g., light radiation sensitive) material used to form a patterned layer on a substrate (e.g., a semiconductor wafer) during semiconductor device fabrication. After exposing a portion of a resist coated substrate to radiation, either the exposed portion of the resist (for positive resist), or the unexposed portion of the resist (for negative resist) is removed to reveal the underlying surface of the substrate, leaving the rest of the surface of the substrate coated and protected by resist. Resist may be more generally referred to as a masking material. Other fabrication processes such as ion-implanting, etching, or depositing may be performed on the uncovered surface of the substrate and the remaining resist. After performing the other fabrication processes, the remaining resist is removed in a strip operation.
  • In ion-implantation, dopant ions (e.g., ions of boron, boron difluoride, arsenic, indium, gallium, phosphorous, germanium, antimony, xenon or bismuth) are accelerated toward a substrate to be implanted. The ions are implanted in the exposed regions of the substrate as well as in the remaining resist. Ion-implantation may be used, for example, to form implanted regions in the substrate such as the channel region and source and drain regions of transistors. Ion-implantation may also be used to form lightly doped drain and double diffused drain regions. However, ions implanted in the resist may deplete hydrogen from the surface of the resist causing the resist to form an outer layer or crust, which may be a carbonized layer that is harder than the underlying portion of the resist layer (i.e., the bulk portion of the resist layer). The outer layer and the bulk portion have different thermal expansion rates and react to stripping processes at different rates. High dose ion-implanted resist may cause severe hardening or crusting of the resist resulting in relatively large differences between the outer layer and bulk portion in, for example, differences in thermal expansion rates, solubilities and other chemical and physical characteristics.
  • The present inventors previously discovered that a composition comprising at least one salt or coordination complex of the element cerium, e.g., ceric ammonium nitrate (CAN), can effectively remove masking material, e.g., high dose ion-implanted resist, from a substrate. Advantageously, this composition and method operates at a lower acidity and temperature than the compositions and methods known in the prior art and as such, causes less damage to TiN and other metal gate materials present on the substrate. Disadvantageously, the reduction of cerium (IV) compounds and sometimes the dilution of the solution with water may result in precipitation of hydrolyzed solids such as Ce(NO3)x(OH)y, where x+y≦4, especially at elevated temperatures typically used for HDIS. In addition, hydrolyzed cerium species may adsorb to films present on the substrate being treated.
  • It is an object of the present invention to substantially remove the hydrolyzed solids that may precipitate or adsorb on surfaces during the use of lanthanoid-containing compositions.
  • SUMMARY OF THE INVENTION
  • The present invention relates to compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware. Preferably, the compositions and methods remove cerium-containing solids and/or species from surfaces.
  • Other aspects, features and advantages of the invention will be more fully apparent from the ensuing disclosure and appended claims.
  • DETAILED DESCRIPTION, AND PREFERRED EMBODIMENTS THEREOF
  • The present invention relates to compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware. Preferably, the compositions and methods remove cerium-containing solids and/or species from surfaces. The composition is preferably substantially devoid of fluoride ions.
  • In one aspect, a method of removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microfabrication hardware is described, said method comprising contacting the surface with a composition that substantially dissolves the lanthanoid-containing solids and/or species present on the surface. Preferably, the composition comprises at least one acid, at least one reducing agent, and water, wherein the composition is substantially devoid of fluoride ions.
  • For ease of reference, “microelectronic device” corresponds to semiconductor substrates, flat panel displays, phase change memory devices, solar panels and other products including solar cell devices, photovoltaics, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, energy collection, or computer chip applications. It is to be understood that the terms “microelectronic device,” “microelectronic substrate” and “microelectronic device structure” are not meant to be limiting in any way and include any substrate or structure that will eventually become a microelectronic device or microelectronic assembly. The microelectronic device can be patterned, blanketed, a control and/or a test device.
  • “Ion-implantation” is a process by which ions of a dopant material can be implanted into target material, usually a solid. The physical properties of an ion-implanted material are usually different from the physical properties of the target material prior to implantation. Ion-implantation is used in microelectronic device fabrication, for example, in the fabrication of integrated circuits and silicon semiconductor devices. The implanted ions may introduce or cause a chemical change in the target due to the ions being a different element than the target, and/or a structural change, in that the target may be modified, damaged or even destroyed by ion-implantation. By way of example only, elements that are typically used for implanted species in semiconductor fabrication include boron, boron difluoride, arsenic, indium, gallium, germanium, bismuth, xenon, phosphorus and antimony. Boron is a p-type dopant in silicon because it donates or causes a “hole” (i.e., electron vacancy) in the silicon. Arsenic is an n-type dopant in silicon because it donates or causes an extra electron in the silicon. Dopants, such as boron and arsenic, implanted in intrinsic silicon, may cause the intrinsic silicon to become conductive as a semiconductor. One or more dopant materials may be implanted into a target material. Ion-implantation is usually characterized by dose and energy. The dose is the number of ions that are implanted per area of target material. The energy is the energy of the ions being implanted. More advanced microelectronic device processing or fabrication technologies typically use higher dose and/or higher energy than older technologies. In high dose ion-implantation (HDII), the ion dose may be greater than about 5×1014 ions/cm2 and/or the average energy of the ions, before the ions impact the target or substrate, may be from about five thousand electron volts (KeV) to greater than 100 KeV.
  • “Resist” including photoresist (more generally, masking material) is a radiation sensitive material that is used to form a patterned coating on a surface, for example, the surface of a substrate or target. Resists are used in the fabrication of microelectronic devices, for example, integrated circuits and silicon semiconductor devices. One use of resists in the fabrication of semiconductor devices is as a mask for selective ion-implantation of dopants into a semiconductor substrate. A layer of resist is applied to the surface of the semiconductor substrate, or to the surface of a layer on or within the substrate, such as an insulator layer above a semiconductor layer. A portion of the resist is exposed to the radiation, such portion of the resist corresponding to either the area of the semiconductor to be implanted (positive resist) or to the area of the semiconductor not to be implanted (negative resist). The resist is then exposed to a developer which assists in removing a portion of the resist so that only the desired portion of the resist remains. Ion-implantation occurs after the resist is patterned by exposure to the radiation and developed by the developer. The remaining portion of the resist blocks the implanted ions from reaching the microelectronic device, or other material, below the resist. The ions blocked by the resist are implanted into the resist instead of the underlying substrate. The portions of the microelectronic device not covered by resist are ion-implanted. Because of the relatively high dose and/or high energy of the implanted ions blocked by the resist, the resist forms a crust or hard shell on the outer portions or outer sides of the resist where the ions impact and are absorbed. The resist hardening may result from, or be referred to as, carbonization, polymerizing or polymer cross-linking. The crust is known to be difficult to remove during a resist stripping process (e.g., the crust is insoluble in some known solvents used for stripping). The thickness of the resist crust is dependent upon the dosage of the implanted ions and the ion-implant energy. The resist material that is inside or beneath the crust, that is, the portion of the resist that is generally unaffected by the ions, is referred to as bulk resist or bulk resist material.
  • “High dose ion-implantation strip” (HDIS) is the process of stripping exposed resist that has received HDII. Some HDIS processes may include dry processes, such as plasma processes and vacuum processes. Characteristics of an HDIS process may include, for example, strip rate, amount of residue, and loss of the exposed and underlying layer, such as the substrate, silicon substrate or layers above silicon. Residues are sometimes found on the substrate surface after an HDIS. The residues may result from, for example, sputtering during HDII, incomplete removal of the outer layer of resist, and/or oxidation of implanted ions in the resist. Optimally, after stripping and, optionally, rinsing, the surface should be substantially residue free to ensure high yield and eliminate the need for additional residue removal processing.
  • As defined herein, the “surface” comprises at least silicon, a metal gate material, or both, for example, TiN comprised in a metal gate of an field-effect transistor (FET) or TiN comprised in a barrier between a semiconductor and a metal. Silicon is comprised in silicon-on-insulator (SOI) wafers that may be used, for example, as substrates or part of a substrate for electronic devices such as FETs and integrated circuits. “Silicon” may be defined to include, Si, polycrystalline Si, monocrystalline Si, and SiGe. Other forms of “silicon” may include silicon-containing materials such as silicon oxide, thermal oxide, SiOH and SiCOH.
  • As defined herein, “metal gate material” corresponds to materials having a Fermi level corresponding to the mid-gap of the semiconductor substrate such as Ti, Ta, W, Mo, Ru, Al, La, titanium nitride, tantalum nitride, tantalum carbide, titanium carbide, molybdenum nitride, tungsten nitride, ruthenium (IV) oxide, tantalum silicon nitride, titanium silicon nitride, tantalum carbon nitride, titanium carbon nitride, titanium aluminide, tantalum aluminide, titanium aluminum nitride, tantalum aluminum nitride, lanthanum oxide, or combinations thereof. It should be appreciated that the compounds disclosed as metal gate materials may have varying stoichiometries. Accordingly, titanium nitride will be represented as TiNx herein, tantalum nitride will be represented as TaNx herein, and so on.
  • As used herein, “about” is intended to correspond to ±5% of the stated value.
  • “Substantially devoid” is defined herein as less than 2 wt. %, preferably less than 1 wt. %, more preferably less than 0.5 wt. %, even more preferably less than 0.1 wt. %, and most preferably 0 wt.%.
  • As used herein, “to remove” means that material is dissolved or otherwise solubilized in the composition, preferably dissolved.
  • As used herein, “post-CMP residue” corresponds to particles from the polishing slurry, e.g., silica-containing particles, chemicals present in the slurry, reaction by-products of the polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, copper-containing materials, aluminum, aluminum oxides, aluminum-containing materials, organic residues, and any other materials that are the by-products of the CMP process.
  • As used herein, “post-etch residue” corresponds to material remaining following gas-phase plasma etching processes, e.g., BEOL dual damascene processing. The post-etch residue may be organic, organometallic, organosilicic, or inorganic in nature, for example, silicon-containing material, carbon-based organic material, and etch gas residue such as oxygen and fluorine.
  • As defined herein, “post-ash residue,” as used herein, corresponds to material remaining following oxidative or reductive plasma aching to remove hardened photoresist and/or bottom anti-reflective coating (BARC) materials. The post-ash residue may be organic, organometallic, organosilicic, or inorganic in nature.
  • Compositions of the invention may be embodied in a wide variety of specific formulations, as hereinafter more fully described.
  • In all such compositions, wherein specific components of the composition are discussed in reference to weight percentage ranges including a zero lower limit, it will be understood that such components may be present or absent in various specific embodiments of the composition, and that in instances where such components are present, they may be present at concentrations as low as 0.001 weight percent, based on the total weight of the composition in which such components are employed.
  • The present invention relates to a composition and method of use wherein the composition can be used to safely remove hydrolyzed lanthanoid-containing solids and species, e.g., hydrolyzed cerium-containing solids and species, from a substrate having same thereon. As previously discussed, the reduction of lanthanoid-containing compounds and sometimes the dilution of the solution with water may result in precipitation of hydrolyzed solids such as Ce(NO3)x(OH)y, where x+y≦4, especially at elevated temperatures typically used for HDIS. In addition, hydrolyzed lanthanoid-containing species, which are ionic or molecular in nature, may adsorb to films present on the surface being treated. Lanthanoid elements are generally known to be those elements with atomic numbers 57 through 71, i.e., lanthanum, cerium, praseodymium, neodymium, promethium, samarium, europium, gadolinium, terbium, dysprosium, holmium, erbium, thulium, ytterbium, and lutetium. Hereinafter, “lanthanoid-containing solids” and “lanthanoid-containing species” correspond to solids that comprise a lanthanoid element which precipitate on the surface as a result of reduction of the lanthanoid element, dilution of the composition comprising the lanthanoid element, or both, or otherwise adsorb to the surface.
  • In a first aspect, a method of removing lanthanoid-containing solids from the surface of a microelectronic device is described, said method comprising contacting the surface with a composition that substantially dissolves the lanthanoid-containing solids relative to the surface. Typically, the lanthanoid-containing solids will be present as particulate matter. Accordingly, “substantial dissolution” corresponds to the dissolution of at least 95% of the volume of the particle relative to the particle prior to contact with the composition, more preferably at least 98%, even more preferably at least 99%, and most preferably at least 99.9% of the volume of the particle relative to the particle prior to contact with the composition. Preferably, the selectivity of the composition for the lanthanoid-containing solids relative to the surface is at least about 100:1 lanthanoid-containing solid relative to surface, more preferably at least about 1000:1, even more preferably at least about 10000:1, and most preferably at least about 100000:1. Considered another way, the surface should not be substantially removed (i.e., dissolve, erode, etc.) by the composition while the lanthanoid-containing solids should be substantially removed. Preferably, the lanthanoid-containing solid comprises cerium.
  • In a second aspect, a method of removing lanthanoid-containing species from the surface of a microelectronic device is described, said method comprising contacting the surface with a composition that substantially removes the lanthanoid-containing species from the surface, wherein the lanthanoid-containing species are adsorbed at the surface prior to contact of the surface with the composition. Preferably, the surface is not substantially affected by the composition, meaning that the surface does not undergo substantial dissolution or erosion in the presence of the composition. As defined herein, “adsorption” corresponds to the adhesion of the lanthanoid-containing species at a surface and can be characterized as physisorption (physical adsorption characterized by weak van der Waals forces) or chemisorption (chemical adsorption driven by a chemical reaction occurring at a surface). Preferably, the lanthanoid-containing species comprises cerium.
  • In a third aspect, a method of removing lanthanoid-containing species and/or solids from microfabrication hardware is described, said method comprising contacting the surface of the hardware with a composition that substantially removes the lanthanoid-containing species and/or solids from the surface. Typically, the lanthanoid-containing solids will be present as particulate matter and the lanthanoid-containing species are adsorbed at the surface. Microfabrication hardware includes, but is not limited to, hardware used during photolithography that is exposed to compositions comprising lanthanoids. The material construction of the microfabrication hardware may be metal, plastic, glass, porcelain, or a mineral. Preferably, the lanthanoid comprises cerium and the lanthanoid-containing solid and/or species comprise cerium.
  • The methods of the first, second and third aspects are carried out at temperature in a range from about room temperature to about 100° C., preferably about room temperature to about 80° C., and most preferably about room temperature to about 60° C. It should be appreciated by the skilled artisan that the time of removal varies depending on whether the removal is performed in a single wafer tool or a multiple wafer tool or lanthanoid-containing species and/or solid is removed from hardware. For a single wafer tool, time is preferably in a range from about 10 sec to about 10 minutes, preferably about 20 sec to about 5 minutes, and for a multiple wafer tool or hardware, time is preferably in a range from about 1 minute to about 1000 minutes. Such contacting times and temperatures are illustrative, and any other suitable time and temperature conditions may be employed that are efficacious to remove cerium-containing solids and/or species from a surface.
  • In removal application from a surface of a microelectronic device, the composition is applied in any suitable manner to the device, e.g., by spraying the composition on the surface of the device, by dipping the device in a static or dynamic volume of the composition, by contacting the device with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, or by any other suitable means, manner or technique by which the composition is brought into contact with the surface having the cerium-containing solids and/or species thereon. Further, batch or single wafer processing is contemplated herein. In removal application from hardware, the composition is applied in any suitable manner to the hardware, e.g., by spraying the composition on the surface of the hardware, by dipping the hardware in a static or dynamic volume of the composition, by contacting the hardware with another material, e.g., a pad, or fibrous sorbent applicator element, that has the composition absorbed thereon, or by any other suitable means, manner or technique by which the composition is brought into contact with the hardware having the cerium-containing solids and/or species thereon.
  • Following the achievement of the desired removal action, the composition is readily removed from the surface of the device or the hardware to which it has previously been applied, e.g., by rinse, wash, or other removal step(s), as may be desired and efficacious. For example, the device or hardware may be rinsed with a rinse solution including deionized water and/or dried (e.g., spin-dry, N2, solvents (such as IPA) vapor-dry etc.).
  • In a fourth aspect, a composition to remove lanthanoid-containing solids and/or species is described, said composition including at least one acid and at least one reducing agent. In one embodiment, the composition comprises, consists of or consists essentially of at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid. In another embodiment, the composition comprises, consists of or consists essentially of at least one weak acid, at least one reducing agent, and water, with the proviso that the composition is substantially devoid of fluoride ions. Preferably, the composition does not substantially remove metal gate material present on the substrate. The water is preferably deionized. Preferably, the composition is used in the methods of the first through third aspects described herein.
  • The pH of the composition of the fourth aspect is in a range from about 0 to about 4, preferably about 1 to about 3.5. When titanium nitride layers are present, preferably the pH of the composition is greater than or equal to 2 and less than 4.
  • The at least one strong acid is selected from the group consisting of nitric acid, sulfuric acid, perchloric acid, hydrochloric acid, hydrobromic acid, hydroiodic acid, methanesulfonic acid, and combinations thereof. Preferably, the at least one strong acid comprises sulfuric acid, nitric acid, or a combination of nitric and sulfuric acid, even more preferably sulfuric acid. The amount of the at least one strong acid is preferably in a range from about 0.1 wt % to about 15 wt %, preferably about 0.1 wt % to about 5 wt %, and most preferably about 0.5 wt % to about 2.5 wt %, based on the total weight of the composition.
  • The at least one reducing agent includes, but is not limited to, hydrogen peroxide, ascorbic acid, borane complexes such as borane-pyridine or borane-morpholine, hydroxylamine sulfate, hydroxylamine hydrochloride, ammonium nitrite, ammonium sulfite, ammonium hydrogen sulfite, hydrazine sulfate, hydrazine hydrochloride, ammonium hydrogen sulfide, diethyl malonate, hydroquinone, ammonium metabisulfite, polyphenon 60, glucose, ammonium citrate, hydrogen, formic acid, oxalic acid, acetaldehyde, hydrogen iodide, ammonium phosphite, ammonium hydrogen phosphite, hypophosphorous acid, and combinations thereof. The above mentioned “ascorbic acid” refers to not only ascorbic acid itself (reduced-form) but also dehydroascorbic acid (oxidized-form), xyloascorbic acid, arabo-ascorbic acid, optical isomers of both the L-isomer and D-isomer, and esters of ascorbic acid. Preferably, the at least one reducing agent comprises ascorbic acid or hydrogen peroxide, preferably ascorbic acid. The amount of the at least one reducing agent is preferably in a range from about 0.1 wt % to about 10 wt %, preferably about 0.1 wt % to about 5 wt %, and most preferably about 0.1 wt % to about 2 wt %, based on the total weight of the composition.
  • When present, the salt of the at least one strong acid corresponds to a sodium, potassium, tetramethylammonium, or preferably ammonium salt of the conjugate base of the at least one strong acid. For example, if the strong acid comprises sulfuric acid, the salt of the at least one strong acid can be sodium sulfate, potassium sulfate, tetramethylammonium sulfate, ammonium sulfate, or the like. When present, the amount of salt of the at least one strong acid is preferably in a range from about 0.1 to about 10 wt %, preferably about 0.5 to about 5 wt %, based on the total weight of the composition.
  • Accordingly, the components of the composition comprising, consisting of or consisting essentially of at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid, are present in the following amounts:
  • more most
    component preferably preferably preferably alternative
    strong about 0.1 about 0.1 about 0.5 about 0.5
    acid(s) to about to about to about to about
    15 wt % 5 wt % 2.5 wt % 2.5 wt %
    reducing about 0.1 about 0.1 about 0.1 about 0.1
    agent(s) to about to about to about to about
    10 wt % 5 wt % 2 wt % 2 wt %
    salt of 0 0 0 0.5
    strong to about to about to about to about
    acid 10 wt % 10 wt % 10 wt % 5 wt %
    water about 65 about 80 about 90.5 about 85.5
    to about to about to about to about
    99.8 wt % 99.8 wt % 98.9 wt % 99.4 wt %
  • For the purposes of the present disclosure, a “weak acid” preferably has a pKa in a range from about 1.5 to about 4. Weak acids include, but are not limited to, nitrous acid, phosphorous acid, hydrogen bisulfate, hydrogen selenite, phosphoric acid, cyanic acid, formic acid, glyceric acid, glycolic acid, glyoxylic acid, lactic acid, pyruvic acid, mandelic acid, succinic acid, malonic acid, and combinations thereof. Preferably, the at least one weak acid comprises formic acid. The amount of the at least one weak acid is preferably in a range from about 0.1 wt % to about 15 wt %, preferably about 0.1 wt % to about 5 wt %, and most preferably about 1 wt % to about 5 wt %, based on the total weight of the composition.
  • Accordingly, the components of the composition comprising, consisting of or consisting essentially of at least one weak acid, at least one reducing agent, and water, with the proviso that the composition is substantially devoid of fluoride ions, are present in the following amounts:
  • more most
    component preferably preferably preferably
    weak about 0.1 about 0.1 about 1
    acid(s) to about to about to about
    15 wt % 5 wt % 5 wt %
    reducing about 0.1 about 0.1 about 0.1
    agent(s) to about to about to about
    10 wt % 5 wt % 2 wt %
    water about 75 about 90 about 93
    to about to about to about
    99.8 wt % 99.8 wt % 98.9 wt %
  • In a preferred embodiment, the composition of the fourth aspect comprises, consists of, or consists essentially of nitric acid, ascorbic acid, and water. In another embodiment, the composition of the fourth aspect comprises, consists of, or consists essentially of sulfuric acid, ascorbic acid, and water. In still another embodiment, the composition of the fourth aspect comprises, consists of, or consists essentially of hydrochloric acid, ascorbic acid, and water. In yet another embodiment, the composition of the fourth aspect comprises, consists of, or consists essentially of formic acid, ascorbic acid, and water. Still another embodiment of the fourth aspect is a composition comprising, consisting of, or consisting essentially of malonic acid, ascorbic acid, and water. In another embodiment, the composition of the fourth aspect comprises, consists of, or consists essentially of sulfuric acid, ammonium sulfate, ascorbic acid, and water. In each embodiment, the composition is substantially devoid of fluoride ions.
  • The composition of the fourth aspect can further comprise at least one reduced lanthanoid species, e.g., cerium (III) species, solubilized therein. Accordingly, in another embodiment, the composition comprises, consists of or consists essentially of at least one strong acid, at least one reducing agent, at least one reduced lanthanoid species, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid. In yet another embodiment, the composition comprises, consists of or consists essentially of at least one weak acid, at least one reducing agent, at least one reduced lanthanoid species, and water, with the proviso that the composition is substantially devoid of fluoride ions.
  • It will be appreciated that it is common practice to make concentrated forms of the compositions to be diluted prior to use. For example, the composition may be manufactured in a more concentrated form, including at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid, and thereafter diluted with water at the manufacturer, before use, and/or during use at the fab. In another embodiment, the composition may comprise, consist of or consist essentially of at least one weak acid, at least one reducing agent, at least one reduced lanthanoid species, and water, with the proviso that the composition is substantially devoid of fluoride ions, and thereafter diluted with water at the manufacturer, before use, and/or during use at the fab. Dilution ratios may be in a range from about 0.1 part diluent:1 part composition concentrate to about 100 parts diluent:1 part composition concentrate.
  • The compositions of the invention are easily formulated by simple addition of the respective ingredients and mixing to homogeneous condition. Furthermore, the compositions may be readily formulated as single-package formulations or multi-part formulations that are mixed at or before the point of use, preferably multi-part formulations. The individual parts of the multi-part formulation may be mixed at the tool or in a mixing region/area such as an inline mixer or in a storage tank upstream of the tool. It is contemplated that the various parts of the multi-part formulation may contain any combination of ingredients/constituents that when mixed together form the desired composition. The concentrations of the respective ingredients may be widely varied in specific multiples of the composition, i.e., more dilute or more concentrated, and it will be appreciated that the compositions described herein can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • Accordingly, a fifth aspect relates to a kit including, in one or more containers, one or more components adapted to form the compositions described herein. Preferably, the kit includes, in one or more containers, at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and optionally water, for combining with water at the fab or the point of use. Optionally, the containers of the kit may include at least one weak acid, at least one reducing agent, and optionally water, for combining with water and/or oxidizing agent(s) at the fab or the point of use. The containers of the kit must be suitable for storing and shipping said removal compositions, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA). The one or more containers which contain the components of the composition preferably include means for bringing the components in said one or more containers in fluid communication for blending and dispense. For example, referring to the NOWPak® containers, gas pressure may be applied to the outside of a liner in said one or more containers to cause at least a portion of the contents of the liner to be discharged and hence enable fluid communication for blending and dispense. Alternatively, gas pressure may be applied to the head space of a conventional pressurizable container or a pump may be used to enable fluid communication. In addition, the system preferably includes a dispensing port for dispensing the blended removal composition to a process tool.
  • Substantially chemically inert, impurity-free, flexible and resilient polymeric film materials, such as high density polyethylene, are preferably used to fabricate the liners for said one or more containers. Desirable liner materials are processed without requiring co-extrusion or barrier layers, and without any pigments, UV inhibitors, or processing agents that may adversely affect the purity requirements for components to be disposed in the liner. A listing of desirable liner materials include films comprising virgin (additive-free) polyethylene, virgin polytetrafluoroethylene (PTFE), polypropylene, polyurethane, polyvinylidene chloride, polyvinylchloride, polyacetal, polystyrene, polyacrylonitrile, polybutylene, and so on. Preferred thicknesses of such liner materials are in a range from about 5 mils (0.005 inch) to about 30 mils (0.030 inch), as for example a thickness of 20 mils (0.020 inch).
  • Regarding the containers for the kits, the disclosures of the following patents and patent applications are hereby incorporated herein by reference in their respective entireties: U.S. Pat. No. 7,188,644 entitled “APPARATUS AND METHOD FOR MINIMIZING THE GENERATION OF PARTICLES IN ULTRAPURE LIQUIDS;” U.S. Pat. No. 6,698,619 entitled “RETURNABLE AND REUSABLE, BAG-IN-DRUM FLUID STORAGE AND DISPENSING CONTAINER SYSTEM;” and PCT/US08/63276 entitled “SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION” filed on May 9, 2008 in the name of Advanced Technology Materials, Inc.
  • A sixth aspect of the invention relates to the removal of post-etch residue, post-ash residue, post-chemical mechanical polishing residue, and other contaminants and/or by-products of the microelectronic device manufacturing process, said method comprising contacting a surface of a microelectronic device having said residue, contaminants and/or by-products thereon with a composition of the fourth aspect to substantially remove said residue, contaminants and/or by-products from the surface.
  • Another aspect relates to the improved microelectronic devices made according to the methods of the invention and to products containing such microelectronic devices.
  • A still further aspect relates to methods of manufacturing an article comprising a microelectronic device, said method comprising contacting a surface of the microelectronic device with a composition for sufficient time to substantially dissolve lanthanoid-containing solids and/or species from the surface, and incorporating said microelectronic device into said article. Preferably, the lanthanoid comprises cerium.
  • Yet another aspect relates to an article of manufacture comprising a composition and a surface comprising lanthanoid-containing solids and/or species, wherein the composition comprises, consists of or consists essentially of at least one strong acid, at least one reducing agent, optionally at least one salt of the at least one strong acid, and water, with the proviso that the composition is substantially devoid of fluoride ions and when the at least one strong acid is nitric acid and the at least one reducing agent is hydrogen peroxide, the composition is substantially devoid of (i) boric acid and (ii) an organic acid having an active carboxylic acid group such as tartaric acid, citric acid, lactic acid, gluconic acid and edetic acid. Alternatively, the composition comprises, consists of or consists essentially of at least one weak acid, at least one reducing agent, and water, with the proviso that the composition is substantially devoid of fluoride ions.
  • Another aspect relates to a method for removing photoresist from a surface, said method comprising contacting the photoresist with a solution comprising cerium to substantially remove the photoresist, and contacting the surface with a composition that substantially removes the lanthanoid-containing species present on the surface. Prior to contacting the photoresist with the solution, it is assumed that the photoresist has been ion-implanted by greater than approximately 5×1014 ions per square centimeter, and/or ions having an average energy, before the ions impact the photoresist, greater than approximately five thousand electron volts (5 KeV). Preferably, the surface comprises TiN. Preferably, the solution used to remove the resist or photoresist comprises cerium ammonium nitrate. Preferably, the composition that substantially removes the lanthanoid-containing species is one of the compositions of the fourth aspect described herein.
  • In another aspect, a method for removing a masking material is described, the method comprising: contacting the masking material with a solution comprising cerium and contacting the surface with a composition that substantially removes the lanthanoid-containing species present on the surface, wherein the masking material is comprised within a layer formed on at least a first portion of a surface, and wherein the masking material blocks at least a first portion of dopant material from contacting the at least a first portion of the surface, and wherein the first portion of the dopant material comprises ions implanted into the masking material. Ions implanted into the masking material can comprise at least one of: boron; boron trifluoride; indium; gallium; thallium; germanium; bismuth; arsenic; phosphorus; xenon and antimony. Preferably, the solution used to remove the resist or photoresist comprises cerium ammonium nitrate. Preferably, the composition that substantially removes the lanthanoid-containing species is one of the compositions of the fourth aspect described herein.
  • Still another aspect relates to the removal of manganese oxide particles from the surface of microfabrication hardware, said method comprising contacting the surface of the hardware with a composition that substantially removes the manganese oxide particles from the surface. Manganese oxide particles are often the byproduct of a composition that includes permanganate, whether present as a permanganate salt or generated in situ, wherein the manganese oxide particles deposit on the surface of the microfabrication hardware as well as on wafers. It was surprisingly discovered that the compositions described herein, are effective at dissolving these manganese-containing precipitates under the process conditions described herein to remove lanthanum-containing species.
  • The features and advantages of the invention are more fully illustrated by the following non-limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated.
  • EXAMPLE 1
  • To test the capability of various solutions to dissolve Ce(IV)-containing precipitates, the precipitates were generated by heating a 20% cerium ammonium nitrate (CAN) solution in deionized water at 70° C. for 20 hours. A substantial amount of yellow precipitate was formed and settled at the bottom of the bottle. The solution was decanted, leaving behind a slurry of precipitate in residual CAN solution. The dissolution of 0.05-0.1 ml of the slurry was then tested at room temperature in test tubes, in the presence of 3-6 ml water, some acid, and some reducing agent. The mixture was shaken vigorously in the capped test tube for 0.5-2 minutes and then periodically as needed. The dissolution was considered successful if the solution was colorless and clear within 1 minute; however, it should be understood that much longer process times and/or temperatures higher than ambient may be acceptable.
    • Solution 1: 0.2 g ascorbic acid, 4 g dilute HNO3 (1 part by weight of conc. HNO3:3 parts by weight water, hereinafter the “1:3 HNO3 solution”), 0.1 mL slurry. The original dark color and turbidity disappears in less than 1 min. Two additional 0.1 mL slurry additions were quickly dissolved as well.
    • Solution 2: 0.2 g ascorbic acid, 4 g water, 0.1 mL slurry. No obvious effect. After adding 1 g of 1:3 HNO3 and shaking, the turbidity and color quickly disappeared.
    • Solution 3: 0.1 g ascorbic acid, 4 g water, 1 g 1:3 HNO3, 0.1 mL slurry. All turbidity and color disappeared within 80 sec.
    • Solution 4: 0.1 g ascorbic acid, 3.6 g water, 0.5 g 4 M H2SO4, 0.1 mL slurry. All turbidity and color disappeared within 20 sec.
    • Solution 5: 0.11 g ascorbic acid, 4.9 g water, 0.1 g 95% H2SO4, 0.1 mL slurry. All turbidity and color disappeared within 40 sec.
    • Solution 6: 0.05 g ascorbic acid, 4.9 g water, 0.1 g 95 wt % H2SO4, 0.05 mL slurry. All turbidity and color disappeared within 30 sec. When another 0.05 mL slurry was added, all turbidity and color disappeared within 40 sec.
    • Solution 7: 0.05 g ascorbic acid, 2 g 1 M HCl, 3 g water, 0.05 mL slurry. The dark brown color faded slowly and was clear after 4 min.
    • Solution 8: 0.060 g ascorbic acid, ˜5 g water, 0.110 g 95% H2SO4, 0.189 g (NH4)2SO4, water to obtain a total of 6 g, mix, and then 0.1 mL slurry. No dark color observed, but turbidity disappears after about 1 minute. Note that this mixture is part NH4HSO4, part (NH4)2SO4.
    • Solution 9: 0.055 g ascorbic acid, 5.9 g water, 0.24 g 95% formic acid, 0.06 mL slurry. All turbidity and color disappeared within 30 sec of shaking.
    • Solution 10: 5.9 g water, 0.24 g 95% formic acid, 0.06 mL slurry. No significant dissolution in ˜3 minutes, but following the addition of ˜50 mg ascorbic acid, rapid dissolution occurred (˜30 sec).
    • Solution 11: 0.05 g ascorbic acid, 0.25 g malonic acid, 4.7 g water, 0.05 mL slurry. The solution initially was a light brown color, which cleared after about 7 min.
  • Although not wishing to be bound by theory, these examples suggest that the presence of an acid stronger than ascorbic acid is useful for the speedy dissolution of Ce(IV)-containing particles. The solutions, which were devoid of fluoride, were effective at dissolving the Ce(IV)-containing particles even at room temperature without damaging the surface.
  • Although the invention has been variously disclosed herein with reference to illustrative embodiments and features, it will be appreciated that the embodiments and features described hereinabove are not intended to limit the invention, and that other variations, modifications and other embodiments will suggest themselves to those of ordinary skill in the art, based on the disclosure herein. The invention therefore is to be broadly construed, as encompassing all such variations, modifications and alternative embodiments within the spirit and scope of the claims hereafter set forth.

Claims (23)

1. A method of removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microfabrication hardware, said method comprising contacting the surface with a composition that substantially dissolves the lanthanoid-containing solids and/or species present on the surface.
2. The method of claim 1, wherein the lanthanoid-containing solids are particulate matter present on the surface.
3. The method of claim 2, wherein the selectivity of the composition for the lanthanoid-containing solids and/or species relative to the surface is at least about 100:1.
4. The method of claim 1, wherein the lanthanoid-containing species are ionic or molecular in nature and are adsorbed onto the surface of the microelectronic device or microfabrication hardware.
5. The method of claim 1, wherein the lanthanoid-containing solids and/or species comprises at least one species selected from the group consisting of lanthanum, cerium, praseodymium, neodymium, promethium, samarium, europium, gadolinium, terbium, dysprosium, holmium, erbium, thulium, ytterbium, and lutetium.
6. The method of claim 1 The method of any of the preceding claims, wherein the lanthanoid-containing solids and/or species comprises cerium.
7. The method of claim 6, wherein the lanthanoid-containing solids and/or species comprise Ce(IV).
8. The method of claim 1, wherein temperature is in a range from about room temperature to about 100° C. for time in a range from about 10 sec to about 60 minutes.
9. (canceled)
10. (canceled)
11. The method of claim 1, said method further comprising, prior to contacting the surface with the composition that substantially dissolves the lanthanoid-containing solids and/or species, contacting the surface of the microelectronic device with a resist removal composition comprising at least one salt or coordination complex of a lanthanoid element to remove resist from said surface.
12. The method of claim 11, wherein the resist removal composition comprises cerium.
13. The method of claim 11, wherein the resist removal composition comprises cerium ammonium nitrate.
14. The method of claim 1, wherein the composition comprises at least one acid, at least one reducing agent, and water, wherein the composition is substantially devoid of fluoride ions.
15. The method of claim 1, wherein the pH of the composition is in a range from about 0 to about 4.
16. The method of claim 14, wherein the at least one reducing agent comprises hydrogen peroxide, ascorbic acid, borane-pyridine, borane-morpholine, hydroxylamine sulfate, hydroxylamine hydrochloride, ammonium nitrite, ammonium sulfite, ammonium hydrogen sulfite, hydrazine sulfate, hydrazine hydrochloride, ammonium hydrogen sulfide, diethyl malonate, hydroquinone, ammonium metabisulfite, polyphenon 60, glucose, ammonium citrate, hydrogen, formic acid, oxalic acid, acetaldehyde, hydrogen iodide, ammonium phosphite, ammonium hydrogen phosphite, hypophosphorous acid, and combinations thereof.
17. The method of claim 14, wherein the at least one reducing agent comprises ascorbic acid.
18. The method of claim 14, wherein the at least one acid comprises at least one strong acid or at least one weak acid.
19. The method of claim 18, wherein the at least one strong acid comprises a species selected from the group consisting of nitric acid, sulfuric acid, perchloric acid, hydrochloric acid, hydrobromic acid, hydroiodic acid, methanesulfonic acid, and combinations thereof.
20. The method of claim 18, wherein the at least one strong acid comprises sulfuric acid.
21. The method of claim 18, wherein the composition further comprises the salt of the at least one strong acid.
22. (canceled)
23. The method of claim 18, wherein the at least one weak acid comprises a species selected from the group consisting of nitrous acid, phosphorous acid, hydrogen bisulfate, hydrogen selenite, phosphoric acid, cyanic acid, formic acid, glyceric acid, glycolic acid, glyoxylic acid, lactic acid, pyruvic acid, mandelic acid, succinic acid, malonic acid, and combinations thereof.
US13/978,825 2011-01-13 2012-01-12 Formulations for the removal of particles generated by cerium-containing solutions Abandoned US20140318584A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/978,825 US20140318584A1 (en) 2011-01-13 2012-01-12 Formulations for the removal of particles generated by cerium-containing solutions

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161432370P 2011-01-13 2011-01-13
PCT/US2012/021069 WO2012097143A2 (en) 2011-01-13 2012-01-12 Formulations for the removal of particles generated by cerium- containing solutions
US13/978,825 US20140318584A1 (en) 2011-01-13 2012-01-12 Formulations for the removal of particles generated by cerium-containing solutions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2012/021069 A-371-Of-International WO2012097143A2 (en) 2011-01-13 2012-01-12 Formulations for the removal of particles generated by cerium- containing solutions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/669,238 Continuation US10446389B2 (en) 2011-01-13 2017-08-04 Formulations for the removal of particles generated by cerium-containing solutions

Publications (1)

Publication Number Publication Date
US20140318584A1 true US20140318584A1 (en) 2014-10-30

Family

ID=46507664

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/978,825 Abandoned US20140318584A1 (en) 2011-01-13 2012-01-12 Formulations for the removal of particles generated by cerium-containing solutions
US15/669,238 Active 2032-03-13 US10446389B2 (en) 2011-01-13 2017-08-04 Formulations for the removal of particles generated by cerium-containing solutions

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/669,238 Active 2032-03-13 US10446389B2 (en) 2011-01-13 2017-08-04 Formulations for the removal of particles generated by cerium-containing solutions

Country Status (4)

Country Link
US (2) US20140318584A1 (en)
KR (1) KR102064487B1 (en)
TW (1) TWI557223B (en)
WO (1) WO2012097143A2 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150024989A1 (en) * 2012-11-06 2015-01-22 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10381233B2 (en) * 2016-12-26 2019-08-13 Tokyo Electron Limited Method and apparatus for substrate processing
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10446389B2 (en) * 2011-01-13 2019-10-15 Entegris, Inc. Formulations for the removal of particles generated by cerium-containing solutions
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI548738B (en) 2010-07-16 2016-09-11 安堤格里斯公司 Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
KR20130099948A (en) 2010-08-20 2013-09-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Sustainable process for reclaiming precious metals and base metals from e-waste
EP2683792B1 (en) 2011-03-11 2019-09-25 FujiFilm Electronic Materials USA, Inc. Novel etching composition
JP5933950B2 (en) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Etching solution for copper or copper alloy
TWI577834B (en) 2011-10-21 2017-04-11 富士軟片電子材料美國股份有限公司 Novel passivation composition and process
SG10201605172RA (en) 2011-12-28 2016-08-30 Entegris Inc Compositions and methods for selectively etching titanium nitride
US8709277B2 (en) 2012-09-10 2014-04-29 Fujifilm Corporation Etching composition

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972124A (en) * 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US20020090811A1 (en) * 2001-01-08 2002-07-11 Kim Byung-Hee Method of fabricating metal lines in a semiconductor device
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US20030073601A1 (en) * 2000-08-07 2003-04-17 Small Robert J. Composition for cleaning chemical mechanical planarization apparatus
US7368388B2 (en) * 2005-04-15 2008-05-06 Small Robert J Cerium oxide abrasives for chemical mechanical polishing
US7384870B2 (en) * 2002-05-31 2008-06-10 Hoya Corporation Method for manufacturing glass substrate
US20090130849A1 (en) * 2007-10-29 2009-05-21 Wai Mun Lee Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
US20090133716A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
WO2010134185A1 (en) * 2009-05-21 2010-11-25 ステラケミファ株式会社 Cleaning liquid and cleaning method
US20110140181A1 (en) * 2009-12-11 2011-06-16 International Business Machines Corporation Removal of Masking Material
US20120256122A1 (en) * 2009-12-17 2012-10-11 Showa Denko K. K. Composition for etching of ruthenium-based metal, and process for preparation of the same

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976810A (en) * 1990-03-06 1990-12-11 Kabushiki Kaisha Toshiba Method of forming pattern and apparatus for implementing the same
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5648288A (en) 1992-03-20 1997-07-15 Siliconix Incorporated Threshold adjustment in field effect semiconductor devices
US5545576A (en) 1994-04-28 1996-08-13 Casio Computer Co., Ltd. Method for manufacturing a thin film transistor panel
JP2907144B2 (en) 1995-12-11 1999-06-21 日本電気株式会社 Acid derivative compound, polymer compound, photosensitive resin composition using the same, and pattern forming method
EP0820092A4 (en) * 1996-02-07 2000-03-29 Hitachi Chemical Co Ltd Cerium oxide abrasive, semiconductor chip, semiconductor device, process for the production of them, and method for the polishing of substrates
US5993685A (en) 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
US5972134A (en) 1997-10-02 1999-10-26 Benteler Ag Manufacture of a metallic molded structural part
EP1125168A1 (en) 1998-05-18 2001-08-22 Advanced Technology Materials, Inc. Stripping compositions for semiconductor substrates
US6162565A (en) 1998-10-23 2000-12-19 International Business Machines Corporation Dilute acid rinse after develop for chrome etch
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224651B2 (en) 1999-02-25 2009-02-18 三菱瓦斯化学株式会社 Resist stripper and method for manufacturing semiconductor device using the same
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
JP3956587B2 (en) * 1999-11-18 2007-08-08 Hoya株式会社 Cleaning method for glass substrate for magnetic disk
JP3645144B2 (en) 2000-02-24 2005-05-11 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6402851B1 (en) * 2000-05-19 2002-06-11 International Business Machines Corporation Lanthanide oxide dissolution from glass surface
JP2002064101A (en) 2000-08-21 2002-02-28 Casio Comput Co Ltd Method of forming wiring having chromium layer
US6599370B2 (en) 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
JP2003017465A (en) 2001-06-29 2003-01-17 Mitsubishi Electric Corp Semiconductor device and manufacturing method therefor
US7029373B2 (en) 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6692546B2 (en) 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
JP3894760B2 (en) 2001-09-26 2007-03-22 Ntn株式会社 Constant velocity universal joint
US7188644B2 (en) 2002-05-03 2007-03-13 Advanced Technology Materials, Inc. Apparatus and method for minimizing the generation of particles in ultrapure liquids
US6698619B2 (en) 2002-05-03 2004-03-02 Advanced Technology Materials, Inc. Returnable and reusable, bag-in-drum fluid storage and dispensing container system
JP2004077874A (en) 2002-08-20 2004-03-11 Clariant (Japan) Kk Forming method of photosensitive composition for interlayer insulating film and patternizing interlayer insulating film
JP4040425B2 (en) 2002-10-17 2008-01-30 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
JP4096810B2 (en) 2003-01-28 2008-06-04 セイコーエプソン株式会社 Manufacturing method of substrate with recess, substrate with recess, microlens substrate, transmissive screen, and rear projector
EP1466699A1 (en) 2003-04-09 2004-10-13 JSR Corporation Abrasive pad, method and metal mold for manufacturing the same, and semiconductor wafer polishing method
US7736405B2 (en) 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
JP2006017843A (en) 2004-06-30 2006-01-19 Hitachi Displays Ltd Method for manufacturing display unit
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
TWI434957B (en) 2005-06-06 2014-04-21 Advanced Tech Materials Integrated chemical mechanical polishing composition and process for single platen processing
TW200709294A (en) 2005-06-13 2007-03-01 Advanced Tech Materials Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
WO2007019342A2 (en) 2005-08-05 2007-02-15 Advanced Technology Materials, Inc. High throughput chemical mechanical polishing composition for metal film planarization
CN101366107B (en) 2005-10-05 2011-08-24 高级技术材料公司 Oxidizing aqueous cleaner for the removal of post-etch residues
KR100816651B1 (en) 2006-03-31 2008-03-27 테크노세미켐 주식회사 Chemical mechanical polishing composition for copper comprising zeolite
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
US20100087065A1 (en) 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
WO2008141206A2 (en) 2007-05-09 2008-11-20 Advanced Technology Materials, Inc. Systems and methods for material blending and distribution
JP2010535422A (en) 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Non-fluoride-containing composition for removing residues from microelectronic devices
EP2190967A4 (en) 2007-08-20 2010-10-13 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
KR20100082012A (en) 2007-11-16 2010-07-15 이케이씨 테크놀로지, 인코포레이티드 Compositions for removal of metal hard mask etching residues from a semiconductor substrate
EP2246876A4 (en) 2008-02-22 2012-07-04 Asahi Glass Co Ltd Water-repellant composition for substrate to be exposed, method of forming resist pattern, electronic device produced by the formation method, method of imparting water repellency to substrate to be exposed, water-repellant set for substrate to be exposed, and method of imparting water repellency with the same to substrate to be exposed
JP2011520142A (en) 2008-05-01 2011-07-14 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Low pH mixture for removal of high density implanted resist
WO2010086745A1 (en) 2009-02-02 2010-08-05 Atmi Taiwan Co., Ltd. Method of etching lanthanum-containing oxide layers
JP5642773B2 (en) 2009-05-19 2014-12-17 ワッカー ケミー アクチエンゲゼルシャフトWacker Chemie AG Modified silicone polymer as antifoaming agent and detergent composition having the same
SG181642A1 (en) 2009-12-11 2012-07-30 Advanced Tech Materials Removal of masking material
TWI548738B (en) 2010-07-16 2016-09-11 安堤格里斯公司 Aqueous cleaner for the removal of post-etch residues
JP6101421B2 (en) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド Etching solution for copper or copper alloy
CN103154321B (en) 2010-10-06 2015-11-25 安格斯公司 The composition of selective etch metal nitride and method
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
KR102064487B1 (en) * 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. Formulations for the removal of particles generated by cerium-containing solutions
US20160122696A1 (en) 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5972124A (en) * 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US20030073601A1 (en) * 2000-08-07 2003-04-17 Small Robert J. Composition for cleaning chemical mechanical planarization apparatus
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US20020090811A1 (en) * 2001-01-08 2002-07-11 Kim Byung-Hee Method of fabricating metal lines in a semiconductor device
US7384870B2 (en) * 2002-05-31 2008-06-10 Hoya Corporation Method for manufacturing glass substrate
US7368388B2 (en) * 2005-04-15 2008-05-06 Small Robert J Cerium oxide abrasives for chemical mechanical polishing
US20090130849A1 (en) * 2007-10-29 2009-05-21 Wai Mun Lee Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
US20090133716A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
WO2010134185A1 (en) * 2009-05-21 2010-11-25 ステラケミファ株式会社 Cleaning liquid and cleaning method
US20120065116A1 (en) * 2009-05-21 2012-03-15 Stella Chemifa Corporation Cleaning liquid and cleaning method
US20110140181A1 (en) * 2009-12-11 2011-06-16 International Business Machines Corporation Removal of Masking Material
US20120256122A1 (en) * 2009-12-17 2012-10-11 Showa Denko K. K. Composition for etching of ruthenium-based metal, and process for preparation of the same

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10446389B2 (en) * 2011-01-13 2019-10-15 Entegris, Inc. Formulations for the removal of particles generated by cerium-containing solutions
US10176979B2 (en) 2012-02-15 2019-01-08 Entegris, Inc. Post-CMP removal using compositions and method of use
US9678430B2 (en) 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US20150024989A1 (en) * 2012-11-06 2015-01-22 International Business Machines Corporation Cleaning composition and process for cleaning semiconductor devices and/or tooling during manufacturing thereof
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
US10428271B2 (en) 2013-08-30 2019-10-01 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
US10347504B2 (en) 2013-12-20 2019-07-09 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
US10557107B2 (en) 2014-01-29 2020-02-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US10381233B2 (en) * 2016-12-26 2019-08-13 Tokyo Electron Limited Method and apparatus for substrate processing
US20180204736A1 (en) * 2017-01-18 2018-07-19 Entegris, Inc. Compositions and methods for removing ceria particles from a surface
US11164738B2 (en) * 2017-01-18 2021-11-02 Entegris, Inc. Compositions and methods for removing ceria particles from a surface

Also Published As

Publication number Publication date
WO2012097143A2 (en) 2012-07-19
KR20140043327A (en) 2014-04-09
KR102064487B1 (en) 2020-01-10
TW201235463A (en) 2012-09-01
US10446389B2 (en) 2019-10-15
WO2012097143A3 (en) 2013-01-10
US20170338104A1 (en) 2017-11-23
TWI557223B (en) 2016-11-11

Similar Documents

Publication Publication Date Title
US10446389B2 (en) Formulations for the removal of particles generated by cerium-containing solutions
US10711227B2 (en) TiN hard mask and etch residue removal
US9678430B2 (en) Composition and process for stripping photoresist from a surface including titanium nitride
CN107527808B (en) Etching composition and method of using the same
EP3599634B1 (en) Composition for titanium nitride hard mask removal and etch residue cleaning
US20090212021A1 (en) Compositions and methods for selective removal of metal or metal alloy after metal silicide formation
JP5289411B2 (en) Multi-agent type semiconductor substrate cleaning agent, cleaning method using the same, and semiconductor device manufacturing method
US20160237385A1 (en) Non-amine post-cmp composition and method of use
US20110117751A1 (en) Non-selective oxide etch wet clean composition and method of use
US20020037820A1 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
JP2003289060A (en) Cleaning liquid for substrate for semiconductor device and cleaning method
US7943562B2 (en) Semiconductor substrate cleaning methods, and methods of manufacture using same
TWI654340B (en) Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
EP1959303B1 (en) Cleaning solution for semiconductor device or display device, and cleaning method
WO2011072188A2 (en) Removal of masking material
EP3599633A1 (en) Post etch residue cleaning compositions and methods of using the same
US8216384B2 (en) Combinatorial approach to the development of cleaning formulations for wet removal of high dose implant photoresist
TWI743026B (en) Non-amine post-cmp compositions and method of use
CN114450388A (en) Composition for removing etching residues, and use method and application thereof
JP2021061391A (en) Etchant, and method for manufacturing semiconductor device
US20220205111A1 (en) Method for producing semiconductor element and chemical solution to be used in method for producing semiconductor element

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COOPER, EMANUEL I.;BARNES, JEFFREY A.;REEL/FRAME:031252/0589

Effective date: 20130814

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032815/0852

Effective date: 20140430

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW Y

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032815/0852

Effective date: 20140430

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032812/0192

Effective date: 20140430

Owner name: GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT, NEW Y

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;POCO GRAPHITE, INC.;ATMI, INC.;AND OTHERS;REEL/FRAME:032812/0192

Effective date: 20140430

AS Assignment

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ADVANCED TECHNOLOGY MATERIALS, INC.;REEL/FRAME:041029/0903

Effective date: 20161128

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ATMI, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: POCO GRAPHITE, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ATMI PACKAGING, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0032

Effective date: 20181106

Owner name: ATMI, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ADVANCED TECHNOLOGY MATERIALS, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ATMI PACKAGING, INC., CONNECTICUT

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: ENTEGRIS, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

Owner name: POCO GRAPHITE, INC., MASSACHUSETTS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:GOLDMAN SACHS BANK USA, AS COLLATERAL AGENT;REEL/FRAME:047477/0151

Effective date: 20181106

AS Assignment

Owner name: GOLDMAN SACHS BANK USA, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNORS:ENTEGRIS, INC.;SAES PURE GAS, INC.;REEL/FRAME:048811/0679

Effective date: 20181106

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: ASSIGNMENT OF PATENT SECURITY INTEREST RECORDED AT REEL/FRAME 048811/0679;ASSIGNOR:GOLDMAN SACHS BANK USA;REEL/FRAME:050965/0035

Effective date: 20191031