US20150123202A1 - Systems and methods for a semiconductor structure having multiple semiconductor-device layers - Google Patents

Systems and methods for a semiconductor structure having multiple semiconductor-device layers Download PDF

Info

Publication number
US20150123202A1
US20150123202A1 US14/072,011 US201314072011A US2015123202A1 US 20150123202 A1 US20150123202 A1 US 20150123202A1 US 201314072011 A US201314072011 A US 201314072011A US 2015123202 A1 US2015123202 A1 US 2015123202A1
Authority
US
United States
Prior art keywords
semiconductor device
layer
semiconductor
buried oxide
channel material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/072,011
Other versions
US9443869B2 (en
Inventor
Yi-Tang LIN
Chun-Hsiung Tsai
Clement Hsingjen Wann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/072,011 priority Critical patent/US9443869B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANN, CLEMENT HSINGJEN, TSAI, CHUN-HSIUNG, LIN, YI-TANG
Priority to TW103110841A priority patent/TWI593026B/en
Priority to CN201410431333.XA priority patent/CN104617101B/en
Priority to KR1020140145420A priority patent/KR101649799B1/en
Publication of US20150123202A1 publication Critical patent/US20150123202A1/en
Priority to US15/262,136 priority patent/US9773809B2/en
Application granted granted Critical
Publication of US9443869B2 publication Critical patent/US9443869B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Definitions

  • the technology described in this patent document relates generally to semiconductor devices and more particularly to a semiconductor structure having multiple semiconductor device layers.
  • Integrated circuits may comprise one or more types of semiconductor devices such as n-channel MOSFET (“NMOS”) devices, p-channel MOSFET (“PMOS”) devices, bipolar junction transistor (“BJT”) devices, diode devices, and capacitors devices among others. Different types of devices can present different design considerations for a semiconductor designer. ICs may also include circuits that have different circuit functions such as ICs having analog functions, logic functions and memory functions.
  • NMOS n-channel MOSFET
  • PMOS p-channel MOSFET
  • BJT bipolar junction transistor
  • a multilayer semiconductor device structure comprises a first buried oxide and a first semiconductor device layer fabricated above the first buried oxide.
  • the first semiconductor device layer comprises a patterned top surface.
  • the patterned surface comprises insulator material and conductor material. The surface density of the insulator material is greater than 40 percent.
  • the multilayer semiconductor device structure further comprises a second buried oxide bonded to the patterned surface of the first semiconductor device layer and a second semiconductor device layer fabricated above the second buried oxide.
  • a method of fabricating a multilayer semiconductor device structure comprises providing a first wafer comprising first channel material bonded to a first buried oxide layer and fabricating a first semiconductor device layer from the first channel material.
  • the first semiconductor device layer comprises a patterned top surface.
  • the patterned surface comprises insulator material and conductor material. The surface density of the insulator material is greater than 40 percent.
  • the method further comprises providing a second wafer comprising second channel material bonded to a second buried oxide layer, bonding the second buried oxide to the patterned surface of the first semiconductor device layer, fabricating a second semiconductor device layer from the second channel material, and interconnecting a feature of the first semiconductor device with a feature of the second semiconductor device.
  • a method of fabricating a multilayer semiconductor device structure comprises providing a first silicon on insulator (“SOI”) wafer comprising first channel material bonded to a first buried oxide layer and fabricating a first semiconductor device layer from the first channel material.
  • the first semiconductor device layer comprises a patterned top surface.
  • the patterned surface comprises insulator material and conductor material.
  • the surface density of the conductor material is less than 57 percent.
  • the method further comprises providing a second SOI wafer comprising second channel material bonded to a second buried oxide layer, bonding the second buried oxide to the patterned surface of the first semiconductor device layer, and fabricating a second semiconductor device layer from the second channel material.
  • FIG. 1 is a cross-sectional view of an example semiconductor structure having multiple transistor layers.
  • FIG. 2 is a process flow chart depicting an example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers.
  • FIG. 3 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 4 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 5 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 6A depicts an isometric view of an example SOI wafer that may be provided for use with the methods described in this document.
  • FIG. 6B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 6A .
  • FIG. 7A depicts an isometric view of an example semiconductor structure after completion of the OD module process.
  • FIG. 7B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 7A .
  • FIG. 8A depicts an isometric view of an example SOI wafer after completion of the gate module process.
  • FIG. 8B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 8A .
  • FIG. 9A depicts an isometric view of a semiconductor structure after source/drain epitaxial growth operations and source/drain implantation operations.
  • FIG. 9B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 9A .
  • FIG. 10A depicts an isometric view of a semiconductor structure after interlayer dielectric deposition and etching.
  • FIG. 10B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 10A .
  • FIG. 11A depicts an isometric view of a semiconductor structure after dummy poly/isolation oxide removal and isolation oxide/high K/metal gate deposition and CMP.
  • FIG. 11B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 11A .
  • FIG. 12A depicts an isometric view of a semiconductor structure after a MEOL module process.
  • FIG. 12B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 12A .
  • FIG. 13A depicts an isometric view of the semiconductor structure after wafer bonding operations.
  • FIG. 13B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 13A .
  • FIG. 14A depicts an isometric view of a semiconductor structure after BEOL operations.
  • FIG. 14B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 14A .
  • FIG. 15 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers.
  • FIG. 16 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 17 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 18A depicts an isometric view of an example semiconductor structure after completion of a gate module process.
  • FIG. 18B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 18A .
  • FIG. 19A depicts an isometric view of a semiconductor structure after source/drain module completion.
  • FIG. 19B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 19A .
  • FIG. 20A depicts an isometric view of a semiconductor structure after a MEOL module process.
  • FIG. 20B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 20A .
  • FIG. 21A depicts an isometric view of the semiconductor structure after wafer bonding operations.
  • FIG. 21B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 21A .
  • FIG. 22A depicts an isometric view of a semiconductor structure after BEOL operations.
  • FIG. 22B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 22A .
  • FIG. 1 Depicted in FIG. 1 is a cross-sectional view of an example semiconductor structure 10 having multiple transistor layers.
  • the semiconductor structure comprises a substrate 12 , a first buried oxide layer 14 above the substrate 12 , a first transistor layer 16 above the buried oxide 14 , a second buried oxide layer 18 above the first transistor layer 16 , a second transistor layer 20 above the second buried oxide 18 , and a back-end-of-line (“BEOL”) layer 22 above the second transistor layer 20 .
  • the first transistor layer 16 comprises multiple components such as source regions, drain regions, and gate regions of transistors, ILD0 oxide, nitride spacers, and metal material.
  • the top surface of the first transistor layer 16 is a patterned surface 24 comprising ILD0 oxide, nitride spacer material, gate material, and metal material arranged in a predetermined pattern.
  • the patterned surface 24 is arranged in a manner that allows for the second buried oxide layer 18 to be bonded thereto without the need for a glue/buffer region between the patterned surface 24 and the second buried oxide layer 18 .
  • the atom link between the buried oxide and top surface 24 of first device layer may be sufficiently strong without depositing an additional blanket glue/buffer layer on the top of first device layer for strengthening the bonding surface.
  • An appropriately arranged patterned surface may simplify the bonding process because the depositing of a glue/buffer layer may not be needed. Additionally, the depth of contact hole etching and filling from M1 to first gate and from M1 to first M0 may be reduced, thereby reducing the process difficulty, as a result of not adding the thickness of a glue/buffer oxide layer.
  • Bonding a second buried oxide to a patterned surface may be accomplished because the buried oxide and first ILD0 oxide are homogeneous materials (oxide-based) and the atom link between these two materials is strong.
  • the buried oxide and first gate metal and M0 metal are non-homogeneous materials (insulator to conductor, such as oxide to metal) and the atom link between these two materials will not be as strong.
  • typical metal gate CMP and M0 CMP will stop at the surface of ILD0 oxide and may produce a dishing effect in the gate and M0 metal region. It may also degrade the bonding strength between buried oxide and first gate and M0.
  • the pattern density of the ILD0 oxide region (insulator region), the gate region (Type-I conductor region), and the M0 metal region (Type-II conductor region) should be arranged to ensure sufficient bonding strength without the need for a glue layer.
  • the pattern density for the gate region is less than 28% and the pattern density for the M0 region is less than 29%.
  • the pattern density of the ILD0 oxide region can be greater than 40%. Meeting these pattern density ratios should allow for the bonding of two transistor layers without the need for a glue/buffer region.
  • using a high-k first or a non-high-k gate stack for the first transistor level can also increase the atom link at the bonding interface. This configuration can result in an increased ratio of ILD0 oxide in the bonding surface and enhance the atom link between bonding interface.
  • FIG. 2 is a process flow chart depicting an example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers.
  • a first semiconductor-on-insulator (“SOI”) wafer with a first channel material is provided for the first layer.
  • SOI semiconductor-on-insulator
  • a substrate with bond buried oxide and the first channel material may be provided.
  • the first transistor layer is fabricated.
  • the first transistor layer may be fabricated using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process (e.g., doping, activation/surface, passivation/material consolidation), epitaxy, and material filling, among others.
  • the photolithography process may include forming a photoresist layer (resist), exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element.
  • the masking element may then be used in an etching process.
  • the etching may be performed using reactive ion etch (“RIE”) and/or other suitable processes.
  • RIE reactive ion etch
  • the fabrication of the first level comprises designing the layer such that the pattern density of the ILD0 oxide region is greater than 40%.
  • fabrication of the first level comprises designing the layer such that the pattern density for the gate region is less than 28% and the pattern density for the M0 region is less than 29%.
  • a second semiconductor substrate is provided and bonded to the patterned top surface of the first semiconductor device layer (operation 104 ).
  • the second semiconductor substrate comprises a semiconductor on insulator (“SOI”) substrate.
  • SOI semiconductor on insulator
  • the bottom surface of the insulator of the SOI substrate is bonding to the top surface of the first semiconductor layer.
  • the bonding surface of the insulator and patterned surface of the first semiconductor layer are processed to clean, remove excess particles, and make the surfaces hydrophobic or hydrophilic.
  • the wafer containing the first semiconductor layer and the wafer containing the SOI substrate are aligned. After alignment the layers can be bonded through a touch and press process.
  • the Van der Waals force will link the atoms of the interface between the bottom of the second semiconductor layer and the top of the first semiconductor layer together (this process may involve some plasma enhancement technique). Also a thermal procedure can be applied to enhance the linking of atoms at the interface.
  • the resultant semiconductor structure can have a planarization process or CMP process applied to reduce the thickness of second semiconductor layer to the required thickness (e.g., 5-20 nm, the channel thickness of the second device).
  • a second semiconductor device layer is fabricated on the second semiconductor substrate (operation 106 ).
  • the second semiconductor layer may be fabricated using a number of using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process, epitaxy, and material filling, among others.
  • CMP chemical mechanical polishing/planarization
  • the second semiconductor substrate has a semiconductor on insulator (“SOI”) structure comprising a buried oxide and a second channel material.
  • SOI semiconductor on insulator
  • the buried oxide functions as an electrical insulator underneath the semiconductor channel material.
  • the buried oxide may be formed from material such as SiO 2 , HfO, Al 2 O 3 or other suitable oxide material.
  • the electrical insulator functions to insulate the second channel material in the second semiconductor substrate from the devices formed on the first semiconductor device layer.
  • the second channel material may be formed from material such as Si, SiGe, GaAs, or others.
  • the second channel material may be the same as or different from the semiconductor channel material used in the first semiconductor device layer. This can allow for selectively constructing certain semiconductor devices with the channel material of the first semiconductor substrate and other semiconductor devices with the channel material of the second semiconductor substrate.
  • the channel material of the first semiconductor substrate may be Ge and used for fabricating PMOS devices
  • the channel material of the second semiconductor substrate may be GaAs and used for fabricating NMOS devices for boosting the performance of NMOS and PMOS transistors.
  • Completion comprises back end of line (“BEOL”) operations where the individual devices are interconnected with wiring on the multi-layer semiconductor structure.
  • BEOL may include fabrication of contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections.
  • FIG. 3 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 100 ), fabricating the first semiconductor layer with an exposed patterned top surface (operation 102 ), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 104 ), fabricating the second device layer on the SOI substrate (operation 106 ), and completing the semiconductor structure with wiring and metallization layers (operation 108 ).
  • the example method of FIG. 3 is similar to the example method of FIG. 2 , but provides specific examples regarding how the first semiconductor layer may be fabricated.
  • fabrication of devices in the first semiconductor device layer comprises an oxidation (“OD”) module process (operation 110 ), a gate module process (operation 112 ), a source/drain module process (operation 114 ), a remove poly gate module process (operation 116 ), and a middle end of line (“MEOL”) module process (operation 118 ).
  • OD oxidation
  • operation 110 gate module process
  • operation 112 gate module process
  • operation 114 source/drain module process
  • operation 116 remove poly gate module process
  • MEOL middle end of line
  • FIG. 6A depicts an isometric view of an example SOI wafer 200 that may be provided for use with the methods described in FIG. 3 to fabricate a multi-layer semiconductor structure.
  • FIG. 6B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 6A .
  • the SOI wafer 200 comprises a silicon substrate 202 with a buried oxide layer 204 and first channel material 206 above the buried oxide layer 204 .
  • the first channel material may comprise Ge with N-well implantation.
  • the buried oxide layer 204 may be formed from material such as SiO 2 , HfO 2 , Al 2 O 3 or other suitable oxide material.
  • the OD module process may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 120 ), and diffusion/ion implantation operations (operation 122 ) such as P-well or N-well implantation, P + implantation, and N + implantation.
  • FIG. 7A depicts an isometric view of the semiconductor structure after completion of the OD module process (operation 110 of FIG. 3 ).
  • FIG. 7B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 7A . Shown are OD fins 206 for the PMOS transistor above the buried oxide layer 204 , which in turn is above the substrate 202 .
  • the gate module process comprises operations such as depositing isolation oxide material (operation 124 ), dummy poly deposition (operation 126 ), dummy poly photolithography/etching (operation 128 ), etching isolation oxide material (operation 130 ), and nitride spacer formation (operation 132 ).
  • FIG. 8A depicts an isometric view of the example SOI wafer 200 after completion of the gate module process (operation 112 of FIG. 3 ).
  • FIG. 8B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 8A . Shown are dummy poly 210 , nitride spacers 212 , and dummy isolation oxide 214 .
  • the source/drain module process may comprise source/drain epitaxial growth operations (operation 134 ), source/drain implantation operations (operation 136 ), and interlayer dielectric deposition and etching (operation 138 ).
  • FIG. 9A depicts an isometric view of the semiconductor structure after source/drain epitaxial growth operations (operation 134 of FIG. 3 ) and source/drain implantation operations (operation 136 of FIG. 3 ).
  • FIG. 9B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 9A . Shown is the source/drain material 216 after epitaxy and implantation.
  • FIG. 10A depicts an isometric view of the semiconductor structure after interlayer dielectric deposition and etching (operation 138 of FIG. 3 ).
  • FIG. 10B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 10A . Shown is the deposited interlayer dielectric material 218 .
  • the remove poly gate module process comprises dummy poly/isolation oxide removal (operation 140 ) and isolation oxide/high K/metal gate deposition and CMP (operation 142 ).
  • FIG. 11A depicts an isometric view of the semiconductor structure after dummy poly/isolation oxide removal (operation 140 of FIG. 3 ) and isolation oxide/high K/metal gate deposition and CMP (operation 142 of FIG. 3 ).
  • FIG. 11B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 11A .
  • metal gate material 220 such as Al or W
  • the dummy IL is replaced by IL/HK 222 .
  • the MEOL module process (operation 122 ) may comprise M0 photolithography and etching operations (operation 144 ), salicidation (operation 146 ), and M0 deposition and CMP (operation 148 ).
  • FIG. 12A depicts an isometric view of the semiconductor structure after the MEOL module process (operation 122 of FIG. 3 ).
  • FIG. 12B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 12A .
  • These figures show the addition of M0 metal material 224 above source and drain regions and also shows that silicide 226 between the M0 metal and the source and drain regions.
  • the local density of the ILD0 oxide 218 throughout the chip as measured by an arbitrary 1 um ⁇ 1 um rectangle 228 should be larger than 40%.
  • the ILD0 oxide can provide a stronger atom link to the bonding surface.
  • M0 metal 224 and MG gate metal 220 are appropriately designed and surrounded by ILD0 oxide to avoid large regions without ILD0 oxide.
  • FIG. 4 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 100 ), fabricating the first semiconductor layer with an exposed patterned top surface (operation 102 ), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 104 ), fabricating the second device layer on the SOI substrate (operation 106 ), and completing the semiconductor structure with wiring and metallization layers (operation 108 ).
  • the example method of FIG. 4 is similar to the example method of FIG. 2 , but provides specific examples regarding how the second semiconductor substrate may be bonded to the surface of the first semiconductor device.
  • bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer comprises providing a separate substrate (operation 150 ), which will provide the second channel material.
  • the substrate may comprise GaAs with an activated Be dopant.
  • the example further comprises depositing a defect free buried oxide layer (operation 152 ) onto the substrate.
  • the buried oxide comprises Al2O3, HfO2, SiO2, or some other suitable oxide material.
  • the thickness of this layer is about 10 nm ⁇ 20 nm.
  • the example further comprises H2/He implantation (operation 154 ). The deposited buried oxide and substrate are subjected to H2/He implantation to introduce the H2/He into the GaAs substrate to a level to weaken the interface atom linkage.
  • bonding the top surface of the buried oxide layer onto the top patterned surface of the first transistor level takes place.
  • the surface of the buried oxide layer opposite the second channel material is bonded to the top patterned surface of the first transistor layer.
  • the two bonding surfaces should be planarized to minimize the surface roughness and cleaned to remove particles before bonding.
  • the example further comprises annealing operations to consolidate the bonding interface (operation 158 ).
  • the example further comprises wafer cleaving (operation 160 ) at the H2/He implantation layer level.
  • the substrate can be cleaved at the H2/He implantation layer level.
  • the example further comprises GaAs CMP (operation 162 ) to reduce the size of the GaAs substrate. CMP for the GaAs channel material is undertaken to get the second channel material to a targeted thickness.
  • FIG. 13A depicts an isometric view of the semiconductor structure after wafer bonding (e.g., operation 104 of FIG. 4 ) is complete.
  • FIG. 13B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 13A .
  • These figures show a second buried oxide 230 with second channel material 232 bonded to the top patterned surface 229 of the first transistor layer.
  • FIG. 5 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • This example method also comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 100 ), fabricating the first semiconductor layer with an exposed patterned top surface (operation 102 ), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 104 ), fabricating the second device layer on the SOI substrate (operation 106 ), and completing the semiconductor structure with wiring and metallization layers (operation 108 ).
  • the example method of FIG. 5 is similar to the example method of FIG. 2 , but provides specific examples regarding how the second semiconductor layer may be fabricated.
  • fabrication of devices in the second semiconductor device layer comprises an oxidation (“OD”) module process (operation 164 ), a gate module process (operation 166 ), a source/drain module process (operation 168 ), a remove poly gate module process (operation 170 ), and a middle end of line (“MEOL”) module process (operation 172 ).
  • OD oxidation
  • operation 164 gate module process
  • operation 168 source/drain module process
  • operation 170 a remove poly gate module process
  • MEOL middle end of line
  • the OD module process may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 174 ), and diffusion/ion implantation operations (operation 176 ) such as P-well or N-well implantation, P+ implantation, and N+ implantation.
  • the gate module process (operation 150 ) comprises operations such as depositing isolation oxide material (operation 178 ), dummy poly deposition (operation 180 ), dummy poly photolithography/etching (operation 182 ), etching isolation oxide material (operation 184 ), and nitride spacer formation (operation 186 ).
  • the source/drain module process may comprise source/drain epitaxial growth operations (operation 188 ), source/drain implantation operations (operation 190 ), and interlayer dielectric deposition and etching (operation 192 ).
  • the remove poly gate module process (operation 170 ) comprises dummy poly/isolation oxide removal (operation 194 ) and isolation oxide/high K/metal gate deposition and CMP (operation 196 ).
  • the middle end of line (“MEOL”) module process (operation 154 ), may comprise M0 photolithography and etching operations (operation 197 ), salicidation (operation 198 ), and M0 deposition and CMP (operation 199 ).
  • FIG. 14A depicts an isometric view of the semiconductor structure after the BEOL operations (e.g., operation 108 of FIG. 5 ), including the addition of a M1 metal 231 , are complete.
  • FIG. 14B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 14A .
  • These figures show the first buried oxide layer 204 above the substrate 202 , a first transistor layer 233 above the buried oxide 204 , a second buried oxide layer 230 above the first transistor layer 233 , a second transistor layer 235 above the second buried oxide 230 , and a back-end-of-line (“BEOL”) layer 237 above the second transistor layer 235 .
  • a bonding surface 238 comprises the top surface 229 of the first transistor layer 233 and the bottom surface of the second buried oxide layer 230 .
  • the patterned top surface of the first transistor layer 233 is arranged in a manner that allows for the second buried oxide 230 to be bonded thereto without the need for a glue/buffer region between the patterned surface of the first transistor layer 233 and the second buried oxide 230 .
  • the atom link between the buried oxide and top surface of first device layer may be sufficiently strong.
  • FIG. 15 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers.
  • a first semiconductor-on-insulator (“SOI”) wafer with a first channel material is provided for the first layer.
  • SOI semiconductor-on-insulator
  • a substrate with bond buried oxide and the first channel material may be provided.
  • the first transistor layer is fabricated.
  • the first semiconductor layer may be fabricated using a number of using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process, epitaxy, and material filling, among others.
  • CMP chemical mechanical polishing/planarization
  • the fabrication of the first level comprises designing the layer such that the pattern density of the ILD0 oxide region is greater than 40%.
  • fabrication of the first level comprises designing the layer such that the pattern density for the gate region is less than 28% and the pattern density for the M0 region is less than 29%.
  • a second semiconductor substrate is provided and bonded to the patterned top surface of the first semiconductor device layer (operation 304 ).
  • the second semiconductor substrate comprises a semiconductor on insulator (“SOI”) substrate.
  • SOI semiconductor on insulator
  • a second semiconductor device layer is fabricated on the second semiconductor substrate (operation 306 ).
  • the second semiconductor layer may be fabricated using a number of using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process, epitaxy, and material filling, among others.
  • CMP chemical mechanical polishing/planarization
  • the second semiconductor substrate has a semiconductor on insulator (“SOI”) structure comprising a buried oxide and a second channel material.
  • SOI semiconductor on insulator
  • the buried oxide functions as an electrical insulator underneath the semiconductor channel material.
  • the buried oxide may be formed from material such as SiO 2 , HfO, Al 2 O 3 or other suitable oxide material.
  • the electrical insulator functions to insulate the second channel material in the second semiconductor substrate from the devices formed on the first semiconductor device layer.
  • the second channel material may be formed from material such as Si, SiGe, GaAs, or others.
  • the second channel material may be the same as or different from the semiconductor channel material used in the first semiconductor device layer. This can allow for selectively constructing certain semiconductor devices with the channel material of the first semiconductor substrate and other semiconductor devices with the channel material of the second semiconductor substrate.
  • the channel material of the first semiconductor substrate may be Ge and used for fabricating PMOS devices
  • the channel material of the second semiconductor substrate may be GaAs and used for fabricating NMOS devices for boosting the performance of NMOS and PMOS transistors.
  • Completion comprises back end of line (“BEOL”) operations where the individual devices are interconnected with wiring on the multi-layer semiconductor structure.
  • BEOL may include fabrication of contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections.
  • fabrication of devices in the first semiconductor device layer comprises an oxidation (“OD”) module process (operation 310 ), a gate module process (operation 312 ), a source/drain module process (operation 314 ), and a middle end of line (“MEOL”) module process (operation 318 ).
  • OD oxidation
  • operation 312 gate module process
  • operation 314 source/drain module process
  • MEOL middle end of line
  • the OD module process may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 320 ), and diffusion/ion implantation operations (operation 322 ) such as P-well or N-well implantation, P + implantation, and N + implantation.
  • the gate module process (operation 312 ) comprises operations such as depositing isolation oxide material (operation 324 ), dummy poly deposition (operation 326 ), dummy poly photolithography/etching (operation 328 ), etching isolation oxide material (operation 330 ), and nitride spacer formation (operation 332 ).
  • FIG. 18A depicts an isometric view of the example semiconductor structure after completion of the gate module process (operation 312 of FIG. 15 ).
  • FIG. 18B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 18A . Shown are dummy poly 410 , nitride spacers 412 , and dummy isolation oxide 414 .
  • the source/drain module process may comprise source/drain epitaxial growth operations (operation 334 ), source/drain implantation operations (operation 336 ), and interlayer dielectric deposition and etching (operation 338 ).
  • FIG. 19A depicts an isometric view of the semiconductor structure after source/drain module completion (operation 314 of FIG. 15 ).
  • FIG. 19B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 19A . Shown is the deposited interlayer dielectric material 418 .
  • the MEOL module process (operation 122 ) may comprise M0 photolithography and etching operations (operation 344 ), salicidation (operation 346 ), and M0 deposition and CMP (operation 348 ).
  • FIG. 20A depicts an isometric view of the semiconductor structure after the MEOL module process (operation 318 of FIG. 15 ).
  • FIG. 20B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 20A .
  • These figures show the addition of M0 metal material 424 above source and drain regions and also shows that silicide 426 between the M0 metal and the source and drain regions.
  • the local density of the ILD0 oxide 418 throughout the chip as measured by an arbitrary 1 um ⁇ 1 um rectangle 428 should be larger than 40%.
  • the ILD0 oxide can provide a stronger atom link to the bonding surface.
  • M0 metal 424 and MG gate metal 420 are appropriately designed and surrounded by ILD0 oxide to avoid large regions without ILD0 oxide.
  • FIG. 16 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 300 ), fabricating the first semiconductor layer with an exposed patterned top surface (operation 302 ), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 304 ), fabricating the second device layer on the SOI substrate (operation 306 ), and completing the semiconductor structure with wiring and metallization layers (operation 308 ).
  • the example method of FIG. 16 is similar to the example method of FIG. 15 , but provides specific examples regarding how the second semiconductor substrate may be bonded to the surface of the first semiconductor device.
  • bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer comprises providing a p-type GaAs substrate wafer (operation 350 ), which will provide the second channel material.
  • the substrate may comprise GaAs with an activated Be dopant.
  • the example further comprises depositing a defect free buried oxide layer (operation 352 ) onto the substrate.
  • the buried oxide comprises Al2O3, HfO2, SiO2, or some other suitable oxide material.
  • the thickness of this layer is about 10 nm ⁇ 20 nm.
  • the example further comprises H2/He implantation (operation 354 ). The deposited buried oxide and substrate are subjected to H2/He implantation to introduce the H2/He into the GaAs substrate to a level to weaken the interface atom linkage.
  • bonding the top surface of the buried oxide layer onto the top patterned surface of the first transistor level takes place.
  • the surface of the buried oxide layer opposite the second channel material is bonded to the top patterned surface of the first transistor layer.
  • the two bonding surfaces should be planarized to minimize the surface roughness and cleaned to remove particles before bonding.
  • the example further comprises annealing operations to consolidate the bonding interface (operation 358 ).
  • the example further comprises wafer cleaving (operation 360 ) at the H2/He implantation layer level.
  • the substrate can be cleaved at the H2/He implantation layer level.
  • the example further comprises GaAs CMP (operation 362 ) to reduce the size of the GaAs substrate. CMP for the GaAs channel material is undertaken to get the second channel material to a targeted thickness.
  • FIG. 21A depicts an isometric view of the semiconductor structure after wafer bonding (e.g., operation 304 of FIG. 16 ) is complete.
  • FIG. 21B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 21A .
  • These figures show a second buried oxide 430 with second channel material 432 bonded to the top patterned surface 429 of the first transistor layer.
  • FIG. 17 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 300 ), fabricating the first semiconductor layer with an exposed patterned top surface (operation 302 ), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 304 ), fabricating the second device layer on the SOI substrate (operation 306 ), and completing the semiconductor structure with wiring and metallization layers (operation 308 ).
  • the example method of FIG. 17 is similar to the example method of FIG. 15 , but provides specific examples regarding how the second semiconductor layer may be fabricated.
  • fabrication of devices in the second semiconductor device layer comprises an oxidation (“OD”) module process (operation 364 ), a gate module process (operation 366 ), a source/drain module process (operation 368 ), a remove poly gate module process (operation 370 ), and a middle end of line (“MEOL”) module process (operation 372 ).
  • OD oxidation
  • operation 366 gate module process
  • operation 368 source/drain module process
  • operation 370 a remove poly gate module process
  • MEOL middle end of line
  • the OD module process may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 374 ), and diffusion/ion implantation operations (operation 376 ) such as P-well or N-well implantation, P+ implantation, and N+ implantation.
  • the gate module process comprises operations such as depositing isolation oxide material (operation 378 ), dummy poly deposition (operation 380 ), dummy poly photolithography/etching (operation 382 ), etching isolation oxide material (operation 384 ), and nitride spacer formation (operation 386 ).
  • the source/drain module process may comprise source/drain epitaxial growth operations (operation 388 ), source/drain implantation operations (operation 390 ), and interlayer dielectric deposition and etching (operation 392 ).
  • the remove poly gate module process (operation 370 ) comprises dummy poly/isolation oxide removal (operation 394 ) and isolation oxide/high K/metal gate deposition and CMP (operation 396 ).
  • the middle end of line (“MEOL”) module process (operation 372 ), may comprise M0 photolithography and etching operations (operation 397 ), salicidation (operation 398 ), and M0 deposition and CMP (operation 399 ).
  • FIG. 22A depicts an isometric view of the semiconductor structure after the BEOL operations (e.g., operation 308 of FIG. 17 ), including the addition of a M1 metal 431 , are complete.
  • FIG. 22B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 22A .
  • These figures show the first buried oxide layer 404 above the substrate 402 , a first transistor layer 433 above the buried oxide 404 , a second buried oxide layer 430 above the first transistor layer 433 , a second transistor layer 435 above the second buried oxide 430 , and a back-end-of-line (“BEOL”) layer 437 above the second transistor layer 435 .
  • a bonding surface 438 comprises the top surface 429 of the first transistor layer 433 and the bottom surface of the second buried oxide layer 430 .
  • the patterned top surface of the first transistor layer 433 is arranged in a manner that allows for the second buried oxide 430 to be bonded thereto without the need for a glue/buffer region between the patterned surface of the first transistor layer 433 and the second buried oxide 430 .
  • the atom link between the buried oxide and top surface of first device layer may be sufficiently strong.
  • a semiconductor structure could comprise three or more semiconductor device layers wherein the first semiconductor device layer includes a SOI substrate and the second, third or more layers include a SOI substrate.
  • a semiconductor structure with multiple semiconductor device layers could include one layer containing PMOS devices and another layer containing NMOS devices.
  • This written description provided an example of a patterned surface.
  • the patterned surface may include other materials and material combinations.
  • the patterned surface may include other shapes of patterns on the bonding surface.

Abstract

A multilayer semiconductor device structure comprising a first buried oxide and a first semiconductor device layer fabricated above the first buried oxide is provided. The first semiconductor device layer comprises a patterned top surface. The patterned surface comprises insulator material and conductor material. The surface density of the insulator material is greater than 40 percent. The multilayer semiconductor device structure further comprises a second buried oxide bonded to the patterned surface of the first semiconductor device layer and a second semiconductor device layer fabricated above the second buried oxide.

Description

    FIELD
  • The technology described in this patent document relates generally to semiconductor devices and more particularly to a semiconductor structure having multiple semiconductor device layers.
  • BACKGROUND
  • Integrated circuits (“ICs”) may comprise one or more types of semiconductor devices such as n-channel MOSFET (“NMOS”) devices, p-channel MOSFET (“PMOS”) devices, bipolar junction transistor (“BJT”) devices, diode devices, and capacitors devices among others. Different types of devices can present different design considerations for a semiconductor designer. ICs may also include circuits that have different circuit functions such as ICs having analog functions, logic functions and memory functions.
  • SUMMARY
  • In accordance with the teachings described herein, provided are a semiconductor structure having multiple semiconductor device layers and a method for fabricating a multilevel semiconductor device structure. In one example, a multilayer semiconductor device structure comprises a first buried oxide and a first semiconductor device layer fabricated above the first buried oxide. The first semiconductor device layer comprises a patterned top surface. The patterned surface comprises insulator material and conductor material. The surface density of the insulator material is greater than 40 percent. The multilayer semiconductor device structure further comprises a second buried oxide bonded to the patterned surface of the first semiconductor device layer and a second semiconductor device layer fabricated above the second buried oxide.
  • In another example, a method of fabricating a multilayer semiconductor device structure is provided. The method comprises providing a first wafer comprising first channel material bonded to a first buried oxide layer and fabricating a first semiconductor device layer from the first channel material. The first semiconductor device layer comprises a patterned top surface. The patterned surface comprises insulator material and conductor material. The surface density of the insulator material is greater than 40 percent. The method further comprises providing a second wafer comprising second channel material bonded to a second buried oxide layer, bonding the second buried oxide to the patterned surface of the first semiconductor device layer, fabricating a second semiconductor device layer from the second channel material, and interconnecting a feature of the first semiconductor device with a feature of the second semiconductor device.
  • In yet another example, a method of fabricating a multilayer semiconductor device structure is provided. The method comprises providing a first silicon on insulator (“SOI”) wafer comprising first channel material bonded to a first buried oxide layer and fabricating a first semiconductor device layer from the first channel material. The first semiconductor device layer comprises a patterned top surface. The patterned surface comprises insulator material and conductor material. The surface density of the conductor material is less than 57 percent. The method further comprises providing a second SOI wafer comprising second channel material bonded to a second buried oxide layer, bonding the second buried oxide to the patterned surface of the first semiconductor device layer, and fabricating a second semiconductor device layer from the second channel material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of an example semiconductor structure having multiple transistor layers.
  • FIG. 2 is a process flow chart depicting an example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers.
  • FIG. 3 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 4 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 5 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 6A depicts an isometric view of an example SOI wafer that may be provided for use with the methods described in this document.
  • FIG. 6B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 6A.
  • FIG. 7A depicts an isometric view of an example semiconductor structure after completion of the OD module process.
  • FIG. 7B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 7A.
  • FIG. 8A depicts an isometric view of an example SOI wafer after completion of the gate module process.
  • FIG. 8B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 8A.
  • FIG. 9A depicts an isometric view of a semiconductor structure after source/drain epitaxial growth operations and source/drain implantation operations.
  • FIG. 9B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 9A.
  • FIG. 10A depicts an isometric view of a semiconductor structure after interlayer dielectric deposition and etching.
  • FIG. 10B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 10A.
  • FIG. 11A depicts an isometric view of a semiconductor structure after dummy poly/isolation oxide removal and isolation oxide/high K/metal gate deposition and CMP.
  • FIG. 11B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 11A.
  • FIG. 12A depicts an isometric view of a semiconductor structure after a MEOL module process.
  • FIG. 12B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 12A.
  • FIG. 13A depicts an isometric view of the semiconductor structure after wafer bonding operations.
  • FIG. 13B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 13A.
  • FIG. 14A depicts an isometric view of a semiconductor structure after BEOL operations.
  • FIG. 14B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 14A.
  • FIG. 15 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers.
  • FIG. 16 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 17 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers.
  • FIG. 18A depicts an isometric view of an example semiconductor structure after completion of a gate module process.
  • FIG. 18B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 18A.
  • FIG. 19A depicts an isometric view of a semiconductor structure after source/drain module completion.
  • FIG. 19B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 19A.
  • FIG. 20A depicts an isometric view of a semiconductor structure after a MEOL module process.
  • FIG. 20B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 20A.
  • FIG. 21A depicts an isometric view of the semiconductor structure after wafer bonding operations.
  • FIG. 21B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 21A.
  • FIG. 22A depicts an isometric view of a semiconductor structure after BEOL operations.
  • FIG. 22B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 22A.
  • DETAILED DESCRIPTION
  • Depicted in FIG. 1 is a cross-sectional view of an example semiconductor structure 10 having multiple transistor layers. The semiconductor structure comprises a substrate 12, a first buried oxide layer 14 above the substrate 12, a first transistor layer 16 above the buried oxide 14, a second buried oxide layer 18 above the first transistor layer 16, a second transistor layer 20 above the second buried oxide 18, and a back-end-of-line (“BEOL”) layer 22 above the second transistor layer 20. The first transistor layer 16 comprises multiple components such as source regions, drain regions, and gate regions of transistors, ILD0 oxide, nitride spacers, and metal material. The top surface of the first transistor layer 16 is a patterned surface 24 comprising ILD0 oxide, nitride spacer material, gate material, and metal material arranged in a predetermined pattern.
  • The patterned surface 24 is arranged in a manner that allows for the second buried oxide layer 18 to be bonded thereto without the need for a glue/buffer region between the patterned surface 24 and the second buried oxide layer 18. By appropriately designing and controlling the pattern densities of gate material, the M0 metal material, and the ILDO oxide on the top surface of first device layer 16, the atom link between the buried oxide and top surface 24 of first device layer may be sufficiently strong without depositing an additional blanket glue/buffer layer on the top of first device layer for strengthening the bonding surface. An appropriately arranged patterned surface may simplify the bonding process because the depositing of a glue/buffer layer may not be needed. Additionally, the depth of contact hole etching and filling from M1 to first gate and from M1 to first M0 may be reduced, thereby reducing the process difficulty, as a result of not adding the thickness of a glue/buffer oxide layer.
  • Bonding a second buried oxide to a patterned surface may be accomplished because the buried oxide and first ILD0 oxide are homogeneous materials (oxide-based) and the atom link between these two materials is strong. The buried oxide and first gate metal and M0 metal, on the other hand, are non-homogeneous materials (insulator to conductor, such as oxide to metal) and the atom link between these two materials will not be as strong. In addition, typical metal gate CMP and M0 CMP will stop at the surface of ILD0 oxide and may produce a dishing effect in the gate and M0 metal region. It may also degrade the bonding strength between buried oxide and first gate and M0.
  • Therefore, the pattern density of the ILD0 oxide region (insulator region), the gate region (Type-I conductor region), and the M0 metal region (Type-II conductor region) should be arranged to ensure sufficient bonding strength without the need for a glue layer. In the example illustrated, the pattern density for the gate region is less than 28% and the pattern density for the M0 region is less than 29%. Alternatively, the pattern density of the ILD0 oxide region can be greater than 40%. Meeting these pattern density ratios should allow for the bonding of two transistor layers without the need for a glue/buffer region.
  • Additionally, using a high-k first or a non-high-k gate stack for the first transistor level can also increase the atom link at the bonding interface. This configuration can result in an increased ratio of ILD0 oxide in the bonding surface and enhance the atom link between bonding interface.
  • FIG. 2 is a process flow chart depicting an example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers. At operation 100, a first semiconductor-on-insulator (“SOI”) wafer with a first channel material is provided for the first layer. Alternatively, at operation 100, a substrate with bond buried oxide and the first channel material may be provided.
  • At operation 102, the first transistor layer is fabricated. The first transistor layer may be fabricated using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process (e.g., doping, activation/surface, passivation/material consolidation), epitaxy, and material filling, among others. For example, the photolithography process may include forming a photoresist layer (resist), exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element. The masking element may then be used in an etching process. The etching may be performed using reactive ion etch (“RIE”) and/or other suitable processes. The fabrication of the first level comprises designing the layer such that the pattern density of the ILD0 oxide region is greater than 40%. Alternatively, fabrication of the first level comprises designing the layer such that the pattern density for the gate region is less than 28% and the pattern density for the M0 region is less than 29%.
  • After the devices on the first semiconductor layer are fabricated, a second semiconductor substrate is provided and bonded to the patterned top surface of the first semiconductor device layer (operation 104). The second semiconductor substrate comprises a semiconductor on insulator (“SOI”) substrate. The bottom surface of the insulator of the SOI substrate is bonding to the top surface of the first semiconductor layer. In some embodiments, the bonding surface of the insulator and patterned surface of the first semiconductor layer are processed to clean, remove excess particles, and make the surfaces hydrophobic or hydrophilic. After the surfaces are processed, the wafer containing the first semiconductor layer and the wafer containing the SOI substrate are aligned. After alignment the layers can be bonded through a touch and press process. The Van der Waals force will link the atoms of the interface between the bottom of the second semiconductor layer and the top of the first semiconductor layer together (this process may involve some plasma enhancement technique). Also a thermal procedure can be applied to enhance the linking of atoms at the interface. The resultant semiconductor structure can have a planarization process or CMP process applied to reduce the thickness of second semiconductor layer to the required thickness (e.g., 5-20 nm, the channel thickness of the second device).
  • After bonding, a second semiconductor device layer is fabricated on the second semiconductor substrate (operation 106). The second semiconductor layer may be fabricated using a number of using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process, epitaxy, and material filling, among others.
  • The second semiconductor substrate has a semiconductor on insulator (“SOI”) structure comprising a buried oxide and a second channel material. The buried oxide functions as an electrical insulator underneath the semiconductor channel material. The buried oxide may be formed from material such as SiO2, HfO, Al2O3 or other suitable oxide material. The electrical insulator functions to insulate the second channel material in the second semiconductor substrate from the devices formed on the first semiconductor device layer.
  • The second channel material may be formed from material such as Si, SiGe, GaAs, or others. The second channel material may be the same as or different from the semiconductor channel material used in the first semiconductor device layer. This can allow for selectively constructing certain semiconductor devices with the channel material of the first semiconductor substrate and other semiconductor devices with the channel material of the second semiconductor substrate. For example, the channel material of the first semiconductor substrate may be Ge and used for fabricating PMOS devices, and the channel material of the second semiconductor substrate may be GaAs and used for fabricating NMOS devices for boosting the performance of NMOS and PMOS transistors.
  • After fabricating the second semiconductor device layer, the semiconductor structure can be completed (operation 108). Completion comprises back end of line (“BEOL”) operations where the individual devices are interconnected with wiring on the multi-layer semiconductor structure. BEOL may include fabrication of contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections.
  • FIG. 3 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers. This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 100), fabricating the first semiconductor layer with an exposed patterned top surface (operation 102), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 104), fabricating the second device layer on the SOI substrate (operation 106), and completing the semiconductor structure with wiring and metallization layers (operation 108). The example method of FIG. 3 is similar to the example method of FIG. 2, but provides specific examples regarding how the first semiconductor layer may be fabricated.
  • In particular, fabrication of devices in the first semiconductor device layer, in this example, comprises an oxidation (“OD”) module process (operation 110), a gate module process (operation 112), a source/drain module process (operation 114), a remove poly gate module process (operation 116), and a middle end of line (“MEOL”) module process (operation 118).
  • FIG. 6A depicts an isometric view of an example SOI wafer 200 that may be provided for use with the methods described in FIG. 3 to fabricate a multi-layer semiconductor structure. FIG. 6B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 6A. The SOI wafer 200 comprises a silicon substrate 202 with a buried oxide layer 204 and first channel material 206 above the buried oxide layer 204. The first channel material may comprise Ge with N-well implantation. The buried oxide layer 204 may be formed from material such as SiO2, HfO2, Al2O3 or other suitable oxide material.
  • Referring back to FIG. 3, the OD module process (operation 110) may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 120), and diffusion/ion implantation operations (operation 122) such as P-well or N-well implantation, P+ implantation, and N+ implantation.
  • FIG. 7A depicts an isometric view of the semiconductor structure after completion of the OD module process (operation 110 of FIG. 3). FIG. 7B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 7A. Shown are OD fins 206 for the PMOS transistor above the buried oxide layer 204, which in turn is above the substrate 202.
  • Referring back to FIG. 3, the gate module process (operation 112) comprises operations such as depositing isolation oxide material (operation 124), dummy poly deposition (operation 126), dummy poly photolithography/etching (operation 128), etching isolation oxide material (operation 130), and nitride spacer formation (operation 132).
  • FIG. 8A depicts an isometric view of the example SOI wafer 200 after completion of the gate module process (operation 112 of FIG. 3). FIG. 8B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 8A. Shown are dummy poly 210, nitride spacers 212, and dummy isolation oxide 214.
  • Referring back to FIG. 3, the source/drain module process (operation 114) may comprise source/drain epitaxial growth operations (operation 134), source/drain implantation operations (operation 136), and interlayer dielectric deposition and etching (operation 138).
  • FIG. 9A depicts an isometric view of the semiconductor structure after source/drain epitaxial growth operations (operation 134 of FIG. 3) and source/drain implantation operations (operation 136 of FIG. 3). FIG. 9B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 9A. Shown is the source/drain material 216 after epitaxy and implantation.
  • FIG. 10A depicts an isometric view of the semiconductor structure after interlayer dielectric deposition and etching (operation 138 of FIG. 3). FIG. 10B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 10A. Shown is the deposited interlayer dielectric material 218.
  • Referring back to FIG. 3, the remove poly gate module process (operation 116) comprises dummy poly/isolation oxide removal (operation 140) and isolation oxide/high K/metal gate deposition and CMP (operation 142).
  • FIG. 11A depicts an isometric view of the semiconductor structure after dummy poly/isolation oxide removal (operation 140 of FIG. 3) and isolation oxide/high K/metal gate deposition and CMP (operation 142 of FIG. 3). FIG. 11B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 11A. These figures show that the dummy poly material is replaced by metal gate material 220 such as Al or W and also shows that the dummy IL is replaced by IL/HK 222.
  • The MEOL module process (operation 122) may comprise M0 photolithography and etching operations (operation 144), salicidation (operation 146), and M0 deposition and CMP (operation 148).
  • FIG. 12A depicts an isometric view of the semiconductor structure after the MEOL module process (operation 122 of FIG. 3). FIG. 12B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 12A. These figures show the addition of M0 metal material 224 above source and drain regions and also shows that silicide 226 between the M0 metal and the source and drain regions.
  • The local density of the ILD0 oxide 218 throughout the chip as measured by an arbitrary 1 um×1 um rectangle 228 should be larger than 40%. The ILD0 oxide can provide a stronger atom link to the bonding surface. In this embodiment, M0 metal 224 and MG gate metal 220 are appropriately designed and surrounded by ILD0 oxide to avoid large regions without ILD0 oxide.
  • FIG. 4 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers. This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 100), fabricating the first semiconductor layer with an exposed patterned top surface (operation 102), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 104), fabricating the second device layer on the SOI substrate (operation 106), and completing the semiconductor structure with wiring and metallization layers (operation 108). The example method of FIG. 4 is similar to the example method of FIG. 2, but provides specific examples regarding how the second semiconductor substrate may be bonded to the surface of the first semiconductor device.
  • In particular, referring to FIG. 4, bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer, in this example, comprises providing a separate substrate (operation 150), which will provide the second channel material. As an example, the substrate may comprise GaAs with an activated Be dopant. The example further comprises depositing a defect free buried oxide layer (operation 152) onto the substrate. In this example, the buried oxide comprises Al2O3, HfO2, SiO2, or some other suitable oxide material. In this example, the thickness of this layer is about 10 nm˜20 nm. The example further comprises H2/He implantation (operation 154). The deposited buried oxide and substrate are subjected to H2/He implantation to introduce the H2/He into the GaAs substrate to a level to weaken the interface atom linkage.
  • At operation 156, bonding the top surface of the buried oxide layer onto the top patterned surface of the first transistor level takes place. The surface of the buried oxide layer opposite the second channel material is bonded to the top patterned surface of the first transistor layer. The two bonding surfaces should be planarized to minimize the surface roughness and cleaned to remove particles before bonding. The example further comprises annealing operations to consolidate the bonding interface (operation 158). The example further comprises wafer cleaving (operation 160) at the H2/He implantation layer level. The substrate can be cleaved at the H2/He implantation layer level. The example further comprises GaAs CMP (operation 162) to reduce the size of the GaAs substrate. CMP for the GaAs channel material is undertaken to get the second channel material to a targeted thickness.
  • FIG. 13A depicts an isometric view of the semiconductor structure after wafer bonding (e.g., operation 104 of FIG. 4) is complete. FIG. 13B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 13A. These figures show a second buried oxide 230 with second channel material 232 bonded to the top patterned surface 229 of the first transistor layer.
  • FIG. 5 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers. This example method also comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 100), fabricating the first semiconductor layer with an exposed patterned top surface (operation 102), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 104), fabricating the second device layer on the SOI substrate (operation 106), and completing the semiconductor structure with wiring and metallization layers (operation 108). The example method of FIG. 5 is similar to the example method of FIG. 2, but provides specific examples regarding how the second semiconductor layer may be fabricated.
  • In particular, fabrication of devices in the second semiconductor device layer, in this example, comprises an oxidation (“OD”) module process (operation 164), a gate module process (operation 166), a source/drain module process (operation 168), a remove poly gate module process (operation 170), and a middle end of line (“MEOL”) module process (operation 172).
  • The OD module process (operation 148) may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 174), and diffusion/ion implantation operations (operation 176) such as P-well or N-well implantation, P+ implantation, and N+ implantation.
  • The gate module process (operation 150) comprises operations such as depositing isolation oxide material (operation 178), dummy poly deposition (operation 180), dummy poly photolithography/etching (operation 182), etching isolation oxide material (operation 184), and nitride spacer formation (operation 186).
  • The source/drain module process (operation 152), may comprise source/drain epitaxial growth operations (operation 188), source/drain implantation operations (operation 190), and interlayer dielectric deposition and etching (operation 192).
  • The remove poly gate module process (operation 170) comprises dummy poly/isolation oxide removal (operation 194) and isolation oxide/high K/metal gate deposition and CMP (operation 196).
  • The middle end of line (“MEOL”) module process (operation 154), may comprise M0 photolithography and etching operations (operation 197), salicidation (operation 198), and M0 deposition and CMP (operation 199).
  • After the second semiconductor device layer is fabricated, BEOL operations may take place. FIG. 14A depicts an isometric view of the semiconductor structure after the BEOL operations (e.g., operation 108 of FIG. 5), including the addition of a M1 metal 231, are complete. FIG. 14B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 14A. These figures show the first buried oxide layer 204 above the substrate 202, a first transistor layer 233 above the buried oxide 204, a second buried oxide layer 230 above the first transistor layer 233, a second transistor layer 235 above the second buried oxide 230, and a back-end-of-line (“BEOL”) layer 237 above the second transistor layer 235. A bonding surface 238 comprises the top surface 229 of the first transistor layer 233 and the bottom surface of the second buried oxide layer 230.
  • The patterned top surface of the first transistor layer 233 is arranged in a manner that allows for the second buried oxide 230 to be bonded thereto without the need for a glue/buffer region between the patterned surface of the first transistor layer 233 and the second buried oxide 230. By appropriately designing and controlling the pattern densities of gate metal material, the M0 metal material, and the ILD0 oxide on the top surface of the first transistor layer 233, the atom link between the buried oxide and top surface of first device layer may be sufficiently strong.
  • FIG. 15 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device (or transistor) layers. At operation 300, a first semiconductor-on-insulator (“SOI”) wafer with a first channel material is provided for the first layer. Alternatively, at operation 300, a substrate with bond buried oxide and the first channel material may be provided.
  • At operation 302, the first transistor layer is fabricated. The first semiconductor layer may be fabricated using a number of using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process, epitaxy, and material filling, among others. The fabrication of the first level comprises designing the layer such that the pattern density of the ILD0 oxide region is greater than 40%. Alternatively, fabrication of the first level comprises designing the layer such that the pattern density for the gate region is less than 28% and the pattern density for the M0 region is less than 29%.
  • After the devices on the first semiconductor layer are fabricated, a second semiconductor substrate is provided and bonded to the patterned top surface of the first semiconductor device layer (operation 304). The second semiconductor substrate comprises a semiconductor on insulator (“SOI”) substrate. The bottom surface of the insulator of the SOI substrate is bonding to the top surface of the first semiconductor layer.
  • After bonding, a second semiconductor device layer is fabricated on the second semiconductor substrate (operation 306). The second semiconductor layer may be fabricated using a number of using suitable processes including photolithography, etching, cleaning, chemical mechanical polishing/planarization (“CMP”), thin film deposition, thermal process, epitaxy, and material filling, among others.
  • The second semiconductor substrate has a semiconductor on insulator (“SOI”) structure comprising a buried oxide and a second channel material. The buried oxide functions as an electrical insulator underneath the semiconductor channel material. The buried oxide may be formed from material such as SiO2, HfO, Al2O3 or other suitable oxide material. The electrical insulator functions to insulate the second channel material in the second semiconductor substrate from the devices formed on the first semiconductor device layer.
  • The second channel material may be formed from material such as Si, SiGe, GaAs, or others. The second channel material may be the same as or different from the semiconductor channel material used in the first semiconductor device layer. This can allow for selectively constructing certain semiconductor devices with the channel material of the first semiconductor substrate and other semiconductor devices with the channel material of the second semiconductor substrate. For example, the channel material of the first semiconductor substrate may be Ge and used for fabricating PMOS devices, and the channel material of the second semiconductor substrate may be GaAs and used for fabricating NMOS devices for boosting the performance of NMOS and PMOS transistors.
  • After fabricating the second semiconductor device layer, the semiconductor structure can be completed (operation 308). Completion comprises back end of line (“BEOL”) operations where the individual devices are interconnected with wiring on the multi-layer semiconductor structure. BEOL may include fabrication of contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections.
  • In particular, fabrication of devices in the first semiconductor device layer, in this example, comprises an oxidation (“OD”) module process (operation 310), a gate module process (operation 312), a source/drain module process (operation 314), and a middle end of line (“MEOL”) module process (operation 318).
  • The OD module process (operation 310) may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 320), and diffusion/ion implantation operations (operation 322) such as P-well or N-well implantation, P+ implantation, and N+ implantation.
  • The gate module process (operation 312) comprises operations such as depositing isolation oxide material (operation 324), dummy poly deposition (operation 326), dummy poly photolithography/etching (operation 328), etching isolation oxide material (operation 330), and nitride spacer formation (operation 332).
  • FIG. 18A depicts an isometric view of the example semiconductor structure after completion of the gate module process (operation 312 of FIG. 15). FIG. 18B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 18A. Shown are dummy poly 410, nitride spacers 412, and dummy isolation oxide 414.
  • Referring back to FIG. 15, the source/drain module process (operation 314) may comprise source/drain epitaxial growth operations (operation 334), source/drain implantation operations (operation 336), and interlayer dielectric deposition and etching (operation 338).
  • FIG. 19A depicts an isometric view of the semiconductor structure after source/drain module completion (operation 314 of FIG. 15). FIG. 19B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 19A. Shown is the deposited interlayer dielectric material 418.
  • Referring back to FIG. 15, the MEOL module process (operation 122) may comprise M0 photolithography and etching operations (operation 344), salicidation (operation 346), and M0 deposition and CMP (operation 348).
  • FIG. 20A depicts an isometric view of the semiconductor structure after the MEOL module process (operation 318 of FIG. 15). FIG. 20B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 20A. These figures show the addition of M0 metal material 424 above source and drain regions and also shows that silicide 426 between the M0 metal and the source and drain regions.
  • The local density of the ILD0 oxide 418 throughout the chip as measured by an arbitrary 1 um×1 um rectangle 428 should be larger than 40%. The ILD0 oxide can provide a stronger atom link to the bonding surface. In this embodiment, M0 metal 424 and MG gate metal 420 are appropriately designed and surrounded by ILD0 oxide to avoid large regions without ILD0 oxide.
  • FIG. 16 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers. This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 300), fabricating the first semiconductor layer with an exposed patterned top surface (operation 302), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 304), fabricating the second device layer on the SOI substrate (operation 306), and completing the semiconductor structure with wiring and metallization layers (operation 308). The example method of FIG. 16 is similar to the example method of FIG. 15, but provides specific examples regarding how the second semiconductor substrate may be bonded to the surface of the first semiconductor device.
  • In particular, referring to FIG. 16, bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer, in this example, comprises providing a p-type GaAs substrate wafer (operation 350), which will provide the second channel material. As an example, the substrate may comprise GaAs with an activated Be dopant. The example further comprises depositing a defect free buried oxide layer (operation 352) onto the substrate. In this example, the buried oxide comprises Al2O3, HfO2, SiO2, or some other suitable oxide material. In this example, the thickness of this layer is about 10 nm˜20 nm. The example further comprises H2/He implantation (operation 354). The deposited buried oxide and substrate are subjected to H2/He implantation to introduce the H2/He into the GaAs substrate to a level to weaken the interface atom linkage.
  • At operation 356, bonding the top surface of the buried oxide layer onto the top patterned surface of the first transistor level takes place. The surface of the buried oxide layer opposite the second channel material is bonded to the top patterned surface of the first transistor layer. The two bonding surfaces should be planarized to minimize the surface roughness and cleaned to remove particles before bonding. The example further comprises annealing operations to consolidate the bonding interface (operation 358). The example further comprises wafer cleaving (operation 360) at the H2/He implantation layer level. The substrate can be cleaved at the H2/He implantation layer level. The example further comprises GaAs CMP (operation 362) to reduce the size of the GaAs substrate. CMP for the GaAs channel material is undertaken to get the second channel material to a targeted thickness.
  • FIG. 21A depicts an isometric view of the semiconductor structure after wafer bonding (e.g., operation 304 of FIG. 16) is complete. FIG. 21B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 21A. These figures show a second buried oxide 430 with second channel material 432 bonded to the top patterned surface 429 of the first transistor layer.
  • FIG. 17 is a process flow chart depicting another example method for creating a multi-layer semiconductor structure having two semiconductor device layers. This example method comprises providing a SOI wafer or bond buried oxide and first channel material on a substrate (operation 300), fabricating the first semiconductor layer with an exposed patterned top surface (operation 302), bonding the bottom insulator surface of a SOI substrate (or bond buried oxide and channel material) to the top patterned surface of the first semiconductor layer (operation 304), fabricating the second device layer on the SOI substrate (operation 306), and completing the semiconductor structure with wiring and metallization layers (operation 308). The example method of FIG. 17 is similar to the example method of FIG. 15, but provides specific examples regarding how the second semiconductor layer may be fabricated.
  • In particular, fabrication of devices in the second semiconductor device layer, in this example, comprises an oxidation (“OD”) module process (operation 364), a gate module process (operation 366), a source/drain module process (operation 368), a remove poly gate module process (operation 370), and a middle end of line (“MEOL”) module process (operation 372).
  • The OD module process (operation 364) may comprise a number of iterations of isolation oxide deposition and planarizing, photolithography and etching operations (operation 374), and diffusion/ion implantation operations (operation 376) such as P-well or N-well implantation, P+ implantation, and N+ implantation.
  • The gate module process (operation 366) comprises operations such as depositing isolation oxide material (operation 378), dummy poly deposition (operation 380), dummy poly photolithography/etching (operation 382), etching isolation oxide material (operation 384), and nitride spacer formation (operation 386).
  • The source/drain module process (operation 368), may comprise source/drain epitaxial growth operations (operation 388), source/drain implantation operations (operation 390), and interlayer dielectric deposition and etching (operation 392).
  • The remove poly gate module process (operation 370) comprises dummy poly/isolation oxide removal (operation 394) and isolation oxide/high K/metal gate deposition and CMP (operation 396).
  • The middle end of line (“MEOL”) module process (operation 372), may comprise M0 photolithography and etching operations (operation 397), salicidation (operation 398), and M0 deposition and CMP (operation 399).
  • After the second semiconductor device layer is fabricated, BEOL operations may take place. FIG. 22A depicts an isometric view of the semiconductor structure after the BEOL operations (e.g., operation 308 of FIG. 17), including the addition of a M1 metal 431, are complete. FIG. 22B provides a cross-sectional view of the semiconductor structure from cutline 1 of FIG. 22A. These figures show the first buried oxide layer 404 above the substrate 402, a first transistor layer 433 above the buried oxide 404, a second buried oxide layer 430 above the first transistor layer 433, a second transistor layer 435 above the second buried oxide 430, and a back-end-of-line (“BEOL”) layer 437 above the second transistor layer 435. A bonding surface 438 comprises the top surface 429 of the first transistor layer 433 and the bottom surface of the second buried oxide layer 430.
  • The patterned top surface of the first transistor layer 433 is arranged in a manner that allows for the second buried oxide 430 to be bonded thereto without the need for a glue/buffer region between the patterned surface of the first transistor layer 433 and the second buried oxide 430. By appropriately designing and controlling the pattern densities of gate metal material, the M0 metal material, and the ILD0 oxide on the top surface of the first transistor layer 433, the atom link between the buried oxide and top surface of first device layer may be sufficiently strong.
  • This written description uses examples to disclose the invention, include the best mode, and also to enable a person skilled in the art to make and use the invention. The patentable scope of the invention may include other examples that occur to those skilled in the art. For example, a semiconductor structure could comprise three or more semiconductor device layers wherein the first semiconductor device layer includes a SOI substrate and the second, third or more layers include a SOI substrate. In another example, a semiconductor structure with multiple semiconductor device layers could include one layer containing PMOS devices and another layer containing NMOS devices. This written description provided an example of a patterned surface. In another embodiment the patterned surface may include other materials and material combinations. In other embodiments, the patterned surface may include other shapes of patterns on the bonding surface.
  • One of ordinary skill in the art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. Well-known structures, materials, or operations may not be shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Various embodiments shown in the figures are illustrative example representations and are not necessarily drawn to scale. Particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments. Various operations may be described as multiple discrete operations in turn, in a manner that is most helpful in understanding the invention. However, the order of description should not be construed as to imply that these operations are necessarily order dependent. In particular, these operations need not be performed in the order of presentation. Operations described herein may be performed in a different order, in series or in parallel, than the described embodiment. Various additional operations may be performed and/or described. Operations may be omitted in additional embodiments.
  • This written description and the following claims may include terms, such as left, right, top, bottom, over, under, upper, lower, first, second, etc. that are used for descriptive purposes only and are not to be construed as limiting. For example, terms designating relative vertical position may refer to a situation where a device side (or active surface) of a substrate or integrated circuit is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and may still fall within the meaning of the term “top.” The term “on” as used herein (including in the claims) may not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer. The embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations. Persons skilled in the art will recognize various equivalent combinations and substitutions for various components shown in the figures.

Claims (20)

1. A multilayer semiconductor device structure, comprising:
a first buried oxide;
a first semiconductor device layer fabricated above the first buried oxide and comprising a patterned top surface, the patterned surface comprising insulator material and conductor material, wherein the pattern density of the insulator material is greater than 40 percent;
a second buried oxide bonded to the patterned surface of the first semiconductor device layer; and
a second semiconductor device layer fabricated above the second buried oxide.
2. The semiconductor device structure of claim 1, wherein the pattern density of the conductor material is less than 57 percent.
3. The semiconductor device structure of claim 1, wherein the first semiconductor device layer is fabricated from a first type of channel material and the second semiconductor device layer is fabricated from a second type of channel material.
4. The semiconductor device structure of claim 3, wherein the first type of channel material is different from the second type of channel material.
5. The semiconductor device structure of claim 1, wherein one type of device is exclusively fabricated on one of the first semiconductor device layer and the second semiconductor device layer and another type of device is exclusively fabricated on the other of the first semiconductor device layer and the second semiconductor device layer.
6. The semiconductor device structure of claim 5, wherein the one type of device comprises a PMOS device and the other type of device comprises a NMOS device.
7. The semiconductor device structure of claim 1, wherein the first buried oxide and the first semiconductor device layer are derived from a semiconductor-on-insulator (SOI) substrate.
8. The semiconductor device structure of claim 7, wherein the second buried oxide and the second semiconductor device layer are derived from a semiconductor-on-insulator (SOI) substrate.
9. A method of fabricating a multilayer semiconductor device structure, comprising:
providing a first wafer comprising first channel material bonded to a first buried oxide layer;
fabricating a first semiconductor device layer from the first channel material, the first semiconductor device layer comprising a patterned top surface, the patterned surface comprising insulator material and conductor material, wherein the pattern density of the insulator material is greater than 40 percent;
providing a second wafer comprising second channel material bonded to a second buried oxide layer;
bonding the second buried oxide to the patterned surface of the first semiconductor device layer;
fabricating a second semiconductor device layer from the second channel material; and
interconnecting a feature of the first semiconductor device with a feature of the second semiconductor device.
10. The method of claim 9, wherein providing a first wafer comprises providing a first silicon on insulator (SOI) wafer.
11. The method of claim 10, wherein providing a second wafer comprises providing a second SOI wafer.
12. The method of claim 9, wherein the pattern density of the conductor material is less than 57 percent.
13. The method of claim 9, wherein the first type of channel material is different from the second type of channel material.
14. The method of claim 9, wherein one type of device is exclusively fabricated on one of the first semiconductor device layer and the second semiconductor device layer and another type of device is exclusively fabricated on the other of the first semiconductor device layer and the second semiconductor device layer.
15. The method of claim 14, wherein the one type of device comprises a PMOS device and the other type of device comprises a NMOS device.
16. A method of fabricating a multilayer semiconductor device structure, comprising:
providing a first silicon on insulator (SOI) wafer comprising first channel material bonded to a first buried oxide layer;
fabricating a first semiconductor device layer from the first channel material, the first semiconductor device layer comprising a patterned top surface, the patterned surface comprising insulator material and conductor material, wherein the pattern density of the conductor material is less than 57 percent;
providing a second SOI wafer comprising second channel material bonded to a second buried oxide layer;
bonding the second buried oxide to the patterned surface of the first semiconductor device layer; and
fabricating a second semiconductor device layer from the second channel material.
17. The method of claim 16, wherein the pattern density of the insulator material is greater than 40 percent.
18. The method of claim 16, wherein the first type of channel material is different from the second type of channel material.
19. The method of claim 16, wherein one type of device is exclusively fabricated on one of the first semiconductor device layer and the second semiconductor device layer and another type of device is exclusively fabricated on the other of the first semiconductor device layer and the second semiconductor device layer.
20. The method of claim 14, wherein the one type of device comprises a PMOS device and the other type of device comprises a NMOS device.
US14/072,011 2013-11-05 2013-11-05 Systems and methods for a semiconductor structure having multiple semiconductor-device layers Active 2034-03-22 US9443869B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US14/072,011 US9443869B2 (en) 2013-11-05 2013-11-05 Systems and methods for a semiconductor structure having multiple semiconductor-device layers
TW103110841A TWI593026B (en) 2013-11-05 2014-03-24 Multilayer semiconductor device and method for forming the same
CN201410431333.XA CN104617101B (en) 2013-11-05 2014-08-28 The system and method for semiconductor structure with multiple semiconductor device layers
KR1020140145420A KR101649799B1 (en) 2013-11-05 2014-10-24 Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US15/262,136 US9773809B2 (en) 2013-11-05 2016-09-12 Systems and methods for a semiconductor structure having multiple semiconductor-device layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/072,011 US9443869B2 (en) 2013-11-05 2013-11-05 Systems and methods for a semiconductor structure having multiple semiconductor-device layers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/262,136 Division US9773809B2 (en) 2013-11-05 2016-09-12 Systems and methods for a semiconductor structure having multiple semiconductor-device layers

Publications (2)

Publication Number Publication Date
US20150123202A1 true US20150123202A1 (en) 2015-05-07
US9443869B2 US9443869B2 (en) 2016-09-13

Family

ID=53006403

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/072,011 Active 2034-03-22 US9443869B2 (en) 2013-11-05 2013-11-05 Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US15/262,136 Active US9773809B2 (en) 2013-11-05 2016-09-12 Systems and methods for a semiconductor structure having multiple semiconductor-device layers

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/262,136 Active US9773809B2 (en) 2013-11-05 2016-09-12 Systems and methods for a semiconductor structure having multiple semiconductor-device layers

Country Status (4)

Country Link
US (2) US9443869B2 (en)
KR (1) KR101649799B1 (en)
CN (1) CN104617101B (en)
TW (1) TWI593026B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9646872B2 (en) 2013-11-13 2017-05-09 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10420171B2 (en) 2016-08-26 2019-09-17 Qualcomm Incorporated Semiconductor devices on two sides of an isolation layer

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI697096B (en) * 2016-06-14 2020-06-21 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
US10522650B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11393818B2 (en) 2018-03-28 2022-07-19 Intel Corporation Stacked transistors with Si PMOS and high mobility thin film transistor NMOS

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080283958A1 (en) * 2007-05-17 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20090224364A1 (en) * 2003-06-24 2009-09-10 Oh Choonsik Semiconductor circuit and method of fabricating the same
US7723851B2 (en) * 2007-09-11 2010-05-25 International Business Machines Corporation Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US20110091685A1 (en) * 2009-10-21 2011-04-21 International Business Machines Corporation Polymeric edge seal for bonded substrates
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US20120003808A1 (en) * 2010-07-02 2012-01-05 Besang Inc. Semiconductor memory device and method of fabricating the same
US20130082235A1 (en) * 2011-10-04 2013-04-04 Qualcomm Incorporated Monolithic 3-d integration using graphene

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW437078B (en) * 1998-02-18 2001-05-28 Canon Kk Composite member, its separation method, and preparation method of semiconductor substrate by utilization thereof
CN1459851A (en) * 2002-05-15 2003-12-03 台湾积体电路制造股份有限公司 Adhesion type crystal edge structure
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US7312487B2 (en) 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
CN100342550C (en) * 2004-10-15 2007-10-10 中国科学院上海微系统与信息技术研究所 Structure of double-grid metal oxide semiconductor transistor and producig method thereof
US7371662B2 (en) * 2006-03-21 2008-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a 3D interconnect and resulting structures

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090224364A1 (en) * 2003-06-24 2009-09-10 Oh Choonsik Semiconductor circuit and method of fabricating the same
US20080283958A1 (en) * 2007-05-17 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7723851B2 (en) * 2007-09-11 2010-05-25 International Business Machines Corporation Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US20110091685A1 (en) * 2009-10-21 2011-04-21 International Business Machines Corporation Polymeric edge seal for bonded substrates
US20110143506A1 (en) * 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US20120003808A1 (en) * 2010-07-02 2012-01-05 Besang Inc. Semiconductor memory device and method of fabricating the same
US20130082235A1 (en) * 2011-10-04 2013-04-04 Qualcomm Incorporated Monolithic 3-d integration using graphene

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9646872B2 (en) 2013-11-13 2017-05-09 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10062601B2 (en) 2013-11-13 2018-08-28 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10515846B2 (en) 2013-11-13 2019-12-24 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10916469B2 (en) 2013-11-13 2021-02-09 Taiwan Semiconductor Manufacturing Company, Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10420171B2 (en) 2016-08-26 2019-09-17 Qualcomm Incorporated Semiconductor devices on two sides of an isolation layer

Also Published As

Publication number Publication date
US9443869B2 (en) 2016-09-13
TWI593026B (en) 2017-07-21
KR20150051877A (en) 2015-05-13
KR101649799B1 (en) 2016-08-19
CN104617101A (en) 2015-05-13
US9773809B2 (en) 2017-09-26
TW201519325A (en) 2015-05-16
US20160379994A1 (en) 2016-12-29
CN104617101B (en) 2017-11-24

Similar Documents

Publication Publication Date Title
US9773809B2 (en) Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US10916469B2 (en) Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US6320228B1 (en) Multiple active layer integrated circuit and a method of making such a circuit
US20200365621A1 (en) Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US20170309642A1 (en) Systems and Methods for a Semiconductor Structure Having Multiple Semiconductor-Device Layers
US9929158B2 (en) Systems and methods for integrating different channel materials into a CMOS circuit by using a semiconductor structure having multiple transistor layers
US9331075B2 (en) Systems and methods for fabricating semiconductor devices at different levels
US20120126334A1 (en) Breakdown voltage improvement with a floating substrate
CN116344620A (en) MOS structure with shielding grid and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, YI-TANG;TSAI, CHUN-HSIUNG;WANN, CLEMENT HSINGJEN;SIGNING DATES FROM 20131022 TO 20131031;REEL/FRAME:031545/0615

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4