US20150243374A1 - Device and method for repairing memory cell and memory system including the device - Google Patents

Device and method for repairing memory cell and memory system including the device Download PDF

Info

Publication number
US20150243374A1
US20150243374A1 US14/698,219 US201514698219A US2015243374A1 US 20150243374 A1 US20150243374 A1 US 20150243374A1 US 201514698219 A US201514698219 A US 201514698219A US 2015243374 A1 US2015243374 A1 US 2015243374A1
Authority
US
United States
Prior art keywords
memory
fail address
memory device
test
address
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/698,219
Other versions
US9659669B2 (en
Inventor
Kyo-Min Sohn
Ho-young Song
Sang-joon Hwang
Cheol Kim
Dong-Hyun SOHN
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US14/698,219 priority Critical patent/US9659669B2/en
Publication of US20150243374A1 publication Critical patent/US20150243374A1/en
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SOHN, DONG-HYUN, HWANG, SANG-JOON, KIM, CHEOL, SONG, HO-YOUNG, SOHN, KYO-MIN
Priority to US15/395,213 priority patent/US9953725B2/en
Priority to US15/498,855 priority patent/US9831003B2/en
Application granted granted Critical
Publication of US9659669B2 publication Critical patent/US9659669B2/en
Priority to US15/795,595 priority patent/US10347355B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/44Indication or identification of errors, e.g. for repair
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3187Built-in tests
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/16Error detection or correction of the data by redundancy in hardware
    • G06F11/20Error detection or correction of the data by redundancy in hardware using active fault-masking, e.g. by switching out faulty elements or by switching in spare elements
    • G06F11/2053Error detection or correction of the data by redundancy in hardware using active fault-masking, e.g. by switching out faulty elements or by switching in spare elements where persistent mass storage functionality or persistent mass storage control functionality is redundant
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/27Built-in tests
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B20/00Signal processing not specific to the method of recording or reproducing; Circuits therefor
    • G11B20/10Digital recording or reproducing
    • G11B20/18Error detection or correction; Testing, e.g. of drop-outs
    • G11B20/1816Testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4078Safety or protection circuits, e.g. for preventing inadvertent or unauthorised reading or writing; Status cells; Test cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4094Bit-line management or control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4096Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/16Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/18Auxiliary circuits, e.g. for writing into memory
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/027Detection or location of defective auxiliary circuits, e.g. defective refresh counters in fuses
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/36Data generation devices, e.g. data inverters
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/38Response verification devices
    • G11C29/42Response verification devices using error correcting codes [ECC] or parity check
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/44Indication or identification of errors, e.g. for repair
    • G11C29/4401Indication or identification of errors, e.g. for repair for self repair
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor
    • G11C29/56008Error analysis, representation of errors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/785Masking faults in memories by using spares or by reconfiguring using programmable devices with redundancy programming schemes
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/06Address interface arrangements, e.g. address buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/10Decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C2029/4402Internal storage of test result, quality data, chip identification, repair information
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor
    • G11C2029/5606Error catch memory

Definitions

  • the inventive concept relates to a memory device test system, and more particularly, to a method and apparatus for repairing a memory cell of a memory device including a non-volatile storage device, and a system including the memory device.
  • a semiconductor chip is manufactured according to a semiconductor manufacturing process, and is then tested in the form of a wafer, die, or package using test equipment. Through the test, a defective portion or a defective chip may be sorted out. When some memory cells of a semiconductor chip are defective, the semiconductor chip is fixed by restoring such defective memory cells.
  • DRAM dynamic random access memory
  • test device for reliably repairing a memory cell
  • test method for reliably repairing a memory cell
  • memory test system including a test device and method for reliably repairing a memory cell
  • a memory system comprising a memory device including a memory cell array and a non-volatile memory (NVM) storage array and a test device configured to determine a fail address of the memory cell array and to transmit the fail address to the memory device for storage in the NVM storage array.
  • NVM non-volatile memory
  • the test device may form at least part of a system-on-chip (SOC).
  • SOC system-on-chip
  • the NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m ⁇ n array, and wherein in and n are each at least 2.
  • the test device may comprise an error correcting code (ECC) engine configured to determine the fail address.
  • ECC error correcting code
  • the test device may comprise a built-in-self-test (BIST) circuit configured to determine the fail address.
  • BIST built-in-self-test
  • the test device may further comprise an ECC engine and the test device may be configured to determine the fail address using one of the BIST circuit and the ECC engine.
  • the test device may comprise a fail address memory (FAM) configured to temporarily store the fail address and a control unit configured to control storage of the fail address in the FAM and to output the fail address from the FAM to the memory device for storage in the non-volatile storage array.
  • FAM fail address memory
  • the test device may comprise: at least one of an ECC engine and BIST circuit configured to determine the fail address; a fail address memory (FAM) configured to temporarily store the fail address; a control unit responsive to test commands from a CPU to control the at least one ECC engine and BIST circuit and storage of the fail address in the FAM; an address output circuit configured to output the fail address from the FAM to the memory device for storage in the non-volatile storage array in response to the control unit; and a data buffer configured to buffer data input and output to the memory device.
  • FAM fail address memory
  • the test command from the CPU to the control unit may comprise one of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • the test device may form part of a system-on-chip (SOC) that further comprises: a CPU and a memory controller responsive to test commands from the CPU, the memory controller comprising the test device and a fail address memory (FAM) configured to temporarily store the fail address.
  • SOC system-on-chip
  • FAM fail address memory
  • the CPU may be configured to provide a test command to the memory controller to initiate a test of the memory device.
  • the test command from the CPU to the control unit may comprise one of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • the test device may form at least part of a test equipment circuit or apparatus.
  • the test equipment may further comprise: socket configured to temporarily secure the memory device; a probe card configured to electrically interface to the memory device; and a pattern generator configured to generate various test data that are provided to test the memory device.
  • the NVM storage array may be an anti-fuse array.
  • the memory device may further comprise a temporary fail address storage (TFAS) device, which is configured for temporary storage of the fail address.
  • TFAS temporary fail address storage
  • the memory device may further comprise a control unit coupled to the TFAS device and the NVM storage array.
  • the TFAS device may be configured to transfer the fail address to the NVM storage array in response to a mode enable signal provided by the control unit.
  • the control unit may be configured to sense the fail address stored in the NVM storage array, verify the fail address, and to transmit the fail address to the test device.
  • the memory device may further comprise a repair address register coupled to the NVM storage array; a comparing unit coupled to the repair address register and configured to compare the fail address with an external address; and a multiplexer coupled to the comparing unit and the memory cell array, the multiplexer configured to select one of the fail address and the external address based on a comparing result of the comparing unit.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a memory device comprising: an array of memory cells configured for storing data; and a non-volatile memory (NVM) storage array configured for storing a fail address indicating a failed memory cell from the array of memory cells; a temporary fail address storage (TFAS) device, configured to temporarily store the fail address; and a control unit coupled to the TFAS device and the NVM storage array.
  • NVM non-volatile memory
  • TFAS temporary fail address storage
  • the non-volatile storage array may comprise an anti-fuse array, wherein the anti-fuse array is an m ⁇ n array, and wherein m and n are at least 2.
  • the control unit may be configured to verify the fail address.
  • the control unit may be configured to sense the fail address stored in the TFAS device and to program the fail address into the NVM storage array.
  • the memory device may further comprise a comparing unit configured to compare the fail address with an external address.
  • the memory device may further comprise an address buffer configured to receive the fail address and to temporarily store the fail address in the TFAS device.
  • the memory device may further comprise a decoding unit coupled to the control unit and configured to receive and decode a control signal and to generate a mode enable signal.
  • the memory device may further comprise an address buffer coupled to the TFAS device and configured to receive fail address and a control buffer coupled to the decoding unit and configured to receive the control signal.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a test device comprising an error detecting circuit configured to determine a fail address of a failed memory cell of a memory device, a fail address memory (FAM) configured to store the fail address, and a control unit operatively coupled to the FAM to control the output of the fail address memory to the memory device in response to test commands.
  • FAM fail address memory
  • the fail address memory may comprise a register, a static random access memory (SRAM), or a non-volatile memory.
  • SRAM static random access memory
  • the error detecting circuit may comprise an error correcting code (ECC) engine configured to determine the fail address.
  • ECC error correcting code
  • the error detecting circuit may comprise a built-in-self-test (BIST) circuit configured to determine the fail address.
  • BIST built-in-self-test
  • the test device may further comprise an ECC engine and the test device may be configured to determine the fail address using one of the BIST circuit and the ECC engine.
  • the test device may further comprise a data buffer circuit controlled by the control unit, and configured to receive and transmit data from and to the memory device, respectively.
  • the test command to the control unit may comprise one of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • the test device may form part of a system-on-chip (SOC) that further comprises a CPU and a memory controller responsive to test commands from the CPU, the memory controller comprising the test device and the FAM.
  • SOC system-on-chip
  • the test device may form at least part of a test equipment circuit or apparatus.
  • the test equipment may further comprises a socket configured to temporarily secure the memory device, a probe card configured to electrically interface to the memory device, and a pattern generator configured to generate various test data that are provided to test the memory device.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a method of operating a test device comprises determining a fail address using an error detecting circuit, storing the fail address in a fail address memory (FAM), and transmitting the fail address from the FAM to a memory device.
  • FAM fail address memory
  • the fail address memory may comprise a register, a static random access memory (SRAM), or a non-volatile memory.
  • SRAM static random access memory
  • the error testing circuit may comprise an error correcting code (ECC) engine that performs the determining of the fail address.
  • ECC error correcting code
  • the error testing circuit may comprise a built-in-self-test (BIST) circuit that performs the determining of the fail address.
  • BIST built-in-self-test
  • the method may further comprise issuing one or more test commands to the test device from a CPU.
  • the one or more test commands may comprise one or more of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • the method may further comprise, in response to a test command from the CPU, entering a fail address transmitting mode before transmitting the fail address from the FAM to the memory device.
  • the method claim may further comprise transmitting a control signal to the memory device, including transmitting a read command, a write command, a pre-charge command, and a mode register set command to the memory device, after the transmitting of the fail address from the FAM to the memory device.
  • the test device may form part of a system-on-chip (SOC) that further comprises a CPU and a memory controller responsive to test command from the CPU, the memory controller comprising the test device and the FAM.
  • SOC system-on-chip
  • the test device may form at least part of a test equipment comprising a test socket, a probe card, and a pattern generator.
  • the method may further comprise removably securing the memory device in the socket, electrically interfacing to the memory device using the probe card, and testing the memory device by providing various test data from the pattern generator to the memory device via the probe card.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a method of operating a memory device comprises receiving a read command, a write command, a pre-charge command, a mode register set command, and a fail address from the fail address memory (FAM), storing the fail address in a temporary fail address storage (TFAS) device, entering a program mode, and checking a capacity of a non-volatile memory (NVM) storage array of the memory device, wherein the NVM comprises an anti-fuse array.
  • FAM fail address memory
  • TFAS temporary fail address storage
  • NVM non-volatile memory
  • the method may further comprise programming the fail address to the NVM storage array.
  • the method may further comprise reading the programmed fail address from the NVM storage array and verifying the programmed fail address.
  • the method may further comprise sending a verification result to an external device.
  • the verification may be sent as parallel data.
  • the verification may be sent as serial data.
  • the method may further comprise replacing the fail bit memory cell with another memory cell of the memory device.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a method of testing a memory device comprises testing the memory device using a test device, determining a fail address of a memory cell of a memory cell array of the memory device, and storing the fail address in a non-volatile memory (NVM) storage array of the memory device.
  • NVM non-volatile memory
  • the NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m ⁇ n array, and wherein m and n are each at least 2.
  • the test device may comprise an ECC engine and the method may include determining the fail address with the ECC engine.
  • the test device may comprise a BIST circuit and the method may include determining the fail address with the MST circuit.
  • test device and the memory device may form part of a system-on-chip (SOC).
  • SOC system-on-chip
  • the method may further comprise the test device: determining the fail address using an error testing circuit, storing the fail address in a fail address memory (FAM), transmitting the fail address from the FAM to the memory device, and transmitting a control signal to the memory device, including transmitting a read command, a write command, a pre-charge command, and a mode register set command to the memory device.
  • FAM fail address memory
  • the method may further comprise the memory device receiving the read command, the write command, the pre-charge command, the mode register set command, and the fail address from the test device, storing the fail address in a temporary fail address storage (TFAS) device, entering a program mode, and checking a capacity of a NVM storage array.
  • TFAS temporary fail address storage
  • the method may further comprise programming the fail address to the NVM storage array.
  • the method may further comprise reading the programmed fail address from the NVM storage array and verifying the programmed fail address.
  • the method may further comprise sending a verification result to an external device.
  • the verification may be sent as parallel data.
  • the verification may be sent as serial data.
  • the method may further comprise replacing the fail bit memory cell with another memory cell of the memory device.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a memory system of a mobile device includes a memory device that comprises a memory cell array and a non-volatile memory (NVM) storage array and a built-in-self-test (BIST) circuit configured to determine a fail address of a memory cell of the memory cell array.
  • the system further comprises a test device configured to transmit a test command to the memory device to cause the BIST circuit to determine the fail address.
  • the NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m ⁇ n array, and wherein m and n are each at least 2.
  • the test device may be configured to transmit a fail address, a control signal, and a data signal to the memory device.
  • the memory device may further comprise a plurality of fail address memories (FAMs) configured to temporarily store the fail address.
  • FAMs fail address memories
  • the BIST circuit may be configured to transmit a fail flag and the fail address to at least one of the FAMs when the fail address is determined, wherein the fail address corresponds to a fail bit of a memory cell in the memory cell array.
  • the BIST circuit may be configured to store the fail address in at least one of the FAMs in response to a pre-charge command.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a method of operating a memory device having a memory cell array, a non-volatile memory (NVM) storage array, a built-in-self-test (BIST) circuit, and at least one fail address memory (FAM).
  • the method comprises receiving a test command and the BIST circuit: detecting a fail address of the memory cell array, wherein the fail address corresponds to a fail bit of a memory cell in the memory cell array, generating a fail flag; and transmitting the fail address and the fail flag to the at least one of the FAM.
  • the method further comprises storing the fail address in the at least one FAM, entering a program mode, and programming the fail address to the NVM.
  • the method may further comprise checking the capacity of the NVM storage array, prior to programming the fail address to the NVM storage array.
  • the method may further comprise verifying the fail address stored in the NVM storage array.
  • the method may further comprise repairing the fail bit.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • a memory system comprising: at least one memory device comprising a memory cell array and a non-volatile memory (NVM) storage array, a memory controller comprising a test device configured to determine a fail address of the memory cell array and to transmit the fail address to the at least one memory device for storage in the NVM storage array, and at least one communication link coupled between the controller and the at least one memory device.
  • NVM non-volatile memory
  • the NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m ⁇ n array, and wherein in and n are each at least 2.
  • the test device may comprise an error correcting code (ECC) engine configured to determine the fail address.
  • ECC error correcting code
  • the test device may comprise a built-in-self-test (BIST) circuit configured to determine the fail address.
  • BIST built-in-self-test
  • the test device may further comprise an ECC engine and the test device may be configured to determine the fail address using one of the BIST circuit and the ECC engine.
  • the memory controller may include a control unit coupled to an electrical-to-optical (E/O) transmitting interface and an optical-to-electrical (O/E) receiving interface.
  • the at least one memory device may include a memory core coupled to an electrical-to-optical (E/O) transmitting interface and an optical-to-electrical (O/E) receiving interface.
  • the at least one communication link may include a first optical link coupled between the controller E/O interface and the memory device O/E interface and a second optical link coupled between the controller O/E interface and the memory device E/O interface.
  • the communication link may be a bidirectional link.
  • the at least one memory device may comprise at least one memory chip and the memory controller may be part of an interface chip arranged in a stack with the at least one memory chip, wherein the at least one memory chip and interface chip are interconnected using micro-bump and through silicon via.
  • the controller may include a control unit having a multi-pin input/output (I/O) interface.
  • the at least one memory device may include a memory core coupled to a multi-pin I/O interface.
  • the at least one communication link may include a memory controller interface coupling pins of the control unit multi-pin I/O interface to corresponding pins of the memory device multi-pin I/O interface.
  • the memory controller interface may be configured to carry a command signal, a control signal, a memory address, a data strobe signal, and data between the controller and the at least one memory device.
  • the memory controller interface may be configured to carry a chip selection and address packet, and data between the controller and the at least one memory device.
  • the memory controller interface may be configured to carry a chip selection, address, and write data packet, and read data between the controller and the at least one memory device.
  • the memory controller interface may be configured to carry a command, address, and data packet, and a chip selection signal between the controller and the at least one memory device.
  • the memory system may form part of a computer system further comprising a user interface device, a CPU, and a bus connecting the CPU, user interface device, and the at least on memory device.
  • the CPU may include the test device.
  • the memory device may comprise a built-in-self-test (BIST) circuit.
  • BIST built-in-self-test
  • the memory system may form part of a computer system, further comprising a user interface device, a CPU, a random access memory, a modem, and a bus connecting the CPU, user interface device, modem, and the memory controller.
  • the memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • FIGS. 1 to 4 are conceptual diagrams of exemplary embodiments of memory test systems, in accordance with aspects of the inventive concept
  • FIG. 5 illustrates an exemplary embodiment of a circuit block of a test device, in accordance with aspects of the inventive concept
  • FIG. 6A is a diagram illustrating an exemplary embodiment of a system-on-chip (SOC) including a test device therein, in accordance with aspects of the inventive concept;
  • SOC system-on-chip
  • FIG. 6B is a diagram illustrating an exemplary embodiment of test equipment using a test device, in accordance with aspects of the inventive concept
  • FIG. 7 illustrates a circuit block of an exemplary embodiment of a memory device, in accordance with aspects of the inventive concept
  • FIG. 8 is a diagram illustrating an exemplary embodiment of a non-volatile storage device, in accordance with aspects of the inventive concept
  • FIG. 9 illustrates an exemplary embodiment of a structure of a memory module, in accordance with aspects of the inventive concept
  • FIGS. 10 and 11 are timing diagrams illustrating an exemplary embodiment of timing when a fail address is transmitted, in accordance with aspects of the inventive concept
  • FIG. 12 is a timing diagram illustrating an exemplary embodiment of timing when a verification result is transmitted in parallel, in accordance with aspects of the inventive concept
  • FIG. 13 is a table illustrating an exemplary embodiment of verification results to be transmitted in parallel, in accordance with aspects of the inventive concept
  • FIG. 14 is a timing diagram illustrating an exemplary embodiment of timing when verification results are transmitted, in accordance with aspects of the inventive concept
  • FIG. 15 is a table illustrating an exemplary embodiment of verification results to be transmitted in series, in accordance with aspects of the inventive concept
  • FIGS. 16 and 17 are timing charts illustrating an exemplary embodiment of a method of operating a test device, in accordance with aspects of the inventive concept
  • FIG. 18 is a conceptual diagram of exemplary embodiment of a memory test system, in accordance with another aspect of the inventive concept.
  • FIG. 19 illustrates a circuit block diagram of an exemplary embodiment of a memory device, in accordance with another aspect of the inventive concept
  • FIGS. 20 and 21 are timing diagrams illustrating an exemplary embodiment of an operation of a memory device, in accordance with aspects of the inventive concept
  • FIG. 22 is a flowchart illustrating an exemplary embodiment of a method of operating a memory device, according to aspects of the inventive concept
  • FIG. 23 is a diagram illustrating an exemplary embodiment of optical links of a memory test system, in accordance with aspects of the inventive concept
  • FIG. 24 illustrates an exemplary embodiment of through-silicon-via (TSV) stacked chips to which a memory test system is applied, in accordance with aspects of the inventive concept;
  • TSV through-silicon-via
  • FIG. 25 illustrates an exemplary embodiment of various interfaces of a memory test system, in accordance with aspects of the inventive concept.
  • FIGS. 26 and 27 are diagrams illustrating an exemplary embodiment of system connections of a memory test system, in accordance with aspects of the inventive concept.
  • FIGS. 1 to 4 are conceptual diagrams of embodiments of memory test systems in accordance with aspects of the inventive concept.
  • a memory test system includes a test device 100 and a memory device 200 .
  • the test device 100 transmits a control signal including a fail address, a command with instruction to operate the memory device 200 , and data DQ.
  • the test device 100 may be included in a memory controller or a piece of test equipment.
  • the memory device 200 includes a dynamic random access memory (DRAM), which is a type volatile memory.
  • the memory device 200 may include a non-volatile memory, e.g., a magneto-resistive RAM (MRAM), a resistive RAM (RRAM), a phase-change RAM (PRAM), or a NAND flash memory, as examples.
  • the memory device 200 includes a non-volatile storage device 280 including an anti-fuse array.
  • the non-volatile storage device 280 is used to store the fail address.
  • the memory device 200 operates according to the control signal, and transmits the data DQ to the test device 100 .
  • an embodiment of the test device 100 may include an error correcting code (ECC) engine 120 .
  • the ECC engine 120 may be configured to detect a fail bit and a fail address from data DQ received from a memory device 200 , and to correct the fail bit.
  • the memory device 200 includes anti-fuse array 280 , which stores the fail address received from the test device 100 .
  • a fail memory cell, from a memory array of the memory device 200 is repaired based on the stored fail address, as will be discussed below.
  • an embodiment of the test device 100 may include a built-in self-test (BIST) unit 120 .
  • the BIST unit 120 may be configured to test the test device 100 or the memory device 200 .
  • test data is generated and transmitted to the memory device 200 .
  • a fail memory cell is detected by writing the test data to a memory cell and then reading the test data from the memory cell.
  • a fail address that is the address of the fail memory cell, is temporarily stored in the test device 100 and is then transmitted to the memory device 200 .
  • the transmitted fail address is stored in the anti-fuse array 280 , and subsequently used to repair the fail memory cell corresponding to the fail address.
  • an embodiment of the test device 100 may include a BIST unit and an ECC engine 120 .
  • a memory device 200 may be tested using the BIST unit and a fail address may be stored in the anti-fuse array 280 included in the memory device 200 .
  • the fail address which is the address of a fail bit occurring during an operation of the memory device 200 , is detected using the ECC engine 120 , and is stored in the anti-fuse array of the memory device 200 .
  • the memory device 200 may be tested by using the BIST unit according to a test command given from a central processing unit (CPU). While the memory device 200 operates, the fail address may be detected using the ECC engine.
  • CPU central processing unit
  • FIG. 5 illustrates a circuit block diagram of an embodiment of a test device 100 , in accordance with aspects of the inventive concept.
  • the test device 100 includes a fail address memory (FAM) 110 , an ECC engine (and/or BIST unit) 120 , a control unit 130 , an address output buffer 140 , a control output unit 150 , and an input/output (I/O) data buffer 160 .
  • the fail address memory 110 stores a fail address ADD 141 detected by the ECC engine (or BIST unit) 120 .
  • the fail address memory 110 may be embodied as a register, a static random access memory (SRAM), or a non-volatile memory, as examples.
  • the address output buffer 140 is connected to the fail address memory 110 and transmits the fail address ADD 141 to the memory device 200 .
  • the control output unit 150 transmits a control signal 151 including a read command, a write command, a pre-charge command, a mode register set (MRS) command, and the like, to the memory device 200 .
  • the control output unit 150 is connected to and is controlled by control unit 130 .
  • the I/O data buffer 160 is controlled by the control unit 130 , and receives or transmits input/output (I/O) data.
  • the I/O data may include only test data for testing the memory device 200 .
  • Data received from the memory device 200 is transmitted to the ECC engine (and/or BIST unit) 120 via the I/O data buffer 160 .
  • the control unit 130 is connected to the ECC engine (and/or BIST unit) 120 , the fail address memory 110 , the address output unit 140 , the control output buffer 150 , and the I/O data buffer 160 .
  • the control unit 130 receives a test command from a CPU.
  • the test command may be corresponded to a test start command, a test exit command, a command that instructs a start of transmission of the fail address ADD, and a command that instructs an end of the transmission of the fail address ADD.
  • the fail address ADD 141 detected by the ECC engine (or BIST unit) 120 is controlled to be stored in the fail address memory 110 , according to the received test command. Also, transmission of the fail address ADD 141 and the control signal 151 are controlled using the address output unit 140 and the control output unit 150 .
  • FIG. 6A is a diagram illustrating an embodiment of a system-on-chip (SOC) 1100 having a test device 100 therein, in accordance with aspects of the inventive concept.
  • SOC system-on-chip
  • the SOC 1100 includes a CPU 1120 , a memory controller 1110 , and an interface 1130 .
  • the memory controller 1110 includes the test device 100 , in this embodiment.
  • the test device 100 includes an ECC engine (and/or BIST unit) 120 , a fail address memory (FAM) 110 , a control unit, and so on, which are elements of the test device 100 illustrated in FIG. 5 .
  • the memory controller 1110 is connected to the CPU 1120 to receive a test command Com from the CPU 1120 .
  • the test command Com may be corresponded to a test start command, a test exit command, a command that instructs to start of transmission of a fail address, and a command that instructs and end of the transmission of the fail address.
  • a fail address, a control signal, and data are transmitted to the memory device 200 via the interface 1130 .
  • FIG. 6B is a diagram illustrating test equipment 1200 using a test device 100 in accordance with an embodiment of the inventive concept.
  • the test equipment 1200 includes the test device 100 , a pattern generator 1210 , a probe card 1220 , and a socket 1230 .
  • the pattern generator 1200 generates various test data to test the memory device 200 .
  • the probe card 1220 directly contacts a test pad of the memory device 200 via a probe needle so as to transmit the test data.
  • the socket 1230 removably fixes the memory device 200 during a test of the memory device 200 .
  • FIG. 7 illustrates a circuit block diagram of an embodiment of a memory device 200 , in accordance with aspects of the inventive concept.
  • the memory device 200 includes an address buffer 210 , a control buffer 220 , a data buffer 230 , a decoding unit 240 , a repair address register 250 , a comparing unit 251 , a multiplexer (Mux) 252 , a temporary fail address storage (TFAS) 260 , a control unit 270 , an anti-fuse array 280 which is a non-volatile storage device, and a memory cell array 290 .
  • a fail address is received via the address buffer 210 and is temporarily stored in the temporary fail address storage 260 .
  • the temporary fail address storage 260 may be embodied as a register array, an SRAM, or a non-volatile memory, as examples.
  • the decoding unit 240 receives a control signal via the control buffer 220 , performs decoding, and generates a mode enable signal.
  • the control signal includes a read command, a write command, a pre-charge command, a mode register set signal, and the like.
  • the control unit 270 is activated according to the mode enable signal, and stores the fail address in the anti-fuse array 280 , which is a non-volatile memory storage device.
  • the control unit 270 senses the stored fail address to verify whether the fail address is accurately programmed.
  • a result of the programming is transmitted to the test device 100 via a data output pin.
  • the anti-fuse array 280 which is a non-volatile storage device, is connected to the repair address register 250 configured to store the fail address.
  • the repair address register 250 is connected to the comparing unit 251 , which is configured to compare the fail address with an external address.
  • the comparing unit 251 is connected to the multiplexer (Mux) 252 , which is configured to select one of the fail address and the external address.
  • Data received via the I/O data buffer 230 may be used as a chip selection signal (component designation) for selecting a chip on a memory module.
  • FIG. 8 is a diagram illustrating an embodiment of a non-volatile storage device 1000 , in accordance with aspects of the inventive concept.
  • the non-volatile storage device 1000 includes a fuse array 1100 on which a plurality of fuses 1110 is disposed, level shifters 1200 _ 1 to 1200 — m that generate a high voltage to change resistance states of the plurality of fuses 1110 , and a sense amplifier 1300 that senses/amplifies information stored in the fuse array 1100 .
  • the non-volatile storage device 1000 further includes a first register unit 1400 and a second register unit 1500 to store fuse data generated when information stored in the anti-fuse array 1100 is read.
  • Each of the first register unit 1400 and the second register unit 1500 may be embodied in a shift register having a plurality of registers.
  • the fuse array 1100 includes the plurality of fuses 1110 in which information is stored.
  • the fuse array 1100 may include laser fuses, the connections of which are controlled through laser irradiation, or may include electric fuses, the connections of which are controlled according to an electrical signal. Otherwise, the fuse array 1100 may include anti-fuses, the states of which are changed from a high resistance state to a low resistance state, according to an electrical signal, e.g., a high-voltage signal.
  • the fuse array 1100 may include any type of fuses among the various types of fuses described above. In the following embodiment, it is assumed that the fuse array 1100 is an anti-fuse array including anti-fuses. Also, information stored in the anti-fuses or data read from the anti-fuses will be hereinafter referred to as fuse data.
  • the anti-fuse array 1100 has an array structure in which fuses from the plurality of fuses 1110 are disposed at intersections of a plurality of rows and a plurality of columns. For example, if the anti-fuse array 1100 includes m rows and n columns, then the anti-fuse array 1100 includes m ⁇ n anti-fuses 1110 .
  • the anti-fuse array 1110 includes m word lines WL 1 to WLm for accessing the anti-fuses 1110 disposed in the m rows, and 77 bit lines BL 1 to BLn disposed to correspond to the n columns to deliver information read from the plurality of anti-fuses 1110 .
  • the anti-fuse array 1100 stores various information related to an operation of the non-volatile storage device 1000 .
  • the anti-fuse array 1100 may store a plurality of pieces of setting information for setting an operating environment of the non-volatile storage device 1000 .
  • the plurality of pieces of setting information are programmed by changing the states of the plurality of anti-fuses 1110 by supplying voltage signals WLP 1 to WLPm provided from the level shifters 12001 to 1200 — m to the anti-fuse array 1100 .
  • Information is stored in the plurality of anti-fuses 1110 by programming the plurality of anti-fuses 1110 from the high resistance state to the low resistance state, unlike a general fuse circuit, e.g., a laser fuse circuit or an electric fuse circuit.
  • the plurality of anti-fuses 1110 may have a structure in which a dielectric layer is disposed between two conductive layers, i.e., a capacitor structure.
  • the plurality of anti-fuses 1110 is programmed by breaking down the dielectric layer, which is accomplished by applying high voltage between the two conductive layers.
  • a read operation is performed on the anti-fuse array 1100 , together with start of driving of the non-volatile storage device 1000 .
  • the read operation may be performed on the anti-fuse array 1100 simultaneously with the driving of the anti-fuse array 1100 or a predetermined set time after the driving of the non-volatile storage device 1000 .
  • a word line selection signal is provided via the word lines WL 1 to WLm, and information stored in a selected anti-fuse 1110 is provided to the sense amplifier 1300 via the bit lines BL 1 to BLn. According to characteristics of the array structure, the information stored in the anti-fuse array 1100 may be randomly accessed by driving the word lines WL 1 to WLm and the bit lines BL 1 to BLn.
  • the plurality of anti-fuses 1110 are sequentially accessed from a first row to an m th row in the anti-fuse array 1100 .
  • the information that is sequentially accessed from the plurality of anti-fuses 1110 is provided to the sense amplifier 1300 .
  • the sense amplifier 1300 includes one or more sense amplifier circuits.
  • the anti-fuse array 1100 includes n columns
  • the sense amplifier 1300 includes n sense amplifier circuits corresponding to the n columns.
  • the n sense amplifier circuits are connected to the n bit lines BL 1 to BLn, respectively.
  • FIG. 1 illustrates a case in which two sense amplifier circuits are disposed to correspond to each of the n bit lines BL 1 to BLn.
  • an odd-numbered sense amplifier circuit and an even-numbered sense amplifier circuit are disposed to correspond to a first bit line BL 1 .
  • the odd-numbered sense amplifier circuit senses/amplifies and outputs information stored in the anti-fuses 1110 connected to odd-numbered word lines WL 1 , WL 3 , WL 5 , . . . .
  • the even-numbered sense amplifier circuit senses/amplifies and outputs information stored in the anti-fuses 1110 connected to even-numbered word lines WL 2 , WL 4 , WL 6 , . . . .
  • sense amplifier circuits may be arranged in any of various shapes. As examples, only one sense amplifier circuit may be arranged to correspond to one bit line, or three or more sense amplifier circuits may be arranged to correspond to one bit line.
  • the sense amplifier 1300 senses/amplifies and outputs the information accessed from the anti-fuse array 1100 .
  • the sensed/amplified information is fuse data OUT 1 to OUTn that is actually used to set an operating environment of the non-volatile storage device 1000 .
  • a piece of fuse data e.g., first fuse data OUT 1
  • the fuse data OUT 1 to OUTn output from the sense amplifier 1300 is provided to the first register unit 1400 .
  • the first register unit 1400 may be embodied as a shift register in which a plurality of registers is connected in series to sequentially deliver a signal. Also, the number of registers included in the first register unit 1400 is less than that of the plurality of anti-fuses 1110 included in the anti-fuse array 1100 . Also, the number of registers included in the first register unit 1400 may be determined based on that of columns included in the anti-fuse array 1100 . For example, when the anti-fuse array 1100 includes n columns, the first register unit 1400 may include n registers. Otherwise, as described above, when two sense amplifier circuits are arranged to correspond to each bit line, the first register unit 1400 may include 2 ⁇ n registers.
  • the first register unit 1400 receives the fuse data OUT 1 to OUTn in units of the rows in the anti-fuse array 1100 . For example, when one row is selected from among the rows of the anti-fuse array 1100 , fuse data OUT 1 to OUTn stored in anti-fuses 1110 connected to a word line of the selected row is provided in parallel to the first register unit 1400 .
  • the first register unit 1400 provides the fuse data OUT 1 to OUTn to the second register unit 150 by shifting the provided fuse data OUT 1 to OUTn in units of bits.
  • the second register unit 1500 may be embodied as a shift register in which a plurality of registers is connected in series to sequentially deliver a signal.
  • the number of registers included in the second register unit 1500 may be equal to that of the plurality of anti-fuses 1110 included in the anti-fuse array 1100 .
  • Fuse data OUT 1 to OUTn stored in the second register unit 1500 may be used as information for setting an operating environment of the non-volatile storage device 1000 .
  • some of the fuse data OUT 1 to OUTn stored in the second register unit 1500 may be used as information Info_FA for replacing a memory cell (not shown) included in the non-volatile storage device 1000 with a redundant memory cell, and some of the fuse data OUT 1 to OUTn may be used as trimming information Info_DC for adjusting a voltage generated in the non-volatile storage device 1000 .
  • registers connected to the sense amplifier 1300 are used to temporarily store the fuse data OUT 1 to OUTn; and (ii) registers coupled to various circuit blocks of the non-volatile storage device 1000 , e.g., a row and column decoder or a direct-current (DC) voltage generator, are used to provide fuse data OUT 1 to OUTn to the circuit blocks.
  • a row and column decoder or a direct-current (DC) voltage generator are used to provide fuse data OUT 1 to OUTn to the circuit blocks.
  • the first register unit 1400 receives the fuse data OUT 1 to OUTn from the sense amplifier 1300 , and transmits the fuse data OUT 1 to OUTn to the second register unit 1500 coupled to these circuit blocks.
  • the anti-fuse array 1100 has the array structure, and the first register unit 1400 includes the registers, the number of which corresponds to that of columns included in the anti-fuse array 1100 .
  • the number of registers included in the first register unit 1400 is less than that of the plurality of anti-fuses 1110 included in the anti-fuse array 1100 .
  • the first register unit 1400 includes n sense amplifier circuits.
  • the number of registers in the first register unit 1400 related to the fuse data OUT 1 to OUTn need not be m ⁇ n and may thus be n.
  • the number of registers included in the first register unit 1400 may be limited to n, according to the structure of the anti-fuse array 1100 . Accordingly, the number of registers included in the first register unit 1400 may be prevented from being proportionally increased.
  • FIG. 9 illustrates an embodiment of a structure of a memory module 2200 , in accordance with aspects of the inventive concept.
  • the module 2200 includes one or more memory devices in accordance with aspects of the inventive concept.
  • the module 2200 includes eight DRAMs.
  • Each of the DRAMs includes an anti-fuse array, which is a non-volatile storage device.
  • a memory controller may select the DRAM 5 by transmitting data ‘0’ to only the DRAM 5 .
  • the anti-fuse array included in each of the DRAMs is used to store a generated fail address in the DRAM. A command and an address are shared by the eight DRAMs.
  • FIGS. 10 and 11 are embodiments of timing diagrams illustrating timing when a fail address is transmitted, in accordance with aspects of the inventive concept.
  • a mode set register command MRS, an active command ACT, a read command RD, and a write command WR are received via a command line CMD.
  • a row fail address F-RA and a column fail address F-CA are received via an address line ADD.
  • the DRAM 5 may be selected among the eight DRAMs by receiving only data ‘0’ (logic low) via a data pin DQ. Since data received via data pins DQ 0 to DQ 7 all becomes logic ‘low,’ a fail address is thus stored in the anti-fuse array, which is a non-volatile storage device included in the DRAM 5 .
  • the timing diagram of FIG. 11 is similar to the timing diagram of FIG. 10 , except that a memory cell corresponding to a fail address is repaired by receiving only a row fail address F-RA via an address line ADD. Also, when a verification process is performed to read the fail address again, the verification process is completed according to a pre-charge command and a current mode is exited.
  • FIG. 12 is an embodiment of a timing diagram illustrating timing when verification results are transmitted in parallel, in accordance with aspects of the inventive concept.
  • a row fail address F-RA and a column fail address F-CA are stored in an anti-fuse array which is a non-volatile memory device. Then, states of the stored row fail address F-RA and a column fail address F-CA are checked by reading the row fail address F-RA and a column fail address F-CA to verify them, and resultant verification result is transmitted to the test device 100 via data pins DQ 0 , DQ 1 , and DQ 2 .
  • the verification result that is three logic lows (‘L’) is transmitted in parallel via the data pins DQ 0 , DQ 1 , and DQ 2 .
  • Values transmitted to the other data pins DQ 3 , . . . , DQ 7 is not recognized by a memory controller.
  • FIG. 13 is a table illustrating an embodiment of verification results to be transmitted in parallel, according to aspects of the inventive concept.
  • states of the verification result may be checked by reading the verification result stored in an anti-fuse array, which is a non-volatile memory. If verification result transmitted via data pins DQ 0 , DQ 1 , and DQ 2 is all logic low (Case 1 ), this means that programming is completed normally and a fail bit is replaced with row redundant cells. If the verification result transmitted via the data pins DQ 0 , DQ 1 , and DQ 2 is logic low, low, and high, respectively (Case 2 ), this means that programming is completed normally and a fail bit is replaced with column redundant cells.
  • Cases 5 to 8 each denote that programming is performed incompletely. If the verification result transmitted via the data pins DQ 0 , DQ 1 , and DQ 2 is logic high, low, and low, respectively (Case 5 ), this means that a rupture process performed on a memory cell has a problem, i.e., did not complete properly. If the verification result transmitted via the data pins DQ 0 , DQ 1 , and DQ 2 is logic high, low, and high, respectively (Case 6 ), this means that the rupture process is still in progress. In this case, verification may be temporarily delayed and then requested according to a read command RD.
  • the verification result transmitted via the data pins DQ 0 , DQ 1 , and DQ 2 is logic high, high, and low, respectively (Case 7 ), this means that there is no available redundant cell. Thus, a fail bit cannot be repaired and should thus be replaced with another memory cell. If the verification result transmitted via the data pins DQ 0 , DQ 1 , and DQ 2 is all logic high (Case 8 ), this means that a current chip is not selected. The verification result is transmitted in parallel to the test device 100 via the data pins DQ 0 , DQ 1 , and DQ 2 .
  • FIG. 14 is an embodiment of a timing diagram illustrating timing when verification results are transmitted, in accordance with aspects of the inventive concept.
  • the verification results illustrated in FIG. 13 are transmitted in series.
  • a 3-bit verification result is transmitted in series via a data pin DQ 0 .
  • the same 3-bit verification result may be transmitted to the test device 100 via a data pin DQ 7 .
  • FIG. 15 is a table illustrating an embodiment of verification results to be transmitted in series, in accordance with aspects of the inventive concept.
  • Case 1 denotes that a fail bit is replaced with row redundant cells.
  • a 3-bit verification result is transmitted in series to the test device 100 via one data pin DQ.
  • Case 6 denotes that a rupture process is still in progress, in which a 3-bit verification result is transmitted in series to the test device 100 via data pins DQ 0 , DQ 1 , DQ 2 , and DQ 3 .
  • FIGS. 16 and 17 are timing charts illustrating an embodiment of a method of operating a test device, according to aspects of the inventive concept.
  • a test device performs fail address detection and transmission as described below.
  • a fail address is detected using an ECC engine or a BIST unit (operation S 100 ).
  • the detected fail address is stored in a fail address memory (FAM) (operation S 105 ).
  • a fail address transmission mode is entered according to a test command given from a CPU (operation S 110 ).
  • the test commands include a test start command, a test exit command, a command that instructs to start transmission of the fail address, and a command that instructs to end the transmission of the fail address.
  • a mode register set command, a chip selection signal, and the fail address are transmitted (operation S 120 ).
  • a memory device receives the mode register set command, a write command, the chip selection signal, and the fail address (operation S 130 ). Then, the fail address is stored in a temporary fail address storage (operation S 140 ). Then, a mode of programming a non-volatile storage device is entered (operation S 150 ). Then, a storage space of an anti-fuse array, which is a non-volatile storage device, is checked (operation S 160 ). Then, the anti-fuse array, which is a non-volatile storage device, is programmed (operation S 170 ). Then, programmed data is read to verify the stored fail address (operation S 180 ). Then, a state of the stored data is checked and a verification result is then transmitted to the outside (operation S 190 ), i.e., external to the memory device. Finally, a fail bit is replaced with another memory cell (operation S 200 ).
  • FIG. 18 is a conceptual diagram of another embodiment of a memory test system, in accordance with aspects of the inventive concept.
  • the memory test system includes a test device 100 and a memory device 200 , such as the embodiments described above.
  • the test device 100 transmits a fail address Addr, a control signal, and data DQ.
  • the memory device 200 includes a BIST unit and an anti-fuse array, which is a non-volatile memory device.
  • the BIST unit tests the memory device 200 according to a test command received from the test device 100 , and stores the fail address in the anti-fuse array.
  • FIG. 19 illustrates a circuit block of another embodiment of a memory device 300 , in accordance with aspects of the inventive concept.
  • the memory device 300 includes a fuse array 340 , as a non-volatile memory constructed to store a fail address as program data, a temporary fail address memory (FAM) 330 , a fuse array information storage 350 configured to store information about a fuse, a control unit 360 configured to control the fuse array 340 and the fuse array information storage 350 , a BIST unit 310 configured to detect a fail address, and a memory cell array 320 .
  • the BIST unit 310 receives a test command Control and test data DQ from a test device 100 , and detects a fail address by writing the test data DQ to the memory cell array 320 and then reading the test data DQ from the memory cell array 320 .
  • a fail flag and a fail address corresponding to the fail bit are transmitted to the FAM 330 .
  • the FAM 330 may be embodied as a register including a plurality of fail address arrays FAM 1 , . . . , FAMn, in various embodiments.
  • the control unit 360 may check a space of the fuse array 340 using the fuse array information storage 350 , to determine if there are available fuses in the fuse array.
  • the control unit 360 may also control a program command and a program address to be stored in the fuse array 340 .
  • the test command can be supplied to the test device according to the control signal, and the BIST unit 310 is thus activated.
  • the fail address stored in the FAM 330 is transmitted to the fuse array 340 according to the control signal.
  • FIGS. 20 and 21 are embodiment of timing diagrams illustrating an operation of a memory device, in accordance with aspects of the inventive concept.
  • an active command ACT and a read command RD are input via a command line CMD.
  • Test data EDQ is input via a data pin DQ.
  • the test data EDQ is written to a memory cell array, and read data RDQ is generated by reading the test data EDQ stored in the memory cell array according to the read command RD.
  • a fail flag signal changes from logic high to logic low, an N th row address is written to a fail address memory FAM #1.
  • an (N+1) th row address is written to a fail address memory FAM #2.
  • Such a command and data are input in synchronization with a clock signal CLK, and a clock enable signal CKE and a chip selection signal are also input in synchronization with the clock signal CLK.
  • an active command ACT, a read command RD, and a pre-charge command Pre are input via a command line CMD.
  • the timing diagram of FIG. 21 is substantially similar to that of FIG. 20 , except that an N th row address is transmitted to a fail address memory FAM #1 when the pre-charge command Pre is input, and an (N+1) th row address is transmitted to a fail address memory FAM #2 when the pre-charge command Pre is input again.
  • the FAM 330 of FIG. 19 may be embodied as a register, an SRAM, or the like, as examples.
  • FIG. 22 is a flowchart illustrating an embodiment of a method of operating a memory device, according to aspects of the inventive concept.
  • the memory device receives an active command, a write command, and a read command from a test device (operation S 300 ). Then, a BIST unit of the memory device is activated according to a command (operation S 310 ). Then, a fail address is detected, a fail flag is generated, or a pre-charge command is received (operation S 320 ). Then, the fail address is stored in a fail address memory according to the fail flag or the pre-charge command (operation S 330 ). Then, a fuse array enters a program mode for programming the fail address (operation S 340 ). Then, the capacity of a fuse memory is checked to determine the availability of fuses (operation S 350 ). Then, the fuse array is programmed (operation S 360 ). Thereafter, a fail bit is repaired (operation 5370 ) by using the fuse array to program a redundant cell, in place of the memory cell having the fail bit.
  • FIG. 23 is a diagram illustrating an embodiment of a memory system having optical links, in accordance with aspects of the inventive concept.
  • the memory test system includes a controller 8100 and a memory device 8200 .
  • the controller 8100 includes a control unit 8110 , a controller transmitter 8121 , and a controller receiver 8122 .
  • the control unit 8110 includes at least one of an ECC engine and a BIST unit.
  • the controller transmitter 8121 includes an electrical-to-optical (E/O) interface circuit that converts an electrical signal into an optical signal.
  • the controller receiver 8122 includes an optical-to-electrical (O/E) interface circuit that converts an optical signal into an electrical signal.
  • the memory device 8200 includes an anti-fuse array 8221 , which is a non-volatile storage device, a BIST unit 8222 , a DRAM core 8223 , a transmitter 8312 , and a receiver 8211 , in this embodiment.
  • the transmitter 8312 includes an E/O interface unit that converts an electrical signal into an optical signal.
  • the receiver 8211 includes an O/E interface unit that converts an optical signal into an electrical signal.
  • the controller 8100 and the memory device 8200 are connected via a first optical link 8500 and a second optical link 8501 to transmit and receive data. In another exemplary embodiment of the inventive concept, data may be transmitted and received via one optical link, rather than plural optical links.
  • An I/O circuit 8120 of the controller 8100 and an I/O circuit 8210 of the memory device 8200 are connected via the first optical link 8500 and the second optical link 8501 .
  • FIG. 24 illustrates an embodiment having through-silicon-via (TSV) stacked chips to which a memory test system is applied, in accordance with aspects of the inventive concept.
  • TSV through-silicon-via
  • an interface chip 3100 is disposed as a lowermost layer, and memory chips 3200 , 3300 , 3400 , and 3500 are sequentially disposed on the interface chip 3100 .
  • the interface chip 3100 may include a memory controller 2400 , at least one of ECC engine and a BIST unit 2410 , and a CPU 2420 .
  • the memory chips 3200 , 3300 , 3400 , and 3500 each include anti-fuse arrays 3601 , 3602 , 3603 , and 3604 , which are non-volatile storage devices, and BIST units 3801 , 3802 , 3803 , and 3804 , respectively.
  • a fail address of a memory chip is detected using a test device (not shown) of the interface chip 3100 and is stored in an anti-fuse array of the memory chip. These chips are connected via micro-bump (uBumps) and TSV formed therein ( 3701 , 3702 , 3703 , and 3704 ).
  • uBumps micro-bump
  • TSV formed therein ( 3701 , 3702 , 3703 , and 3704 ).
  • the number of stacked chip(s) disposed on the interface chip 3100 may be one or more.
  • FIGS. 25( a ) through 25 ( d ) illustrate embodiments of various interfaces of a memory test system, in accordance with aspects of the inventive concept.
  • a memory system includes a controller 4000 and a memory device 5000 , with at least one communication link disposed between the two.
  • the controller 4000 includes a control unit 4100 and an I/O circuit 4200 .
  • the control unit 4100 may include at least one of an ECC engine and a MST unit.
  • the memory device 5000 includes a memory (e.g., DRAM) core 5300 , an anti-fuse array 5100 , which is a non-volatile storage device, a BIST unit 5400 , and an I/O circuit 5200 .
  • the I/O circuit 4200 of the controller 4000 includes an interface via which a command, a control signal, an address, and a data strobe DQS are transmitted to the memory device 5000 and data DQ is transmitted to and received from the memory device 5000 .
  • a fail address is also transmitted via the interface.
  • an I/O circuit 4200 of a controller 4000 includes an interface via which a chip selection signal CS and an address are transmitted to a memory device 5000 using one packet and data DQ is transmitted to and received from the memory device 5000 , i.e., data DQ not part of the packet.
  • a fail address is also transmitted via the interface.
  • an I/O circuit 4200 of a controller 4000 includes an interface via which a chip selection signal CS, an address, and write data wData are transmitted to a memory device 5000 using one packet and read data rData is received from the memory device 5000 , i.e., rData is separately transmitted.
  • a fail address is also transmitted via the interface.
  • an I/O circuit 4200 of a controller 4000 includes an interface via which a command, an address, and data DQ are transmitted to and received from a memory device 5000 and a chip selection signal CS is received from the memory device 5000 , i.e., chip selection signal CS is separately transmitted.
  • a fail address is also transmitted via the interface.
  • FIGS. 26 and 27 are diagrams illustrating embodiments of system connections of a memory test system, in accordance with aspects of the inventive concept.
  • a memory 7300 that includes an anti-fuse array 7301 , which is a non-volatile memory, and a BIST unit 7302 , a CPU 7100 that includes a BIST unit and/or an ECC engine 7101 , and a user interface 7200 .
  • the foregoing are connected via a system bus 7110 .
  • a memory system 6500 that includes a memory 6520 having an anti-fuse array and a BIST unit, and a memory controller 6510 including a BIST and/or ECC engine, a CPU 6100 , a random access memory (RAM) 6200 , a user interface 6300 , and a modem 6400 are connected via a system bus 6110 .
  • a memory test device, method, and system in accordance with the inventive concept may detect a fail address of a fail memory cell included in a memory device, and fix or repair the fail memory cell by repairing the fail memory cell. Even during an operation of a chip or after chip packaging is performed, a memory device may be tested and repaired using such test device. Accordingly, malfunctions of the memory device due to a fail cell can be reduced, thereby improving the operating reliability of the memory device.

Abstract

Provided are a method and an apparatus for repairing a memory cell in a memory test system. A test device detects a fail address by testing a memory device according to a test command, and temporarily stores the fail address in a fail address memory (FAM). The fail address is transmitted to the memory device according to a fail address transmission mode, is temporarily stored in a temporary fail address storage of the memory device, and is then stored in an anti-fuse array, which is a non-volatile storage device. To secure the reliability of data, stored data can be read to verify the data and a verification result can be transmitted in series or in parallel to the test device.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional application of U.S. patent application Ser. No. 13/753,165, filed Jan. 29, 2013, which claims the benefit of provisional U.S. Application No. 61/604,570 filed on Feb. 29, 2012, the entire contents of which are incorporated herein by reference in their entirety.
  • FIELD OF INVENTION
  • The inventive concept relates to a memory device test system, and more particularly, to a method and apparatus for repairing a memory cell of a memory device including a non-volatile storage device, and a system including the memory device.
  • BACKGROUND
  • A semiconductor chip is manufactured according to a semiconductor manufacturing process, and is then tested in the form of a wafer, die, or package using test equipment. Through the test, a defective portion or a defective chip may be sorted out. When some memory cells of a semiconductor chip are defective, the semiconductor chip is fixed by restoring such defective memory cells.
  • Recently, as a process of manufacturing a semiconductor chip, such as a dynamic random access memory (DRAM), has become increasingly refined. In such eases, features of the chip are generally smaller and more tightly integrated, such that errors are much more likely to occur during the manufacture process. Also, even if no error is detected during an initial test period, an error may occur during an operation of a chip. To solve this problem, various test methods and devices have been developed.
  • SUMMARY
  • In accordance with aspects of the inventive concept, provided is a test device for reliably repairing a memory cell, a test method for reliably repairing a memory cell, and a memory test system including a test device and method for reliably repairing a memory cell.
  • In accordance with an aspect of the inventive concept, a memory system comprising a memory device including a memory cell array and a non-volatile memory (NVM) storage array and a test device configured to determine a fail address of the memory cell array and to transmit the fail address to the memory device for storage in the NVM storage array.
  • The test device may form at least part of a system-on-chip (SOC).
  • The NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m×n array, and wherein in and n are each at least 2.
  • The test device may comprise an error correcting code (ECC) engine configured to determine the fail address.
  • The test device may comprise a built-in-self-test (BIST) circuit configured to determine the fail address.
  • The test device may further comprise an ECC engine and the test device may be configured to determine the fail address using one of the BIST circuit and the ECC engine.
  • The test device may comprise a fail address memory (FAM) configured to temporarily store the fail address and a control unit configured to control storage of the fail address in the FAM and to output the fail address from the FAM to the memory device for storage in the non-volatile storage array.
  • The test device may comprise: at least one of an ECC engine and BIST circuit configured to determine the fail address; a fail address memory (FAM) configured to temporarily store the fail address; a control unit responsive to test commands from a CPU to control the at least one ECC engine and BIST circuit and storage of the fail address in the FAM; an address output circuit configured to output the fail address from the FAM to the memory device for storage in the non-volatile storage array in response to the control unit; and a data buffer configured to buffer data input and output to the memory device.
  • The test command from the CPU to the control unit may comprise one of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • The test device may form part of a system-on-chip (SOC) that further comprises: a CPU and a memory controller responsive to test commands from the CPU, the memory controller comprising the test device and a fail address memory (FAM) configured to temporarily store the fail address.
  • The CPU may be configured to provide a test command to the memory controller to initiate a test of the memory device.
  • The test command from the CPU to the control unit may comprise one of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • The test device may form at least part of a test equipment circuit or apparatus.
  • The test equipment may further comprise: socket configured to temporarily secure the memory device; a probe card configured to electrically interface to the memory device; and a pattern generator configured to generate various test data that are provided to test the memory device.
  • The NVM storage array may be an anti-fuse array.
  • The memory device may further comprise a temporary fail address storage (TFAS) device, which is configured for temporary storage of the fail address.
  • The memory device may further comprise a control unit coupled to the TFAS device and the NVM storage array.
  • The TFAS device may be configured to transfer the fail address to the NVM storage array in response to a mode enable signal provided by the control unit.
  • The control unit may be configured to sense the fail address stored in the NVM storage array, verify the fail address, and to transmit the fail address to the test device.
  • The memory device may further comprise a repair address register coupled to the NVM storage array; a comparing unit coupled to the repair address register and configured to compare the fail address with an external address; and a multiplexer coupled to the comparing unit and the memory cell array, the multiplexer configured to select one of the fail address and the external address based on a comparing result of the comparing unit.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • In accordance with another aspect of the invention, provided is a memory device comprising: an array of memory cells configured for storing data; and a non-volatile memory (NVM) storage array configured for storing a fail address indicating a failed memory cell from the array of memory cells; a temporary fail address storage (TFAS) device, configured to temporarily store the fail address; and a control unit coupled to the TFAS device and the NVM storage array.
  • The non-volatile storage array may comprise an anti-fuse array, wherein the anti-fuse array is an m×n array, and wherein m and n are at least 2.
  • The control unit may be configured to verify the fail address.
  • The control unit may be configured to sense the fail address stored in the TFAS device and to program the fail address into the NVM storage array.
  • The memory device may further comprise a comparing unit configured to compare the fail address with an external address.
  • The memory device may further comprise an address buffer configured to receive the fail address and to temporarily store the fail address in the TFAS device.
  • The memory device may further comprise a decoding unit coupled to the control unit and configured to receive and decode a control signal and to generate a mode enable signal.
  • The memory device may further comprise an address buffer coupled to the TFAS device and configured to receive fail address and a control buffer coupled to the decoding unit and configured to receive the control signal.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • According to another aspect of the present invention, provided is a test device comprising an error detecting circuit configured to determine a fail address of a failed memory cell of a memory device, a fail address memory (FAM) configured to store the fail address, and a control unit operatively coupled to the FAM to control the output of the fail address memory to the memory device in response to test commands.
  • The fail address memory may comprise a register, a static random access memory (SRAM), or a non-volatile memory.
  • The error detecting circuit may comprise an error correcting code (ECC) engine configured to determine the fail address.
  • The error detecting circuit may comprise a built-in-self-test (BIST) circuit configured to determine the fail address.
  • The test device may further comprise an ECC engine and the test device may be configured to determine the fail address using one of the BIST circuit and the ECC engine.
  • The test device may further comprise a data buffer circuit controlled by the control unit, and configured to receive and transmit data from and to the memory device, respectively.
  • The test command to the control unit may comprise one of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • The test device may form part of a system-on-chip (SOC) that further comprises a CPU and a memory controller responsive to test commands from the CPU, the memory controller comprising the test device and the FAM.
  • The test device may form at least part of a test equipment circuit or apparatus.
  • The test equipment may further comprises a socket configured to temporarily secure the memory device, a probe card configured to electrically interface to the memory device, and a pattern generator configured to generate various test data that are provided to test the memory device.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • According to another aspect of the invention, provided is a method of operating a test device. The method comprises determining a fail address using an error detecting circuit, storing the fail address in a fail address memory (FAM), and transmitting the fail address from the FAM to a memory device.
  • The fail address memory may comprise a register, a static random access memory (SRAM), or a non-volatile memory.
  • The error testing circuit may comprise an error correcting code (ECC) engine that performs the determining of the fail address.
  • The error testing circuit may comprise a built-in-self-test (BIST) circuit that performs the determining of the fail address.
  • The method may further comprise issuing one or more test commands to the test device from a CPU.
  • The one or more test commands may comprise one or more of a test start command, a test exit command, a fail address transmission start command, and a fail address transmission end command.
  • The method may further comprise, in response to a test command from the CPU, entering a fail address transmitting mode before transmitting the fail address from the FAM to the memory device.
  • The method claim may further comprise transmitting a control signal to the memory device, including transmitting a read command, a write command, a pre-charge command, and a mode register set command to the memory device, after the transmitting of the fail address from the FAM to the memory device.
  • The test device may form part of a system-on-chip (SOC) that further comprises a CPU and a memory controller responsive to test command from the CPU, the memory controller comprising the test device and the FAM.
  • The test device may form at least part of a test equipment comprising a test socket, a probe card, and a pattern generator.
  • The method may further comprise removably securing the memory device in the socket, electrically interfacing to the memory device using the probe card, and testing the memory device by providing various test data from the pattern generator to the memory device via the probe card.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • According to another aspect of the invention, provided is a method of operating a memory device. The method comprises receiving a read command, a write command, a pre-charge command, a mode register set command, and a fail address from the fail address memory (FAM), storing the fail address in a temporary fail address storage (TFAS) device, entering a program mode, and checking a capacity of a non-volatile memory (NVM) storage array of the memory device, wherein the NVM comprises an anti-fuse array.
  • The method may further comprise programming the fail address to the NVM storage array.
  • The method may further comprise reading the programmed fail address from the NVM storage array and verifying the programmed fail address.
  • The method may further comprise sending a verification result to an external device.
  • The verification may be sent as parallel data.
  • The verification may be sent as serial data.
  • The method may further comprise replacing the fail bit memory cell with another memory cell of the memory device.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • According to another aspect of the invention, provided is a method of testing a memory device. The method comprises testing the memory device using a test device, determining a fail address of a memory cell of a memory cell array of the memory device, and storing the fail address in a non-volatile memory (NVM) storage array of the memory device.
  • The NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m×n array, and wherein m and n are each at least 2.
  • The test device may comprise an ECC engine and the method may include determining the fail address with the ECC engine.
  • The test device may comprise a BIST circuit and the method may include determining the fail address with the MST circuit.
  • The test device and the memory device may form part of a system-on-chip (SOC).
  • The method may further comprise the test device: determining the fail address using an error testing circuit, storing the fail address in a fail address memory (FAM), transmitting the fail address from the FAM to the memory device, and transmitting a control signal to the memory device, including transmitting a read command, a write command, a pre-charge command, and a mode register set command to the memory device.
  • The method may further comprise the memory device receiving the read command, the write command, the pre-charge command, the mode register set command, and the fail address from the test device, storing the fail address in a temporary fail address storage (TFAS) device, entering a program mode, and checking a capacity of a NVM storage array.
  • The method may further comprise programming the fail address to the NVM storage array.
  • The method may further comprise reading the programmed fail address from the NVM storage array and verifying the programmed fail address.
  • The method may further comprise sending a verification result to an external device.
  • The verification may be sent as parallel data.
  • The verification may be sent as serial data.
  • The method may further comprise replacing the fail bit memory cell with another memory cell of the memory device.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • According to another aspect of the invention, provided is a memory system of a mobile device. The memory system includes a memory device that comprises a memory cell array and a non-volatile memory (NVM) storage array and a built-in-self-test (BIST) circuit configured to determine a fail address of a memory cell of the memory cell array. The system further comprises a test device configured to transmit a test command to the memory device to cause the BIST circuit to determine the fail address.
  • The NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m×n array, and wherein m and n are each at least 2.
  • The test device may be configured to transmit a fail address, a control signal, and a data signal to the memory device.
  • The memory device may further comprise a plurality of fail address memories (FAMs) configured to temporarily store the fail address.
  • The BIST circuit may be configured to transmit a fail flag and the fail address to at least one of the FAMs when the fail address is determined, wherein the fail address corresponds to a fail bit of a memory cell in the memory cell array.
  • The BIST circuit may be configured to store the fail address in at least one of the FAMs in response to a pre-charge command.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • According to another aspect of the invention, provided is a method of operating a memory device, the memory device having a memory cell array, a non-volatile memory (NVM) storage array, a built-in-self-test (BIST) circuit, and at least one fail address memory (FAM). The method comprises receiving a test command and the BIST circuit: detecting a fail address of the memory cell array, wherein the fail address corresponds to a fail bit of a memory cell in the memory cell array, generating a fail flag; and transmitting the fail address and the fail flag to the at least one of the FAM. The method further comprises storing the fail address in the at least one FAM, entering a program mode, and programming the fail address to the NVM.
  • The method may further comprise checking the capacity of the NVM storage array, prior to programming the fail address to the NVM storage array.
  • The method may further comprise verifying the fail address stored in the NVM storage array.
  • The method may further comprise repairing the fail bit.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • According to another aspect of the invention, provided is a memory system, comprising: at least one memory device comprising a memory cell array and a non-volatile memory (NVM) storage array, a memory controller comprising a test device configured to determine a fail address of the memory cell array and to transmit the fail address to the at least one memory device for storage in the NVM storage array, and at least one communication link coupled between the controller and the at least one memory device.
  • The NVM storage array may comprise an anti-fuse array, wherein the anti-fuse array may be an m×n array, and wherein in and n are each at least 2.
  • The test device may comprise an error correcting code (ECC) engine configured to determine the fail address.
  • The test device may comprise a built-in-self-test (BIST) circuit configured to determine the fail address.
  • The test device may further comprise an ECC engine and the test device may be configured to determine the fail address using one of the BIST circuit and the ECC engine.
  • The memory controller may include a control unit coupled to an electrical-to-optical (E/O) transmitting interface and an optical-to-electrical (O/E) receiving interface. The at least one memory device may include a memory core coupled to an electrical-to-optical (E/O) transmitting interface and an optical-to-electrical (O/E) receiving interface. And the at least one communication link may include a first optical link coupled between the controller E/O interface and the memory device O/E interface and a second optical link coupled between the controller O/E interface and the memory device E/O interface.
  • The communication link may be a bidirectional link.
  • The at least one memory device may comprise at least one memory chip and the memory controller may be part of an interface chip arranged in a stack with the at least one memory chip, wherein the at least one memory chip and interface chip are interconnected using micro-bump and through silicon via.
  • The controller may include a control unit having a multi-pin input/output (I/O) interface. The at least one memory device may include a memory core coupled to a multi-pin I/O interface. The at least one communication link may include a memory controller interface coupling pins of the control unit multi-pin I/O interface to corresponding pins of the memory device multi-pin I/O interface.
  • The memory controller interface may be configured to carry a command signal, a control signal, a memory address, a data strobe signal, and data between the controller and the at least one memory device.
  • The memory controller interface may be configured to carry a chip selection and address packet, and data between the controller and the at least one memory device.
  • The memory controller interface may be configured to carry a chip selection, address, and write data packet, and read data between the controller and the at least one memory device.
  • The memory controller interface may be configured to carry a command, address, and data packet, and a chip selection signal between the controller and the at least one memory device.
  • The memory system may form part of a computer system further comprising a user interface device, a CPU, and a bus connecting the CPU, user interface device, and the at least on memory device.
  • The CPU may include the test device.
  • The memory device may comprise a built-in-self-test (BIST) circuit.
  • The memory system may form part of a computer system, further comprising a user interface device, a CPU, a random access memory, a modem, and a bus connecting the CPU, user interface device, modem, and the memory controller.
  • The memory device may be a DRAM, a NAND flash device, PRAM device, or MRAM device.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The inventive concept will be apparent from the description of exemplary embodiments and the accompanying drawings, in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the inventive concepts. In the drawings:
  • FIGS. 1 to 4 are conceptual diagrams of exemplary embodiments of memory test systems, in accordance with aspects of the inventive concept;
  • FIG. 5 illustrates an exemplary embodiment of a circuit block of a test device, in accordance with aspects of the inventive concept;
  • FIG. 6A is a diagram illustrating an exemplary embodiment of a system-on-chip (SOC) including a test device therein, in accordance with aspects of the inventive concept;
  • FIG. 6B is a diagram illustrating an exemplary embodiment of test equipment using a test device, in accordance with aspects of the inventive concept;
  • FIG. 7 illustrates a circuit block of an exemplary embodiment of a memory device, in accordance with aspects of the inventive concept;
  • FIG. 8 is a diagram illustrating an exemplary embodiment of a non-volatile storage device, in accordance with aspects of the inventive concept;
  • FIG. 9 illustrates an exemplary embodiment of a structure of a memory module, in accordance with aspects of the inventive concept;
  • FIGS. 10 and 11 are timing diagrams illustrating an exemplary embodiment of timing when a fail address is transmitted, in accordance with aspects of the inventive concept;
  • FIG. 12 is a timing diagram illustrating an exemplary embodiment of timing when a verification result is transmitted in parallel, in accordance with aspects of the inventive concept;
  • FIG. 13 is a table illustrating an exemplary embodiment of verification results to be transmitted in parallel, in accordance with aspects of the inventive concept;
  • FIG. 14 is a timing diagram illustrating an exemplary embodiment of timing when verification results are transmitted, in accordance with aspects of the inventive concept;
  • FIG. 15 is a table illustrating an exemplary embodiment of verification results to be transmitted in series, in accordance with aspects of the inventive concept;
  • FIGS. 16 and 17 are timing charts illustrating an exemplary embodiment of a method of operating a test device, in accordance with aspects of the inventive concept;
  • FIG. 18 is a conceptual diagram of exemplary embodiment of a memory test system, in accordance with another aspect of the inventive concept;
  • FIG. 19 illustrates a circuit block diagram of an exemplary embodiment of a memory device, in accordance with another aspect of the inventive concept;
  • FIGS. 20 and 21 are timing diagrams illustrating an exemplary embodiment of an operation of a memory device, in accordance with aspects of the inventive concept;
  • FIG. 22 is a flowchart illustrating an exemplary embodiment of a method of operating a memory device, according to aspects of the inventive concept;
  • FIG. 23 is a diagram illustrating an exemplary embodiment of optical links of a memory test system, in accordance with aspects of the inventive concept;
  • FIG. 24 illustrates an exemplary embodiment of through-silicon-via (TSV) stacked chips to which a memory test system is applied, in accordance with aspects of the inventive concept;
  • FIG. 25 illustrates an exemplary embodiment of various interfaces of a memory test system, in accordance with aspects of the inventive concept; and
  • FIGS. 26 and 27 are diagrams illustrating an exemplary embodiment of system connections of a memory test system, in accordance with aspects of the inventive concept.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • Various embodiments will now be described more fully with reference to the accompanying drawings. The inventive concept may, however, be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure is thorough and complete and fully conveys the inventive concept to those skilled in the art. In the drawings, like reference numerals denote like elements, and the sizes and relative sizes of layers and regions may be exaggerated for clarity.
  • It will be understood that, although the terms first, second, third etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are used to distinguish one element from another. Thus, a first element discussed below could be termed a second element without departing from the teachings of the present inventive concept. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.
  • It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between,” “adjacent” versus “directly adjacent,” etc.).
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the present inventive concept. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • It should also be noted that in some alternative implementations, the functions/acts noted in the blocks may occur out of the order noted in the flowcharts. For example, two blocks shown in succession may in fact be executed substantially concurrently or the blocks may sometimes be executed in the reverse order, depending upon the functionality/acts involved.
  • Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this inventive concept belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
  • FIGS. 1 to 4 are conceptual diagrams of embodiments of memory test systems in accordance with aspects of the inventive concept.
  • Referring to FIG. 1, a memory test system includes a test device 100 and a memory device 200. The test device 100 transmits a control signal including a fail address, a command with instruction to operate the memory device 200, and data DQ. Although not shown, the test device 100 may be included in a memory controller or a piece of test equipment. In this embodiment, the memory device 200 includes a dynamic random access memory (DRAM), which is a type volatile memory. Alternatively, the memory device 200 may include a non-volatile memory, e.g., a magneto-resistive RAM (MRAM), a resistive RAM (RRAM), a phase-change RAM (PRAM), or a NAND flash memory, as examples. In the presently preferred form, the memory device 200 includes a non-volatile storage device 280 including an anti-fuse array. The non-volatile storage device 280 is used to store the fail address. The memory device 200 operates according to the control signal, and transmits the data DQ to the test device 100.
  • Referring to the embodiment of FIG. 2, an embodiment of the test device 100 may include an error correcting code (ECC) engine 120. The ECC engine 120 may be configured to detect a fail bit and a fail address from data DQ received from a memory device 200, and to correct the fail bit. The memory device 200 includes anti-fuse array 280, which stores the fail address received from the test device 100. A fail memory cell, from a memory array of the memory device 200, is repaired based on the stored fail address, as will be discussed below.
  • Referring to the embodiment of FIG. 3, an embodiment of the test device 100 may include a built-in self-test (BIST) unit 120. The BIST unit 120 may be configured to test the test device 100 or the memory device 200. To test the memory device 200, test data is generated and transmitted to the memory device 200. A fail memory cell is detected by writing the test data to a memory cell and then reading the test data from the memory cell. A fail address, that is the address of the fail memory cell, is temporarily stored in the test device 100 and is then transmitted to the memory device 200. The transmitted fail address is stored in the anti-fuse array 280, and subsequently used to repair the fail memory cell corresponding to the fail address.
  • Referring to the embodiment of FIG. 4, an embodiment of the test device 100 may include a BIST unit and an ECC engine 120. A memory device 200 may be tested using the BIST unit and a fail address may be stored in the anti-fuse array 280 included in the memory device 200. The fail address, which is the address of a fail bit occurring during an operation of the memory device 200, is detected using the ECC engine 120, and is stored in the anti-fuse array of the memory device 200. When the memory device 200 does not operate, the memory device 200 may be tested by using the BIST unit according to a test command given from a central processing unit (CPU). While the memory device 200 operates, the fail address may be detected using the ECC engine.
  • FIG. 5 illustrates a circuit block diagram of an embodiment of a test device 100, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 5, the test device 100 includes a fail address memory (FAM) 110, an ECC engine (and/or BIST unit) 120, a control unit 130, an address output buffer 140, a control output unit 150, and an input/output (I/O) data buffer 160. The fail address memory 110 stores a fail address ADD 141 detected by the ECC engine (or BIST unit) 120. The fail address memory 110 may be embodied as a register, a static random access memory (SRAM), or a non-volatile memory, as examples. The address output buffer 140 is connected to the fail address memory 110 and transmits the fail address ADD 141 to the memory device 200. The control output unit 150 transmits a control signal 151 including a read command, a write command, a pre-charge command, a mode register set (MRS) command, and the like, to the memory device 200. The control output unit 150 is connected to and is controlled by control unit 130. The I/O data buffer 160 is controlled by the control unit 130, and receives or transmits input/output (I/O) data. The I/O data may include only test data for testing the memory device 200.
  • Data received from the memory device 200 is transmitted to the ECC engine (and/or BIST unit) 120 via the I/O data buffer 160. The control unit 130 is connected to the ECC engine (and/or BIST unit) 120, the fail address memory 110, the address output unit 140, the control output buffer 150, and the I/O data buffer 160. The control unit 130 receives a test command from a CPU. The test command may be corresponded to a test start command, a test exit command, a command that instructs a start of transmission of the fail address ADD, and a command that instructs an end of the transmission of the fail address ADD. The fail address ADD 141 detected by the ECC engine (or BIST unit) 120 is controlled to be stored in the fail address memory 110, according to the received test command. Also, transmission of the fail address ADD 141 and the control signal 151 are controlled using the address output unit 140 and the control output unit 150.
  • FIG. 6A is a diagram illustrating an embodiment of a system-on-chip (SOC) 1100 having a test device 100 therein, in accordance with aspects of the inventive concept.
  • Referring to FIG. 6A, the SOC 1100 includes a CPU 1120, a memory controller 1110, and an interface 1130. The memory controller 1110 includes the test device 100, in this embodiment. The test device 100 includes an ECC engine (and/or BIST unit) 120, a fail address memory (FAM) 110, a control unit, and so on, which are elements of the test device 100 illustrated in FIG. 5. The memory controller 1110 is connected to the CPU 1120 to receive a test command Com from the CPU 1120. The test command Com may be corresponded to a test start command, a test exit command, a command that instructs to start of transmission of a fail address, and a command that instructs and end of the transmission of the fail address. A fail address, a control signal, and data are transmitted to the memory device 200 via the interface 1130.
  • FIG. 6B is a diagram illustrating test equipment 1200 using a test device 100 in accordance with an embodiment of the inventive concept.
  • Referring to FIG. 6B, the test equipment 1200 includes the test device 100, a pattern generator 1210, a probe card 1220, and a socket 1230. The pattern generator 1200 generates various test data to test the memory device 200. The probe card 1220 directly contacts a test pad of the memory device 200 via a probe needle so as to transmit the test data. The socket 1230 removably fixes the memory device 200 during a test of the memory device 200.
  • FIG. 7 illustrates a circuit block diagram of an embodiment of a memory device 200, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 7, the memory device 200 includes an address buffer 210, a control buffer 220, a data buffer 230, a decoding unit 240, a repair address register 250, a comparing unit 251, a multiplexer (Mux) 252, a temporary fail address storage (TFAS) 260, a control unit 270, an anti-fuse array 280 which is a non-volatile storage device, and a memory cell array 290.
  • A fail address is received via the address buffer 210 and is temporarily stored in the temporary fail address storage 260. The temporary fail address storage 260 may be embodied as a register array, an SRAM, or a non-volatile memory, as examples. The decoding unit 240 receives a control signal via the control buffer 220, performs decoding, and generates a mode enable signal. The control signal includes a read command, a write command, a pre-charge command, a mode register set signal, and the like. The control unit 270 is activated according to the mode enable signal, and stores the fail address in the anti-fuse array 280, which is a non-volatile memory storage device. The control unit 270 senses the stored fail address to verify whether the fail address is accurately programmed. A result of the programming (verification result) is transmitted to the test device 100 via a data output pin. The anti-fuse array 280, which is a non-volatile storage device, is connected to the repair address register 250 configured to store the fail address. The repair address register 250 is connected to the comparing unit 251, which is configured to compare the fail address with an external address. The comparing unit 251 is connected to the multiplexer (Mux) 252, which is configured to select one of the fail address and the external address. Data received via the I/O data buffer 230 may be used as a chip selection signal (component designation) for selecting a chip on a memory module.
  • FIG. 8 is a diagram illustrating an embodiment of a non-volatile storage device 1000, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 8, the non-volatile storage device 1000 includes a fuse array 1100 on which a plurality of fuses 1110 is disposed, level shifters 1200_1 to 1200 m that generate a high voltage to change resistance states of the plurality of fuses 1110, and a sense amplifier 1300 that senses/amplifies information stored in the fuse array 1100. The non-volatile storage device 1000 further includes a first register unit 1400 and a second register unit 1500 to store fuse data generated when information stored in the anti-fuse array 1100 is read. Each of the first register unit 1400 and the second register unit 1500 may be embodied in a shift register having a plurality of registers.
  • The fuse array 1100 includes the plurality of fuses 1110 in which information is stored. The fuse array 1100 may include laser fuses, the connections of which are controlled through laser irradiation, or may include electric fuses, the connections of which are controlled according to an electrical signal. Otherwise, the fuse array 1100 may include anti-fuses, the states of which are changed from a high resistance state to a low resistance state, according to an electrical signal, e.g., a high-voltage signal. The fuse array 1100 may include any type of fuses among the various types of fuses described above. In the following embodiment, it is assumed that the fuse array 1100 is an anti-fuse array including anti-fuses. Also, information stored in the anti-fuses or data read from the anti-fuses will be hereinafter referred to as fuse data.
  • In the presently preferred embodiment, the anti-fuse array 1100 has an array structure in which fuses from the plurality of fuses 1110 are disposed at intersections of a plurality of rows and a plurality of columns. For example, if the anti-fuse array 1100 includes m rows and n columns, then the anti-fuse array 1100 includes m×n anti-fuses 1110. The anti-fuse array 1110 includes m word lines WL1 to WLm for accessing the anti-fuses 1110 disposed in the m rows, and 77 bit lines BL1 to BLn disposed to correspond to the n columns to deliver information read from the plurality of anti-fuses 1110.
  • The anti-fuse array 1100 stores various information related to an operation of the non-volatile storage device 1000. For example, the anti-fuse array 1100 may store a plurality of pieces of setting information for setting an operating environment of the non-volatile storage device 1000. The plurality of pieces of setting information are programmed by changing the states of the plurality of anti-fuses 1110 by supplying voltage signals WLP1 to WLPm provided from the level shifters 12001 to 1200 m to the anti-fuse array 1100. Information is stored in the plurality of anti-fuses 1110 by programming the plurality of anti-fuses 1110 from the high resistance state to the low resistance state, unlike a general fuse circuit, e.g., a laser fuse circuit or an electric fuse circuit. The plurality of anti-fuses 1110 may have a structure in which a dielectric layer is disposed between two conductive layers, i.e., a capacitor structure. The plurality of anti-fuses 1110 is programmed by breaking down the dielectric layer, which is accomplished by applying high voltage between the two conductive layers.
  • After the anti-fuse array 1100 is programmed, a read operation is performed on the anti-fuse array 1100, together with start of driving of the non-volatile storage device 1000. The read operation may be performed on the anti-fuse array 1100 simultaneously with the driving of the anti-fuse array 1100 or a predetermined set time after the driving of the non-volatile storage device 1000. In the anti-fuse array 1100, a word line selection signal is provided via the word lines WL1 to WLm, and information stored in a selected anti-fuse 1110 is provided to the sense amplifier 1300 via the bit lines BL1 to BLn. According to characteristics of the array structure, the information stored in the anti-fuse array 1100 may be randomly accessed by driving the word lines WL1 to WLm and the bit lines BL1 to BLn.
  • For example, as the word lines WL1 to WLm are sequentially driven, the plurality of anti-fuses 1110 are sequentially accessed from a first row to an mth row in the anti-fuse array 1100. The information that is sequentially accessed from the plurality of anti-fuses 1110 is provided to the sense amplifier 1300. The sense amplifier 1300 includes one or more sense amplifier circuits. For example, when the anti-fuse array 1100 includes n columns, the sense amplifier 1300 includes n sense amplifier circuits corresponding to the n columns. The n sense amplifier circuits are connected to the n bit lines BL1 to BLn, respectively. FIG. 1 illustrates a case in which two sense amplifier circuits are disposed to correspond to each of the n bit lines BL1 to BLn. For example, an odd-numbered sense amplifier circuit and an even-numbered sense amplifier circuit are disposed to correspond to a first bit line BL1. The odd-numbered sense amplifier circuit senses/amplifies and outputs information stored in the anti-fuses 1110 connected to odd-numbered word lines WL1, WL3, WL5, . . . . The even-numbered sense amplifier circuit senses/amplifies and outputs information stored in the anti-fuses 1110 connected to even-numbered word lines WL2, WL4, WL6, . . . . However, the inventive concept is not limited thereto, and sense amplifier circuits may be arranged in any of various shapes. As examples, only one sense amplifier circuit may be arranged to correspond to one bit line, or three or more sense amplifier circuits may be arranged to correspond to one bit line.
  • The sense amplifier 1300 senses/amplifies and outputs the information accessed from the anti-fuse array 1100. The sensed/amplified information is fuse data OUT1 to OUTn that is actually used to set an operating environment of the non-volatile storage device 1000. As described above, since FIG. 1 illustrates a case in which two sense amplifier circuits are provided to correspond to each bit line, actually, a piece of fuse data, e.g., first fuse data OUT1, may include an odd-numbered piece of fuse data and an even-numbered piece of fuse data.
  • The fuse data OUT1 to OUTn output from the sense amplifier 1300 is provided to the first register unit 1400. The first register unit 1400 may be embodied as a shift register in which a plurality of registers is connected in series to sequentially deliver a signal. Also, the number of registers included in the first register unit 1400 is less than that of the plurality of anti-fuses 1110 included in the anti-fuse array 1100. Also, the number of registers included in the first register unit 1400 may be determined based on that of columns included in the anti-fuse array 1100. For example, when the anti-fuse array 1100 includes n columns, the first register unit 1400 may include n registers. Otherwise, as described above, when two sense amplifier circuits are arranged to correspond to each bit line, the first register unit 1400 may include 2×n registers.
  • The first register unit 1400 receives the fuse data OUT1 to OUTn in units of the rows in the anti-fuse array 1100. For example, when one row is selected from among the rows of the anti-fuse array 1100, fuse data OUT1 to OUTn stored in anti-fuses 1110 connected to a word line of the selected row is provided in parallel to the first register unit 1400. The first register unit 1400 provides the fuse data OUT1 to OUTn to the second register unit 150 by shifting the provided fuse data OUT1 to OUTn in units of bits. The second register unit 1500 may be embodied as a shift register in which a plurality of registers is connected in series to sequentially deliver a signal. The number of registers included in the second register unit 1500 may be equal to that of the plurality of anti-fuses 1110 included in the anti-fuse array 1100. Fuse data OUT1 to OUTn stored in the second register unit 1500 may be used as information for setting an operating environment of the non-volatile storage device 1000. For example, some of the fuse data OUT1 to OUTn stored in the second register unit 1500 may be used as information Info_FA for replacing a memory cell (not shown) included in the non-volatile storage device 1000 with a redundant memory cell, and some of the fuse data OUT1 to OUTn may be used as trimming information Info_DC for adjusting a voltage generated in the non-volatile storage device 1000.
  • To store the fuse data OUT1 to OUTn from the anti-fuse array 1100, the followings are used, in the preferred embodiment: (i) registers connected to the sense amplifier 1300 are used to temporarily store the fuse data OUT1 to OUTn; and (ii) registers coupled to various circuit blocks of the non-volatile storage device 1000, e.g., a row and column decoder or a direct-current (DC) voltage generator, are used to provide fuse data OUT1 to OUTn to the circuit blocks.
  • In accordance with an exemplary embodiment of the inventive concept, the first register unit 1400 receives the fuse data OUT1 to OUTn from the sense amplifier 1300, and transmits the fuse data OUT1 to OUTn to the second register unit 1500 coupled to these circuit blocks. In particular, in this embodiment, the anti-fuse array 1100 has the array structure, and the first register unit 1400 includes the registers, the number of which corresponds to that of columns included in the anti-fuse array 1100. Thus, the number of registers included in the first register unit 1400 is less than that of the plurality of anti-fuses 1110 included in the anti-fuse array 1100. For example, when one sense amplifier circuit is arranged to correspond to each bit line, the first register unit 1400 includes n sense amplifier circuits. Thus, the number of registers in the first register unit 1400 related to the fuse data OUT1 to OUTn need not be m×n and may thus be n. In particular, even if a large number of anti-fuses 1110 are included in the anti-fuse array 1100, the number of registers included in the first register unit 1400 may be limited to n, according to the structure of the anti-fuse array 1100. Accordingly, the number of registers included in the first register unit 1400 may be prevented from being proportionally increased.
  • FIG. 9 illustrates an embodiment of a structure of a memory module 2200, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 9, the module 2200 includes one or more memory devices in accordance with aspects of the inventive concept. For example, the module 2200 includes eight DRAMs. Each of the DRAMs includes an anti-fuse array, which is a non-volatile storage device. When a fail address is stored in a DRAM5, for example, a memory controller may select the DRAM5 by transmitting data ‘0’ to only the DRAM5. The anti-fuse array included in each of the DRAMs is used to store a generated fail address in the DRAM. A command and an address are shared by the eight DRAMs.
  • FIGS. 10 and 11 are embodiments of timing diagrams illustrating timing when a fail address is transmitted, in accordance with aspects of the inventive concept.
  • Referring to FIG. 10, a mode set register command MRS, an active command ACT, a read command RD, and a write command WR are received via a command line CMD. A row fail address F-RA and a column fail address F-CA are received via an address line ADD. In the module 2200 of FIG. 9, the DRAM5 may be selected among the eight DRAMs by receiving only data ‘0’ (logic low) via a data pin DQ. Since data received via data pins DQ0 to DQ7 all becomes logic ‘low,’ a fail address is thus stored in the anti-fuse array, which is a non-volatile storage device included in the DRAM5. After the mode register set command MRS, the active command ACT, and the write command WR are sequentially input and the row fail address F-RA and the column fail address F-CA are input, data ‘0’ is supplied as final chip selection data via the data pin DQ and the fail address is stored in the anti-fuse array. This section is a fail address transfer section. A section between when the programmed fail address is read according to the read command RD and when another mode register set command MRS is received is a verification section. A verification process is completed when the other mode register set command MRS is input after the read command is received.
  • The timing diagram of FIG. 11 is similar to the timing diagram of FIG. 10, except that a memory cell corresponding to a fail address is repaired by receiving only a row fail address F-RA via an address line ADD. Also, when a verification process is performed to read the fail address again, the verification process is completed according to a pre-charge command and a current mode is exited.
  • FIG. 12 is an embodiment of a timing diagram illustrating timing when verification results are transmitted in parallel, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 12, when a mode register set command MRS, an active command ACT, and a write command WR are input via a command line CMD, a row fail address F-RA and a column fail address F-CA are stored in an anti-fuse array which is a non-volatile memory device. Then, states of the stored row fail address F-RA and a column fail address F-CA are checked by reading the row fail address F-RA and a column fail address F-CA to verify them, and resultant verification result is transmitted to the test device 100 via data pins DQ0, DQ1, and DQ2. For example, the verification result that is three logic lows (‘L’) is transmitted in parallel via the data pins DQ0, DQ1, and DQ2. Values transmitted to the other data pins DQ3, . . . , DQ7, is not recognized by a memory controller.
  • FIG. 13 is a table illustrating an embodiment of verification results to be transmitted in parallel, according to aspects of the inventive concept.
  • Referring to the embodiment of FIG. 13, states of the verification result may be checked by reading the verification result stored in an anti-fuse array, which is a non-volatile memory. If verification result transmitted via data pins DQ0, DQ1, and DQ2 is all logic low (Case 1), this means that programming is completed normally and a fail bit is replaced with row redundant cells. If the verification result transmitted via the data pins DQ0, DQ1, and DQ2 is logic low, low, and high, respectively (Case 2), this means that programming is completed normally and a fail bit is replaced with column redundant cells. If the verification result transmitted via the data pins DQ0, DQ1, and DQ2 is logic low, high, and low, respectively (Case 3), this means that programming is completed normally and a fail bit is replaced with a single redundant cell. If the verification result transmitted via the data pins DQ0, DQ1, and DQ2 is logic low, high, and high, respectively (Case 4), this means that no specific meaning is given for future use.
  • Cases 5 to 8 each denote that programming is performed incompletely. If the verification result transmitted via the data pins DQ0, DQ1, and DQ2 is logic high, low, and low, respectively (Case 5), this means that a rupture process performed on a memory cell has a problem, i.e., did not complete properly. If the verification result transmitted via the data pins DQ0, DQ1, and DQ2 is logic high, low, and high, respectively (Case 6), this means that the rupture process is still in progress. In this case, verification may be temporarily delayed and then requested according to a read command RD. If the verification result transmitted via the data pins DQ0, DQ1, and DQ2 is logic high, high, and low, respectively (Case 7), this means that there is no available redundant cell. Thus, a fail bit cannot be repaired and should thus be replaced with another memory cell. If the verification result transmitted via the data pins DQ0, DQ1, and DQ2 is all logic high (Case 8), this means that a current chip is not selected. The verification result is transmitted in parallel to the test device 100 via the data pins DQ0, DQ1, and DQ2.
  • FIG. 14 is an embodiment of a timing diagram illustrating timing when verification results are transmitted, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 14, the verification results illustrated in FIG. 13 are transmitted in series. For example, a 3-bit verification result is transmitted in series via a data pin DQ0. The same 3-bit verification result may be transmitted to the test device 100 via a data pin DQ7.
  • FIG. 15 is a table illustrating an embodiment of verification results to be transmitted in series, in accordance with aspects of the inventive concept.
  • Referring to FIG. 15, Case 1 (LLL) denotes that a fail bit is replaced with row redundant cells. For example, a 3-bit verification result is transmitted in series to the test device 100 via one data pin DQ. Case 6 (HLH) denotes that a rupture process is still in progress, in which a 3-bit verification result is transmitted in series to the test device 100 via data pins DQ0, DQ1, DQ2, and DQ3.
  • FIGS. 16 and 17 are timing charts illustrating an embodiment of a method of operating a test device, according to aspects of the inventive concept.
  • Referring to the embodiment of FIG. 16, a test device performs fail address detection and transmission as described below. First, a fail address is detected using an ECC engine or a BIST unit (operation S100). Then, the detected fail address is stored in a fail address memory (FAM) (operation S105). Then, a fail address transmission mode is entered according to a test command given from a CPU (operation S110). The test commands include a test start command, a test exit command, a command that instructs to start transmission of the fail address, and a command that instructs to end the transmission of the fail address. Then, a mode register set command, a chip selection signal, and the fail address are transmitted (operation S120).
  • Referring to the embodiment of FIG. 17, a memory device receives the mode register set command, a write command, the chip selection signal, and the fail address (operation S130). Then, the fail address is stored in a temporary fail address storage (operation S140). Then, a mode of programming a non-volatile storage device is entered (operation S150). Then, a storage space of an anti-fuse array, which is a non-volatile storage device, is checked (operation S160). Then, the anti-fuse array, which is a non-volatile storage device, is programmed (operation S170). Then, programmed data is read to verify the stored fail address (operation S180). Then, a state of the stored data is checked and a verification result is then transmitted to the outside (operation S190), i.e., external to the memory device. Finally, a fail bit is replaced with another memory cell (operation S200).
  • FIG. 18 is a conceptual diagram of another embodiment of a memory test system, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 18, the memory test system includes a test device 100 and a memory device 200, such as the embodiments described above. In this embodiment, the test device 100 transmits a fail address Addr, a control signal, and data DQ. The memory device 200 includes a BIST unit and an anti-fuse array, which is a non-volatile memory device. The BIST unit tests the memory device 200 according to a test command received from the test device 100, and stores the fail address in the anti-fuse array.
  • FIG. 19 illustrates a circuit block of another embodiment of a memory device 300, in accordance with aspects of the inventive concept.
  • Referring to FIG. 19, the memory device 300 includes a fuse array 340, as a non-volatile memory constructed to store a fail address as program data, a temporary fail address memory (FAM) 330, a fuse array information storage 350 configured to store information about a fuse, a control unit 360 configured to control the fuse array 340 and the fuse array information storage 350, a BIST unit 310 configured to detect a fail address, and a memory cell array 320. The BIST unit 310 receives a test command Control and test data DQ from a test device 100, and detects a fail address by writing the test data DQ to the memory cell array 320 and then reading the test data DQ from the memory cell array 320. When a fail bit occurs, a fail flag and a fail address corresponding to the fail bit are transmitted to the FAM 330.
  • The FAM 330 may be embodied as a register including a plurality of fail address arrays FAM1, . . . , FAMn, in various embodiments. The control unit 360 may check a space of the fuse array 340 using the fuse array information storage 350, to determine if there are available fuses in the fuse array. The control unit 360 may also control a program command and a program address to be stored in the fuse array 340. The test command can be supplied to the test device according to the control signal, and the BIST unit 310 is thus activated. Also, the fail address stored in the FAM 330 is transmitted to the fuse array 340 according to the control signal.
  • FIGS. 20 and 21 are embodiment of timing diagrams illustrating an operation of a memory device, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 20, an active command ACT and a read command RD are input via a command line CMD. Test data EDQ is input via a data pin DQ. The test data EDQ is written to a memory cell array, and read data RDQ is generated by reading the test data EDQ stored in the memory cell array according to the read command RD. When a fail flag signal changes from logic high to logic low, an Nth row address is written to a fail address memory FAM #1. When the fail flag occurs again, an (N+1)th row address is written to a fail address memory FAM #2. Such a command and data are input in synchronization with a clock signal CLK, and a clock enable signal CKE and a chip selection signal are also input in synchronization with the clock signal CLK.
  • Referring to the embodiment of FIG. 21, an active command ACT, a read command RD, and a pre-charge command Pre are input via a command line CMD. The timing diagram of FIG. 21 is substantially similar to that of FIG. 20, except that an Nth row address is transmitted to a fail address memory FAM #1 when the pre-charge command Pre is input, and an (N+1)th row address is transmitted to a fail address memory FAM #2 when the pre-charge command Pre is input again. The FAM 330 of FIG. 19 may be embodied as a register, an SRAM, or the like, as examples.
  • FIG. 22 is a flowchart illustrating an embodiment of a method of operating a memory device, according to aspects of the inventive concept.
  • Referring to the embodiment of FIG. 22, the memory device receives an active command, a write command, and a read command from a test device (operation S300). Then, a BIST unit of the memory device is activated according to a command (operation S310). Then, a fail address is detected, a fail flag is generated, or a pre-charge command is received (operation S320). Then, the fail address is stored in a fail address memory according to the fail flag or the pre-charge command (operation S330). Then, a fuse array enters a program mode for programming the fail address (operation S340). Then, the capacity of a fuse memory is checked to determine the availability of fuses (operation S350). Then, the fuse array is programmed (operation S360). Thereafter, a fail bit is repaired (operation 5370) by using the fuse array to program a redundant cell, in place of the memory cell having the fail bit.
  • FIG. 23 is a diagram illustrating an embodiment of a memory system having optical links, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 23, the memory test system includes a controller 8100 and a memory device 8200. The controller 8100 includes a control unit 8110, a controller transmitter 8121, and a controller receiver 8122. The control unit 8110 includes at least one of an ECC engine and a BIST unit. The controller transmitter 8121 includes an electrical-to-optical (E/O) interface circuit that converts an electrical signal into an optical signal. The controller receiver 8122 includes an optical-to-electrical (O/E) interface circuit that converts an optical signal into an electrical signal. The memory device 8200 includes an anti-fuse array 8221, which is a non-volatile storage device, a BIST unit 8222, a DRAM core 8223, a transmitter 8312, and a receiver 8211, in this embodiment. The transmitter 8312 includes an E/O interface unit that converts an electrical signal into an optical signal. The receiver 8211 includes an O/E interface unit that converts an optical signal into an electrical signal. The controller 8100 and the memory device 8200 are connected via a first optical link 8500 and a second optical link 8501 to transmit and receive data. In another exemplary embodiment of the inventive concept, data may be transmitted and received via one optical link, rather than plural optical links. An I/O circuit 8120 of the controller 8100 and an I/O circuit 8210 of the memory device 8200 are connected via the first optical link 8500 and the second optical link 8501.
  • FIG. 24 illustrates an embodiment having through-silicon-via (TSV) stacked chips to which a memory test system is applied, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 24, an interface chip 3100 is disposed as a lowermost layer, and memory chips 3200, 3300, 3400, and 3500 are sequentially disposed on the interface chip 3100. The interface chip 3100 may include a memory controller 2400, at least one of ECC engine and a BIST unit 2410, and a CPU 2420. The memory chips 3200, 3300, 3400, and 3500 each include anti-fuse arrays 3601, 3602, 3603, and 3604, which are non-volatile storage devices, and BIST units 3801, 3802, 3803, and 3804, respectively. A fail address of a memory chip is detected using a test device (not shown) of the interface chip 3100 and is stored in an anti-fuse array of the memory chip. These chips are connected via micro-bump (uBumps) and TSV formed therein (3701, 3702, 3703, and 3704). For example, the number of stacked chip(s) disposed on the interface chip 3100 may be one or more.
  • FIGS. 25( a) through 25(d) illustrate embodiments of various interfaces of a memory test system, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 25( a), a memory system includes a controller 4000 and a memory device 5000, with at least one communication link disposed between the two. The controller 4000 includes a control unit 4100 and an I/O circuit 4200. The control unit 4100 may include at least one of an ECC engine and a MST unit. The memory device 5000 includes a memory (e.g., DRAM) core 5300, an anti-fuse array 5100, which is a non-volatile storage device, a BIST unit 5400, and an I/O circuit 5200. The I/O circuit 4200 of the controller 4000 includes an interface via which a command, a control signal, an address, and a data strobe DQS are transmitted to the memory device 5000 and data DQ is transmitted to and received from the memory device 5000. A fail address is also transmitted via the interface.
  • Referring to the embodiment of FIG. 25( b), an I/O circuit 4200 of a controller 4000 includes an interface via which a chip selection signal CS and an address are transmitted to a memory device 5000 using one packet and data DQ is transmitted to and received from the memory device 5000, i.e., data DQ not part of the packet. A fail address is also transmitted via the interface.
  • Referring to the embodiment of FIG. 25( c), an I/O circuit 4200 of a controller 4000 includes an interface via which a chip selection signal CS, an address, and write data wData are transmitted to a memory device 5000 using one packet and read data rData is received from the memory device 5000, i.e., rData is separately transmitted. A fail address is also transmitted via the interface.
  • Referring to the embodiment of FIG. 25( d), an I/O circuit 4200 of a controller 4000 includes an interface via which a command, an address, and data DQ are transmitted to and received from a memory device 5000 and a chip selection signal CS is received from the memory device 5000, i.e., chip selection signal CS is separately transmitted. A fail address is also transmitted via the interface.
  • FIGS. 26 and 27 are diagrams illustrating embodiments of system connections of a memory test system, in accordance with aspects of the inventive concept.
  • Referring to the embodiment of FIG. 26, provided is a memory 7300 that includes an anti-fuse array 7301, which is a non-volatile memory, and a BIST unit 7302, a CPU 7100 that includes a BIST unit and/or an ECC engine 7101, and a user interface 7200. The foregoing are connected via a system bus 7110.
  • Referring to FIG. 27, a memory system 6500 that includes a memory 6520 having an anti-fuse array and a BIST unit, and a memory controller 6510 including a BIST and/or ECC engine, a CPU 6100, a random access memory (RAM) 6200, a user interface 6300, and a modem 6400 are connected via a system bus 6110.
  • A memory test device, method, and system in accordance with the inventive concept may detect a fail address of a fail memory cell included in a memory device, and fix or repair the fail memory cell by repairing the fail memory cell. Even during an operation of a chip or after chip packaging is performed, a memory device may be tested and repaired using such test device. Accordingly, malfunctions of the memory device due to a fail cell can be reduced, thereby improving the operating reliability of the memory device.
  • The foregoing provides illustrative embodiments and is not to be construed as limiting of the inventive concept; i.e., the inventive concept is not limited to the embodiments described herein. Although a few embodiments have been described, those skilled in the art will readily appreciate that many modifications are possible in embodiments without materially departing from the inventive concept. Accordingly, all such modifications are intended to be included within the scope of this inventive concept as defined by the claims.

Claims (10)

What is claimed is:
1. A memory device comprising:
an array of memory cells configured for storing data; and
a non-volatile memory (NVM) storage array configured for storing a fail address indicating a failed memory cell from the array of memory cells;
a temporary fail address storage (TFAS) device, configured to temporarily store the fail address; and
a control unit coupled to the TFAS device and the NVM storage array.
2. The memory device of claim 1, wherein the non-volatile storage array comprises an anti-fuse array, wherein the anti-fuse array is an m×n array, wherein m and n are at least 2.
3. The memory device of claim 2, wherein the control unit is configured to verify the fail address.
4. The memory device of claim 2, wherein the control unit is configured to sense the fail address stored in the TFAS device and to program the fail address into the NVM storage array.
5. The memory device of claim 2, further comprising:
a comparing unit configured to compare the fail address with an external address.
6. The memory device of claim 2, further comprising:
an address buffer configured to receive the fail address and to temporarily store the fail address in the TFAS device.
7. The memory device of claim 2, further comprising:
a decoding unit coupled to the control unit and configured to receive and decode a control signal and to generate a mode enable signal.
8. The memory device of claim 7, further comprising:
an address buffer coupled to the TFAS device and configured to receive fail address; and
a control buffer coupled to the decoding unit and configured to receive the control signal.
9. The memory device of claim 1, wherein the memory device is a NAND flash device, PRAM device, or MRAM device.
10. The memory device of claim 1, wherein the memory device is a DRAM.
US14/698,219 2012-02-29 2015-04-28 Device and method for repairing memory cell and memory system including the device Active 2033-02-28 US9659669B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/698,219 US9659669B2 (en) 2012-02-29 2015-04-28 Device and method for repairing memory cell and memory system including the device
US15/395,213 US9953725B2 (en) 2012-02-29 2016-12-30 Semiconductor memory devices and methods of operating the same
US15/498,855 US9831003B2 (en) 2012-02-29 2017-04-27 Device and method for repairing memory cell and memory system including the device
US15/795,595 US10347355B2 (en) 2012-02-29 2017-10-27 Device and method for repairing memory cell and memory system including the device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261604570P 2012-02-29 2012-02-29
US13/753,165 US9087613B2 (en) 2012-02-29 2013-01-29 Device and method for repairing memory cell and memory system including the device
US14/698,219 US9659669B2 (en) 2012-02-29 2015-04-28 Device and method for repairing memory cell and memory system including the device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/753,165 Division US9087613B2 (en) 2012-02-29 2013-01-29 Device and method for repairing memory cell and memory system including the device

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/395,213 Continuation-In-Part US9953725B2 (en) 2012-02-29 2016-12-30 Semiconductor memory devices and methods of operating the same
US15/498,855 Continuation US9831003B2 (en) 2012-02-29 2017-04-27 Device and method for repairing memory cell and memory system including the device

Publications (2)

Publication Number Publication Date
US20150243374A1 true US20150243374A1 (en) 2015-08-27
US9659669B2 US9659669B2 (en) 2017-05-23

Family

ID=49004632

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/753,165 Active 2033-04-12 US9087613B2 (en) 2012-02-29 2013-01-29 Device and method for repairing memory cell and memory system including the device
US14/698,219 Active 2033-02-28 US9659669B2 (en) 2012-02-29 2015-04-28 Device and method for repairing memory cell and memory system including the device
US15/498,855 Active US9831003B2 (en) 2012-02-29 2017-04-27 Device and method for repairing memory cell and memory system including the device
US15/795,595 Active US10347355B2 (en) 2012-02-29 2017-10-27 Device and method for repairing memory cell and memory system including the device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/753,165 Active 2033-04-12 US9087613B2 (en) 2012-02-29 2013-01-29 Device and method for repairing memory cell and memory system including the device

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/498,855 Active US9831003B2 (en) 2012-02-29 2017-04-27 Device and method for repairing memory cell and memory system including the device
US15/795,595 Active US10347355B2 (en) 2012-02-29 2017-10-27 Device and method for repairing memory cell and memory system including the device

Country Status (1)

Country Link
US (4) US9087613B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160099077A1 (en) * 2014-10-02 2016-04-07 Sunghun Park Test system simultaneously testing semiconductor devices
US20170018314A1 (en) * 2015-07-13 2017-01-19 SK Hynix Inc. Semiconductor devices and semiconductor systems including the same
US9953725B2 (en) 2012-02-29 2018-04-24 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of operating the same

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9570196B2 (en) * 2011-09-01 2017-02-14 Rambus Inc. Testing through-silicon-vias
US9087613B2 (en) 2012-02-29 2015-07-21 Samsung Electronics Co., Ltd. Device and method for repairing memory cell and memory system including the device
US8929167B2 (en) * 2013-01-31 2015-01-06 Qualcomm Incorporated MRAM self-repair with BIST logic
KR20140100752A (en) * 2013-02-07 2014-08-18 삼성전자주식회사 Memory module, and memory system including the same
US9430324B2 (en) 2013-05-24 2016-08-30 Rambus Inc. Memory repair method and apparatus based on error code tracking
KR102083266B1 (en) * 2013-11-29 2020-03-03 삼성전자주식회사 Semiconductor memory device testing method and semiconductor memory system
WO2015133982A1 (en) * 2014-03-03 2015-09-11 Hewlett-Packard Development Company, L.P. Dram row sparing
KR102103912B1 (en) * 2014-03-07 2020-04-24 에스케이하이닉스 주식회사 Semiconductor memory device
KR102156276B1 (en) * 2014-04-14 2020-09-16 에스케이하이닉스 주식회사 Memory device and operation method of the same
US9967038B2 (en) 2014-05-16 2018-05-08 Regents Of The University Of Minnesota Optical interconnect in spin-based computation and communication systems
US8947931B1 (en) * 2014-06-13 2015-02-03 Sandisk Technologies Inc. Memory module
KR20160014976A (en) * 2014-07-30 2016-02-12 에스케이하이닉스 주식회사 Memory device and memory system including the same
KR102160598B1 (en) * 2014-08-05 2020-09-28 에스케이하이닉스 주식회사 Semiconductor memory device and operating method thereof
US9281067B1 (en) * 2014-08-11 2016-03-08 Samsung Electronics Co., Ltd. Semiconductor test system and operation method of the same
KR102210327B1 (en) * 2014-08-21 2021-02-01 삼성전자주식회사 Memory device having error inform function
SG11201701659RA (en) * 2014-09-12 2017-04-27 Toshiba Kk Storage device
KR20160046502A (en) * 2014-10-21 2016-04-29 에스케이하이닉스 주식회사 Memory device and memory system including the same
KR20160071769A (en) 2014-12-12 2016-06-22 삼성전자주식회사 Semiconductor memory device and memory system including the same
US20170046212A1 (en) * 2015-08-13 2017-02-16 Qualcomm Incorporated Reducing system downtime during memory subsystem maintenance in a computer processing system
KR102468865B1 (en) * 2016-06-15 2022-11-21 에스케이하이닉스 주식회사 Rupture control device and semiconductor device including the same
US10490251B2 (en) 2017-01-30 2019-11-26 Micron Technology, Inc. Apparatuses and methods for distributing row hammer refresh events across a memory device
US10504607B1 (en) * 2017-09-29 2019-12-10 Cadence Design Systems, Inc. Multiple-channel, programmable fuse control unit
KR20190048132A (en) 2017-10-30 2019-05-09 삼성전자주식회사 Memory device for preventing duplicate program of fail address and operating method thereof
KR102471416B1 (en) * 2018-05-23 2022-11-29 에스케이하이닉스 주식회사 Semiconductor device and memory module including the same
CN112106138B (en) 2018-05-24 2024-02-27 美光科技公司 Apparatus and method for pure time adaptive sampling for row hammer refresh sampling
KR102587648B1 (en) * 2018-07-23 2023-10-11 삼성전자주식회사 Stacked memory devices, memory systems including the same and methods of testing the stacked memory devices
KR102623234B1 (en) * 2018-08-14 2024-01-11 삼성전자주식회사 Storage device and operation method thereof
US10685696B2 (en) 2018-10-31 2020-06-16 Micron Technology, Inc. Apparatuses and methods for access based refresh timing
WO2020117686A1 (en) 2018-12-03 2020-06-11 Micron Technology, Inc. Semiconductor device performing row hammer refresh operation
US10740188B2 (en) * 2018-12-07 2020-08-11 Winbond Electronics Corp. Volatile memory device and method for efficient bulk data movement, backup operation in the volatile memory device
US10666264B1 (en) 2018-12-13 2020-05-26 Micron Technology, Inc. 3D stacked integrated circuits having failure management
CN111354393B (en) 2018-12-21 2023-10-20 美光科技公司 Apparatus and method for timing interleaving for targeted refresh operations
US11615831B2 (en) 2019-02-26 2023-03-28 Micron Technology, Inc. Apparatuses and methods for memory mat refresh sequencing
US11227649B2 (en) 2019-04-04 2022-01-18 Micron Technology, Inc. Apparatuses and methods for staggered timing of targeted refresh operations
US11069393B2 (en) 2019-06-04 2021-07-20 Micron Technology, Inc. Apparatuses and methods for controlling steal rates
US10978132B2 (en) 2019-06-05 2021-04-13 Micron Technology, Inc. Apparatuses and methods for staggered timing of skipped refresh operations
US11302374B2 (en) 2019-08-23 2022-04-12 Micron Technology, Inc. Apparatuses and methods for dynamic refresh allocation
KR20210026487A (en) 2019-08-30 2021-03-10 삼성전자주식회사 Method of controlling repair of volatile memory device and storage device performing the same
US11302377B2 (en) 2019-10-16 2022-04-12 Micron Technology, Inc. Apparatuses and methods for dynamic targeted refresh steals
KR20210063561A (en) 2019-11-25 2021-06-02 삼성전자주식회사 Semiconductor memory devices and methods of operating the same
US11430539B2 (en) * 2020-06-29 2022-08-30 Micron Technology, Inc. Modifiable repair solutions for a memory array
US11309010B2 (en) 2020-08-14 2022-04-19 Micron Technology, Inc. Apparatuses, systems, and methods for memory directed access pause
US11348631B2 (en) 2020-08-19 2022-05-31 Micron Technology, Inc. Apparatuses, systems, and methods for identifying victim rows in a memory device which cannot be simultaneously refreshed
US11380382B2 (en) 2020-08-19 2022-07-05 Micron Technology, Inc. Refresh logic circuit layout having aggressor detector circuit sampling circuit and row hammer refresh control circuit
US11557331B2 (en) 2020-09-23 2023-01-17 Micron Technology, Inc. Apparatuses and methods for controlling refresh operations
US11222686B1 (en) 2020-11-12 2022-01-11 Micron Technology, Inc. Apparatuses and methods for controlling refresh timing
US11264079B1 (en) 2020-12-18 2022-03-01 Micron Technology, Inc. Apparatuses and methods for row hammer based cache lockdown
US11908521B2 (en) 2022-02-01 2024-02-20 Western Digital Technologies, Inc. Non-volatile memory with redundant control line driver
US20230395177A1 (en) * 2022-06-01 2023-12-07 Micron Technology, Inc. Enabling or disabling on-die error-correcting code for a memory built-in self-test
US20240029813A1 (en) * 2022-07-21 2024-01-25 Arm Limited Self-Repair Memory Techniques

Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4480307A (en) * 1982-01-04 1984-10-30 Intel Corporation Interface for use between a memory and components of a module switching apparatus
US4627053A (en) * 1983-03-31 1986-12-02 Hitachi, Ltd. Method of repairing semiconductor memory
US4942556A (en) * 1986-04-23 1990-07-17 Hitachi, Ltd. Semiconductor memory device
US5313424A (en) * 1992-03-17 1994-05-17 International Business Machines Corporation Module level electronic redundancy
US5357473A (en) * 1990-08-09 1994-10-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor storage system including defective bit replacement
US5586075A (en) * 1994-04-27 1996-12-17 Nec Corporation Electrically erasable and programmable read-only memory having redundant memory cell row
US5724282A (en) * 1996-09-06 1998-03-03 Micron Technology, Inc. System and method for an antifuse bank
US5751627A (en) * 1994-12-26 1998-05-12 Mitsubishi Denki Kabushiki Kaisha Memory cell that can store data nonvolatily using a ferroelectric capacitor, and a semiconductor memory device including such a memory cell
US5808945A (en) * 1996-02-21 1998-09-15 Sony Corporation Semiconductor memory having redundant memory array
US5808944A (en) * 1996-02-08 1998-09-15 Hitachi, Ltd. Semiconductor memory device having a defect relief arrangement
US5815449A (en) * 1996-09-19 1998-09-29 Kabushiki Kaisha Toshiba Semiconductor memory device
US5867504A (en) * 1997-06-05 1999-02-02 Sgs-Thomson Microelectronics, S.R.L. Semiconductor memory device with row and column redundancy circuits and a time-shared redundancy circuit test architecture.
US5917833A (en) * 1996-12-10 1999-06-29 Kabushiki Kaisha Toshiba Testing apparatus for semiconductor device
US5920515A (en) * 1997-09-26 1999-07-06 Advanced Micro Devices, Inc. Register-based redundancy circuit and method for built-in self-repair in a semiconductor memory device
US5983374A (en) * 1996-09-26 1999-11-09 Kabushiki Kaisha Toshiba Semiconductor test system and method, and medium for recording test program therefor
US5987632A (en) * 1997-05-07 1999-11-16 Lsi Logic Corporation Method of testing memory operations employing self-repair circuitry and permanently disabling memory locations
US6157585A (en) * 1998-06-30 2000-12-05 Hyundai Electronics Industries Co., Ltd. Redundancy circuit and method of ferroelectric memory device
US6370661B1 (en) * 1999-04-26 2002-04-09 Ip-First, Llc Apparatus for testing memory in a microprocessor
US6370665B1 (en) * 1999-07-28 2002-04-09 Hitachi, Ltd. Semiconductor integrated circuit and recording medium
US6388929B1 (en) * 2000-07-26 2002-05-14 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device performing redundancy repair based on operation test and semiconductor integrated circuit device having the same
US20020159310A1 (en) * 2001-02-27 2002-10-31 Park Sang Wook System of performing a repair analysis for a semiconductor memory device having a redundant architecture
US6480978B1 (en) * 1999-03-01 2002-11-12 Formfactor, Inc. Parallel testing of integrated circuit devices using cross-DUT and within-DUT comparisons
US6499121B1 (en) * 1999-03-01 2002-12-24 Formfactor, Inc. Distributed interface for parallel testing of multiple devices using a single tester channel
US6538924B2 (en) * 2000-06-30 2003-03-25 Hitachi, Ltd. Semiconductor integrated circuit
US6542419B2 (en) * 2000-08-24 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device with electrically programmable fuse
US6552960B2 (en) * 2001-06-04 2003-04-22 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device
US6639857B2 (en) * 2001-06-11 2003-10-28 Hynix Semiconductor Inc. Coding cell of nonvolatile ferroelectric memory device and operating method thereof, and column repair circuit of nonvolatile ferroelectric memory device having the coding cell and method for repairing column
US6646930B2 (en) * 2000-10-03 2003-11-11 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory
US6651204B1 (en) * 2000-06-01 2003-11-18 Advantest Corp. Modular architecture for memory testing on event based test system
US20030226074A1 (en) * 2002-05-31 2003-12-04 Carsten Ohlhoff Test device, test system and method for testing a memory circuit
US20040061516A1 (en) * 2002-09-27 2004-04-01 Oki Electric Industry Co., Ltd. Multi-chip package device including a semiconductor memory chip
US6721920B2 (en) * 2001-06-07 2004-04-13 Agilent Technologies, Inc. Systems and methods for facilitating testing of pad drivers of integrated circuits
US20040138845A1 (en) * 2000-06-03 2004-07-15 Park Hyun Ju Chip design verifying and chip testing apparatus and method
US20040218431A1 (en) * 2003-04-30 2004-11-04 Hynix Semiconductor Inc. Semiconductor memory device and method of operating the same
US6862704B1 (en) * 1999-04-26 2005-03-01 Ip-First, Llc Apparatus and method for testing memory in a microprocessor
US6865706B1 (en) * 2000-06-07 2005-03-08 Agilent Technologies, Inc. Apparatus and method for generating a set of test vectors using nonrandom filling
US20050166111A1 (en) * 2004-01-12 2005-07-28 Taiwan Semiconductor Manufacturing Co. Memory built-in self test circuit with full error mapping capability
US20050289415A1 (en) * 2004-06-24 2005-12-29 Celerity Research, Inc. Intelligent probe chips/heads
US6993692B2 (en) * 2003-06-30 2006-01-31 International Business Machines Corporation Method, system and apparatus for aggregating failures across multiple memories and applying a common defect repair solution to all of the multiple memories
US20060064261A1 (en) * 2004-08-31 2006-03-23 Infineon Technologies Ag Method for testing a memory using an external test chip, and apparatus for carrying out the method
US20060092725A1 (en) * 2004-11-01 2006-05-04 Samsung Electronics Co., Ltd. Redundancy circuit and repair method for a semiconductor memory device
US7174477B2 (en) * 2003-02-04 2007-02-06 Micron Technology, Inc. ROM redundancy in ROM embedded DRAM
US20070070743A1 (en) * 2005-09-29 2007-03-29 Hynix Semiconductor Inc. Multi-port semiconductor memory device
US20070136640A1 (en) * 2005-12-14 2007-06-14 Jarrar Anis M Defect detection and repair in an embedded random access memory
US20070255981A1 (en) * 2006-03-24 2007-11-01 Fujitsu Limited Redundancy-function-equipped semiconductor memory device made from ECC memory
US20080065929A1 (en) * 2006-09-11 2008-03-13 Logicvision, Inc. Method and apparatus for storing and distributing memory repair information
US7392443B2 (en) * 2003-04-12 2008-06-24 Infineon Technologies Ag Method and apparatus for testing DRAM memory chips in multichip memory modules
US20080191990A1 (en) * 2007-02-08 2008-08-14 Nec Electronics Corporation Driver and display method using the same
US20090085599A1 (en) * 2007-09-20 2009-04-02 Samsung Electronics Co., Ltd. Semiconductor device having ESD protection circuit and method of testing the same
US7546506B2 (en) * 2005-04-07 2009-06-09 Hitachi, Ltd. DRAM stacked package, DIMM, and semiconductor manufacturing method
US7694196B2 (en) * 2007-11-20 2010-04-06 Qimonda North America Corp. Self-diagnostic scheme for detecting errors
US7693223B2 (en) * 2005-11-18 2010-04-06 Sharp Kabushiki Kaisha Testing methods of a semiconductor integrated incorporating a high-frequency receiving circuit and a demodulation circuit
US7734966B1 (en) * 2002-12-26 2010-06-08 Marvell International Ltd. Method and system for memory testing and test data reporting during memory testing
US20100271897A1 (en) * 2009-04-28 2010-10-28 Renesas Electronics Corporation Anti-fuse memory cell and semiconductor memory device
US7877649B2 (en) * 2006-11-02 2011-01-25 Qimonda Ag Method and apparatus for testing a memory chip using a common node for multiple inputs and outputs
US20110051538A1 (en) * 2009-08-25 2011-03-03 Micron Technology, Inc. Methods and memory devices for repairing memory cells

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001056038A1 (en) 2000-01-28 2001-08-02 Hitachi, Ltd. Semiconductor system
WO2001081846A1 (en) 2000-04-21 2001-11-01 Kabushiki Kaisha Kumeta Seisakusyo Hot air dryer
JP2001352038A (en) 2000-06-06 2001-12-21 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit device
KR100468315B1 (en) * 2002-07-15 2005-01-27 주식회사 하이닉스반도체 Repair circuit
US6937531B2 (en) 2003-07-21 2005-08-30 Infineon Technologies Ag Memory device and method of storing fail addresses of a memory cell
JP2006186247A (en) 2004-12-28 2006-07-13 Nec Electronics Corp Semiconductor device
JP4761980B2 (en) 2005-09-13 2011-08-31 株式会社東芝 Semiconductor integrated circuit device
KR100735542B1 (en) 2006-01-25 2007-07-04 삼성전자주식회사 Semiconductor memory device for storing repair information without memory on defect bit and operating methor thereof
KR20070101910A (en) 2006-04-12 2007-10-18 주식회사 하이닉스반도체 Semiconductor memory device
US7768847B2 (en) 2008-04-09 2010-08-03 Rambus Inc. Programmable memory repair scheme
JP2010123159A (en) 2008-11-17 2010-06-03 Toshiba Corp Semiconductor integrated circuit
JP5127737B2 (en) 2009-02-04 2013-01-23 株式会社東芝 Semiconductor device
TWI409820B (en) 2009-02-18 2013-09-21 King Yuan Electronics Co Ltd Semiconductor Test System with Self - Test for Memory Repair Analysis
JP5319387B2 (en) * 2009-05-13 2013-10-16 ルネサスエレクトロニクス株式会社 Semiconductor chip relief design method
US8612809B2 (en) 2009-12-31 2013-12-17 Intel Corporation Systems, methods, and apparatuses for stacked memory
US8467258B2 (en) 2010-08-30 2013-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for bit cell repair
US9087613B2 (en) 2012-02-29 2015-07-21 Samsung Electronics Co., Ltd. Device and method for repairing memory cell and memory system including the device
US9165679B2 (en) * 2012-09-18 2015-10-20 Samsung Electronics Co., Ltd. Post package repairing method, method of preventing multiple activation of spare word lines, and semiconductor memory device including fuse programming circuit
US9087614B2 (en) * 2012-11-27 2015-07-21 Samsung Electronics Co., Ltd. Memory modules and memory systems

Patent Citations (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4480307A (en) * 1982-01-04 1984-10-30 Intel Corporation Interface for use between a memory and components of a module switching apparatus
US4627053A (en) * 1983-03-31 1986-12-02 Hitachi, Ltd. Method of repairing semiconductor memory
US4942556A (en) * 1986-04-23 1990-07-17 Hitachi, Ltd. Semiconductor memory device
US5357473A (en) * 1990-08-09 1994-10-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor storage system including defective bit replacement
US5313424A (en) * 1992-03-17 1994-05-17 International Business Machines Corporation Module level electronic redundancy
US5586075A (en) * 1994-04-27 1996-12-17 Nec Corporation Electrically erasable and programmable read-only memory having redundant memory cell row
US5751627A (en) * 1994-12-26 1998-05-12 Mitsubishi Denki Kabushiki Kaisha Memory cell that can store data nonvolatily using a ferroelectric capacitor, and a semiconductor memory device including such a memory cell
US5808944A (en) * 1996-02-08 1998-09-15 Hitachi, Ltd. Semiconductor memory device having a defect relief arrangement
US5808945A (en) * 1996-02-21 1998-09-15 Sony Corporation Semiconductor memory having redundant memory array
US5724282A (en) * 1996-09-06 1998-03-03 Micron Technology, Inc. System and method for an antifuse bank
US5815449A (en) * 1996-09-19 1998-09-29 Kabushiki Kaisha Toshiba Semiconductor memory device
US5983374A (en) * 1996-09-26 1999-11-09 Kabushiki Kaisha Toshiba Semiconductor test system and method, and medium for recording test program therefor
US5917833A (en) * 1996-12-10 1999-06-29 Kabushiki Kaisha Toshiba Testing apparatus for semiconductor device
US5987632A (en) * 1997-05-07 1999-11-16 Lsi Logic Corporation Method of testing memory operations employing self-repair circuitry and permanently disabling memory locations
US5867504A (en) * 1997-06-05 1999-02-02 Sgs-Thomson Microelectronics, S.R.L. Semiconductor memory device with row and column redundancy circuits and a time-shared redundancy circuit test architecture.
US5920515A (en) * 1997-09-26 1999-07-06 Advanced Micro Devices, Inc. Register-based redundancy circuit and method for built-in self-repair in a semiconductor memory device
US6157585A (en) * 1998-06-30 2000-12-05 Hyundai Electronics Industries Co., Ltd. Redundancy circuit and method of ferroelectric memory device
US6480978B1 (en) * 1999-03-01 2002-11-12 Formfactor, Inc. Parallel testing of integrated circuit devices using cross-DUT and within-DUT comparisons
US6499121B1 (en) * 1999-03-01 2002-12-24 Formfactor, Inc. Distributed interface for parallel testing of multiple devices using a single tester channel
US6370661B1 (en) * 1999-04-26 2002-04-09 Ip-First, Llc Apparatus for testing memory in a microprocessor
US6862704B1 (en) * 1999-04-26 2005-03-01 Ip-First, Llc Apparatus and method for testing memory in a microprocessor
US20020062466A1 (en) * 1999-07-28 2002-05-23 Hitachi, Limited Semiconductor integrated circuit and recording medium
US6370665B1 (en) * 1999-07-28 2002-04-09 Hitachi, Ltd. Semiconductor integrated circuit and recording medium
US6651204B1 (en) * 2000-06-01 2003-11-18 Advantest Corp. Modular architecture for memory testing on event based test system
US20040138845A1 (en) * 2000-06-03 2004-07-15 Park Hyun Ju Chip design verifying and chip testing apparatus and method
US6865706B1 (en) * 2000-06-07 2005-03-08 Agilent Technologies, Inc. Apparatus and method for generating a set of test vectors using nonrandom filling
US6538924B2 (en) * 2000-06-30 2003-03-25 Hitachi, Ltd. Semiconductor integrated circuit
US6388929B1 (en) * 2000-07-26 2002-05-14 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device performing redundancy repair based on operation test and semiconductor integrated circuit device having the same
US6542419B2 (en) * 2000-08-24 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device with electrically programmable fuse
US6646930B2 (en) * 2000-10-03 2003-11-11 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory
US20020159310A1 (en) * 2001-02-27 2002-10-31 Park Sang Wook System of performing a repair analysis for a semiconductor memory device having a redundant architecture
US6552960B2 (en) * 2001-06-04 2003-04-22 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device
US6721920B2 (en) * 2001-06-07 2004-04-13 Agilent Technologies, Inc. Systems and methods for facilitating testing of pad drivers of integrated circuits
US6639857B2 (en) * 2001-06-11 2003-10-28 Hynix Semiconductor Inc. Coding cell of nonvolatile ferroelectric memory device and operating method thereof, and column repair circuit of nonvolatile ferroelectric memory device having the coding cell and method for repairing column
US20030226074A1 (en) * 2002-05-31 2003-12-04 Carsten Ohlhoff Test device, test system and method for testing a memory circuit
US20040061516A1 (en) * 2002-09-27 2004-04-01 Oki Electric Industry Co., Ltd. Multi-chip package device including a semiconductor memory chip
US7734966B1 (en) * 2002-12-26 2010-06-08 Marvell International Ltd. Method and system for memory testing and test data reporting during memory testing
US7174477B2 (en) * 2003-02-04 2007-02-06 Micron Technology, Inc. ROM redundancy in ROM embedded DRAM
US7392443B2 (en) * 2003-04-12 2008-06-24 Infineon Technologies Ag Method and apparatus for testing DRAM memory chips in multichip memory modules
US20040218431A1 (en) * 2003-04-30 2004-11-04 Hynix Semiconductor Inc. Semiconductor memory device and method of operating the same
US6993692B2 (en) * 2003-06-30 2006-01-31 International Business Machines Corporation Method, system and apparatus for aggregating failures across multiple memories and applying a common defect repair solution to all of the multiple memories
US20050166111A1 (en) * 2004-01-12 2005-07-28 Taiwan Semiconductor Manufacturing Co. Memory built-in self test circuit with full error mapping capability
US20050289415A1 (en) * 2004-06-24 2005-12-29 Celerity Research, Inc. Intelligent probe chips/heads
US20060064261A1 (en) * 2004-08-31 2006-03-23 Infineon Technologies Ag Method for testing a memory using an external test chip, and apparatus for carrying out the method
US20060092725A1 (en) * 2004-11-01 2006-05-04 Samsung Electronics Co., Ltd. Redundancy circuit and repair method for a semiconductor memory device
US7546506B2 (en) * 2005-04-07 2009-06-09 Hitachi, Ltd. DRAM stacked package, DIMM, and semiconductor manufacturing method
US20070070743A1 (en) * 2005-09-29 2007-03-29 Hynix Semiconductor Inc. Multi-port semiconductor memory device
US7693223B2 (en) * 2005-11-18 2010-04-06 Sharp Kabushiki Kaisha Testing methods of a semiconductor integrated incorporating a high-frequency receiving circuit and a demodulation circuit
US20070136640A1 (en) * 2005-12-14 2007-06-14 Jarrar Anis M Defect detection and repair in an embedded random access memory
US20070255981A1 (en) * 2006-03-24 2007-11-01 Fujitsu Limited Redundancy-function-equipped semiconductor memory device made from ECC memory
US20080065929A1 (en) * 2006-09-11 2008-03-13 Logicvision, Inc. Method and apparatus for storing and distributing memory repair information
US7877649B2 (en) * 2006-11-02 2011-01-25 Qimonda Ag Method and apparatus for testing a memory chip using a common node for multiple inputs and outputs
US20080191990A1 (en) * 2007-02-08 2008-08-14 Nec Electronics Corporation Driver and display method using the same
US20090085599A1 (en) * 2007-09-20 2009-04-02 Samsung Electronics Co., Ltd. Semiconductor device having ESD protection circuit and method of testing the same
US7694196B2 (en) * 2007-11-20 2010-04-06 Qimonda North America Corp. Self-diagnostic scheme for detecting errors
US20100271897A1 (en) * 2009-04-28 2010-10-28 Renesas Electronics Corporation Anti-fuse memory cell and semiconductor memory device
US20110051538A1 (en) * 2009-08-25 2011-03-03 Micron Technology, Inc. Methods and memory devices for repairing memory cells

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9953725B2 (en) 2012-02-29 2018-04-24 Samsung Electronics Co., Ltd. Semiconductor memory devices and methods of operating the same
US20160099077A1 (en) * 2014-10-02 2016-04-07 Sunghun Park Test system simultaneously testing semiconductor devices
US9620243B2 (en) * 2014-10-02 2017-04-11 Samsung Electronics Co., Ltd. Test system simultaneously testing semiconductor devices
US20170018314A1 (en) * 2015-07-13 2017-01-19 SK Hynix Inc. Semiconductor devices and semiconductor systems including the same
US10083760B2 (en) * 2015-07-13 2018-09-25 SK Hynix Inc. Semiconductor devices and semiconductor systems including the same

Also Published As

Publication number Publication date
US9087613B2 (en) 2015-07-21
US20180068742A1 (en) 2018-03-08
US9831003B2 (en) 2017-11-28
US20130227344A1 (en) 2013-08-29
US20170229192A1 (en) 2017-08-10
US10347355B2 (en) 2019-07-09
US9659669B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
US10347355B2 (en) Device and method for repairing memory cell and memory system including the device
TWI602181B (en) Memory system and method for operating test device to transmit fail address to memory device
US9953725B2 (en) Semiconductor memory devices and methods of operating the same
KR102076584B1 (en) Device and method of repairing memory cell and memory system including the same
US11222708B2 (en) Shared error detection and correction memory
KR102471608B1 (en) Semiconductor memory device and operating method thereof
US8730744B2 (en) Semiconductor memory with redundant word lines, system, and method of manufacturing semiconductor memory
US10083760B2 (en) Semiconductor devices and semiconductor systems including the same
CN107919160B (en) Method of testing cell array and semiconductor device performing the same
US9786390B2 (en) Memory device repairable by soft and hard repair operations and memory system including the same
US9640279B1 (en) Apparatus and method for built-in test and repair of 3D-IC memory
CN105938727B (en) Semiconductor system and method for testing semiconductor device
US20140219000A1 (en) Otp cell array including protected area, semiconductor memory device including the same, and method of programming the same
CN113362883B (en) Configurable Soft Post Package Repair (SPPR) scheme
US8270237B2 (en) Semiconductor device, relief-address-information writing device, and relief-address-information writing method
US9330793B2 (en) Memory device
US20180053535A1 (en) Memory device and central processing unit
US11366716B2 (en) Semiconductor memory devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, DEMOCRATIC P

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SOHN, KYO-MIN;SONG, HO-YOUNG;HWANG, SANG-JOON;AND OTHERS;SIGNING DATES FROM 20130105 TO 20130114;REEL/FRAME:036664/0907

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4