US20150247259A1 - Low-temperature selective epitaxial growth of silicon for device integration - Google Patents

Low-temperature selective epitaxial growth of silicon for device integration Download PDF

Info

Publication number
US20150247259A1
US20150247259A1 US14/711,403 US201514711403A US2015247259A1 US 20150247259 A1 US20150247259 A1 US 20150247259A1 US 201514711403 A US201514711403 A US 201514711403A US 2015247259 A1 US2015247259 A1 US 2015247259A1
Authority
US
United States
Prior art keywords
silicon
recited
epitaxial
substrate material
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/711,403
Inventor
Bahman Hekmatshoar-Tabari
Ali Khakifirooz
Alexander Reznicek
Devendra K. Sadana
Ghavam G. Shahidi
Davood Shahrjerdi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/711,403 priority Critical patent/US20150247259A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHAHRJERDI, DAVOOD, SADANA, DEVENDRA K., HEKMATSHOAR-TABARI, Bahman, KHAKIFIROOZ, ALI, REZNICEK, ALEXANDER, SHAHIDI, GHAVAM G.
Publication of US20150247259A1 publication Critical patent/US20150247259A1/en
Priority to US15/371,366 priority patent/US20170081781A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/04Pattern deposit, e.g. by using masks
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/186Epitaxial-layer growth characterised by the substrate being specially pre-treated by, e.g. chemical or physical means
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02395Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02584Delta-doping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • the present invention relates to semiconductor processing and more particularly to a low temperature epitaxial growth process.
  • SEG selective epitaxial growth of highly doped silicon is suitable for applications in raised source/drain (S/D) regions to reduce parasitic series resistance associated with shallow-doped S/D regions.
  • S/D raised source/drain
  • conventional methods for SEG of silicon require high temperature processing. The typical processing temperatures are greater than 600° C.
  • An epitaxy method includes providing an exposed crystalline region of a substrate material. Silicon is epitaxially deposited on the substrate material in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius. A source gas is diluted with a dilution gas with a gas ratio of dilution gas to source gas of less than 1000.
  • Another epitaxy method includes providing a crystalline substrate material; growing an insulator on the substrate material; opening the insulator to form exposed areas of the substrate material; depositing silicon on the exposed areas of the substrate material to form epitaxial silicon on the exposed areas and form non-epitaxial silicon in other than the exposed areas in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius; and etching the non-epitaxial silicon using a plasma to further epitaxial deposition of silicon over the exposed areas.
  • Yet another epitaxy method includes providing an exposed crystalline region of a substrate material and epitaxially depositing silicon selectively on the substrate material in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius, by diluting a silane with a H 2 with a gas ratio of dilution gas to source gas of less than 1000.
  • FIG. 1 is a cross-sectional view of an illustrative semiconductor device with raised source/drain regions formed with selective epitaxial growth in accordance with one embodiment
  • FIG. 2A is a diagram showing sheet resistance versus gas ratio for [PH 3 ]/[SiH 4 ] showing three illustrative samples in accordance with one embodiment
  • FIG. 2B is a diagram showing atom concentration versus depth for the three samples of FIG. 2A and further showing a linear relationship between P concentration and PH 3 flow in accordance with one example;
  • FIG. 3A is a cross-sectional view of a device having a selective epitaxial layer formed along with a non-epitaxial layer in a low temperature process in accordance with one embodiment
  • FIG. 3B is a cross-sectional view of the device in FIG. 3A having the non-epitaxial layer etched to further form the selective epitaxial layer in accordance with one embodiment;
  • FIG. 3C is a cross-sectional view of the device in FIG. 3B after the non-epitaxial layer has been completely etched in accordance with one embodiment
  • FIG. 4 is a block/flow diagram showing an illustrative method for selective epitaxial growth in accordance with the present principles.
  • FIG. 5 is a block/flow diagram showing another illustrative method for selective epitaxial growth using etching in accordance with the present principles.
  • High dopant activation e.g., greater than 1 ⁇ 10 20 cm ⁇ 3
  • Selective growth is provided by etching a deposited silicon on regions where crystalline-Si (c-Si) is not exposed, in H 2 plasma.
  • the present embodiments offer an uninterrupted selective epitaxial growth (SEG) of Si, where the epitaxial growth and the plasma etching of the non-epitaxial Si occur in a same reactor.
  • SEG selective epitaxial growth
  • boron doped Si or other dopants is also possible using the present methods.
  • the low temperature process in accordance with the present principles opens up possibilities for many applications such as three-dimensional (3D) integration of devices, raised source/drain (S/D) regions for transistors fabricated on extremely thin semiconductor on insulator (ETSOI), partially-depleted SOI (PDSOI), bulk silicon substrates, etc. and other applications.
  • 3D three-dimensional
  • Plasma enhanced chemical vapor deposition may also be employed for low-temperature deposition of amorphous, microcrystalline, polycrystalline as well as epitaxial growth of silicon on a c-Si substrate at temperatures below 300° C.
  • Devices described herein may be part of a design for an integrated circuit chip.
  • the chip design may be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer may transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly.
  • the stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer.
  • the photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • the methods as described herein may be used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
  • the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
  • the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • a device or wafer 100 includes a substrate 102 wherein methods in accordance with the present principles will be applied.
  • Substrate 102 may include, e.g., a bulk monocrystalline silicon substrate, a semiconductor-on-insulator (SOI), an extremely thin SOI (ETSOI) substrate, a partially-depleted SOI (PDSOI) substrate or other substrates.
  • SOI semiconductor-on-insulator
  • ETSOI extremely thin SOI
  • PDSOI partially-depleted SOI
  • Other substrates may include Ge, III-V substrates (e.g., GaAs), etc.
  • silicon is a preferred substrate material for epitaxial growth; however, other crystalline substrate materials may also be employed in accordance with the present principles.
  • the device 100 may be employed in three-dimensional (3D) integration applications or other applications where epitaxial growth is needed to form component layers.
  • the epitaxial growth is employed to form raised source/drain (S/D) regions for transistors.
  • S/D source/drain
  • the present embodiment will illustratively describe forming raised S/D regions although the present principles apply to any epitaxial growth and etching applications.
  • a gate structure 106 is formed including a gate insulator 108 (e.g., an oxide), a gate conductor 110 (e.g., doped polysilicon), and spacers 112 (e.g., nitride). Other gate structures and materials may also be employed.
  • FIG. 1 illustratively shows faceted S/D regions 120 , although the S/D regions 120 need not be faceted.
  • S/D regions 120 are formed by epitaxial growth.
  • the epitaxial growth may include a highly doped or undoped silicon at temperatures as low as 150 degrees C. on predetermined areas of the substrate 102 . This is preferably where crystalline silicon (c-Si) is exposed, hence selective epitaxial growth.
  • the selective epitaxial growth of silicon is performed in a hydrogen diluted silane environment using a plasma enhanced chemical vapor deposition process (PECVD).
  • PECVD plasma enhanced chemical vapor deposition process
  • the gas ratio of hydrogen gas to silane gas ([H 2 ]/[SiH 4 ]) at 150 degrees C. is preferably between 0 to about 1000.
  • epitaxially growth of silicon begins at a gas ratio of about 5-10.
  • the epitaxial Si quality is improved by increasing the hydrogen dilution, e.g., to 5 or greater.
  • Epitaxial silicon can be grown using various gas sources, e.g., silane (SiH 4 ), dichlorosilane (DCS), SiF 4 , SiCl 4 or the like.
  • silane SiH 4
  • DCS dichlorosilane
  • SiF 4 SiCl 4 or the like.
  • the quality of epitaxial silicon improves by increasing the dilution of hydrogen using these or other gases. For higher hydrogen dilution, smoother interfaces were produced (epitaxial silicon to crystalline silicon) and fewer stacking faults and other defects were observed.
  • Radio-frequency (RF) or direct current (DC) plasma enhanced chemical vapor deposition (CVD) is preferably performed at deposition temperature ranges from about room temperature to about 500 degrees C., and preferably from about 150 degrees C. to about 250 degrees C.
  • Plasma power density may range from about 2 mW/cm 2 to about 2000 mW/cm 2 .
  • a deposition pressure range may be from about 10 mtorr to about 5 ton.
  • high dopant activation can be obtained at temperatures as low as 150 degrees C. This makes the present methods attractive for applications in 3D integration and raised S/D fabrications.
  • the epitaxial Si may contain, e.g., carbon, germanium, phosphorus, arsenic, boron, etc.
  • the low-temperature epitaxial Si may be grown on different substrates, such as Si, Ge, and III-Vs. For example, an epitaxial silicon layer was grown by the present inventors on GaAs at about 200 degrees Celsius in accordance with the present principles.
  • sheet resistivity of phosphorus doped epitaxial silicon (epi-Si) for various PH 3 gas flows is shown.
  • Sheet resistivity for ⁇ 40-50 nm thick epi-Si doped for various PH 3 gas flows indicates a high dopant activation in silicon.
  • the hydrogen to silane gas ratio was 14.
  • Secondary ion mass spectroscopy (SIMS) was carried out for the samples denoted as 1, 2, and 3.
  • FIG. 2B shows P concentration (atoms/cm 3 ) versus depth (nm) for samples 1, 2 and 3.
  • the P concentration is linearly proportional with PH 3 (see inset 210 ).
  • a corresponding level of the electrically active dopants from the sheet resistivity measurements for the samples 1 and 2 is in agreement with the actual concentration of dopants given by the SIMS data.
  • the concentration of the electrically active dopants for the sample 3, however, is much lower than the total incorporated dopants, evident from the SIMS analysis of FIG. 2B .
  • the epitaxial growth of silicon was disrupted by increasing the [PH 3 ]/[SiH 4 ] for sample 3, and a non-epitaxial phase of silicon began to grow. Similar results were obtained for Boron incorporation.
  • the dopant gas concentration should be maintained below a threshold gas ratio to avoid a non-crystalline silicon phase or to provide a non-epitaxial phase, if desired.
  • the threshold appears to be at about a gas ratio of [PH 3 ]/[SiH 4 ] between about 5-8.
  • Other gases/dopant processes have other thresholds.
  • selective growth of epitaxial silicon 302 on predetermined areas of a substrate 304 may be obtained by in-situ etching of non-epitaxial silicon in H 2 plasma 308 .
  • An etching process of amorphous silicon is employed to concurrently form epitaxial silicon on exposed crystalline silicon areas. It should be understood that the epitaxial growth and etching may be performed sequentially or concurrently as needed.
  • a window 312 is opened up within an insulator (e.g., silicon oxide (SiO 2 )) layer 306 , which is formed on substrate 304 .
  • the silicon on the insulator (e.g., oxide) 306 forms as non-epitaxial (e.g., amorphous) silicon 310 .
  • a H 2 plasma etch 308 is performed at 150 degrees C. at 900 mtorr, resulting in an etch selectivity of approximately 1:3 for c-Si 304 with respect to a-Si:H 310 .
  • FIG. 3C shows the selective epitaxial silicon 302 and the non-epitaxial (amorphous in this case) Si 310 removed. It should be understood that a non-epitaxial portion (amorphous Si 310 ) grown on the insulator 306 can be etched using gases such as, e.g., H 2 , HCl, Cl 2 , Ar, etc. The epitaxial deposition and the H 2 plasma etch may be performed sequentially or concurrently in a same chamber.
  • the selective epitaxial growth can be achieved either by alternating gas pulses responsible for the epitaxial growth (e.g., silane and dopant species) and the etch (plasma etchants, e.g., H 2 , HCl, etc.) or by simultaneous flow of all the gases.
  • gas pulses responsible for the epitaxial growth e.g., silane and dopant species
  • etch plasma etchants, e.g., H 2 , HCl, etc.
  • an exposed crystalline region of a substrate material is provided. This may include opening up windows in a dielectric layer or patterning a layer on the substrate.
  • the substrate material may include Si, Ge, III-V materials, etc.
  • silicon is epitaxially deposited on the substrate material in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius, and preferably less than 250 degrees Celsius.
  • the process is selective to exposed areas of the substrate.
  • the process preferably includes a radio frequency or direct current plasma enhanced chemical vapor deposition process.
  • a source gas is diluted with a dilution gas including at least one of H 2 , HCl, Cl 2 and Ar with a gas ratio of dilution gas to source gas of less than 1000.
  • the source gas may include one of SiH 4 , dichlorosilane (DCS), SiF 4 or SiCl 4 .
  • SiH 4 is employed with H 2 with a gas ratio [H 2 ]/[SiH 4 ] of over 5.
  • a dopant species or multiple dopant species may be introduced with a gas ratio which provides a doped epitaxial silicon.
  • the doped epitaxial silicon may include at least one of carbon, germanium, phosphorus, arsenic or boron.
  • a method for selective epitaxial growth employs, e.g., an RF or DC plasma enhanced chemical vapor deposition process.
  • a crystalline substrate material is provided.
  • the substrate material may include Si, Ge, III-V materials, etc.
  • an oxide or insulator is grown on the substrate material.
  • the insulator e.g., oxide
  • the insulator is opened up or patterned to form exposed areas of the substrate material.
  • silicon is deposited on the exposed areas of the substrate material to form epitaxial silicon on the exposed areas and form non-epitaxial silicon in other than the exposed areas in a low temperature process (e.g., deposition temperature less than 500 degrees Celsius, and more preferably less than 250 degrees Celsius).
  • silicon deposition includes diluting a source gas with a dilution gas including at least one of H 2 , HCl, Cl 2 and Ar with a gas ratio of dilution gas to source gas of less than 1000, wherein the source gas includes one of SiH 4 , dichlorosilane (DCS), SiF 4 or SiCl 4 .
  • the non-epitaxial (e.g., amorphous or polysilicon) silicon is selectively etched using a plasma, and further epitaxial deposition of silicon is performed over the exposed areas.
  • the plasma may include at least one of H 2 , HCl, Cl 2 or Ar.
  • a dopant species or multiple dopant species may be introduced with a gas ratio which provides a doped epitaxial silicon.
  • the doped epitaxial silicon may include at least one of carbon, germanium, phosphorus, arsenic or boron.
  • selective epitaxial growth is provided by alternating the depositing and etching steps, or the depositing and etching are concurrently performed.

Abstract

An epitaxy method includes providing an exposed crystalline region of a substrate material. Silicon is epitaxially deposited on the substrate material in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius. A source gas is diluted with a dilution gas with a gas ratio of dilution gas to source gas of less than 1000.

Description

    RELATED APPLICATION DATA
  • This application is a divisional application of U.S. patent application Ser. No. 13/032,866 filed on Feb. 23, 2011, incorporated herein by reference in its entirety.
  • BACKGROUND
  • 1. Technical Field
  • The present invention relates to semiconductor processing and more particularly to a low temperature epitaxial growth process.
  • 2. Description of the Related Art
  • Selective epitaxial growth (SEG) of highly doped silicon is suitable for applications in raised source/drain (S/D) regions to reduce parasitic series resistance associated with shallow-doped S/D regions. However, conventional methods for SEG of silicon require high temperature processing. The typical processing temperatures are greater than 600° C.
  • The high temperature requirement limits the processes and applications which can utilize the conventional methods for SEG of Si. Further, conventional high temperature depositions (over 600 degrees C.) for epitaxial growth of silicon lack selective growth of Si on predetermined areas, e.g., where the c-Si is exposed.
  • SUMMARY
  • An epitaxy method includes providing an exposed crystalline region of a substrate material. Silicon is epitaxially deposited on the substrate material in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius. A source gas is diluted with a dilution gas with a gas ratio of dilution gas to source gas of less than 1000.
  • Another epitaxy method includes providing a crystalline substrate material; growing an insulator on the substrate material; opening the insulator to form exposed areas of the substrate material; depositing silicon on the exposed areas of the substrate material to form epitaxial silicon on the exposed areas and form non-epitaxial silicon in other than the exposed areas in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius; and etching the non-epitaxial silicon using a plasma to further epitaxial deposition of silicon over the exposed areas.
  • Yet another epitaxy method includes providing an exposed crystalline region of a substrate material and epitaxially depositing silicon selectively on the substrate material in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius, by diluting a silane with a H2 with a gas ratio of dilution gas to source gas of less than 1000.
  • These and other features and advantages will become apparent from the following detailed description of illustrative embodiments thereof, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The disclosure will provide details in the following description of preferred embodiments with reference to the following figures wherein:
  • FIG. 1 is a cross-sectional view of an illustrative semiconductor device with raised source/drain regions formed with selective epitaxial growth in accordance with one embodiment;
  • FIG. 2A is a diagram showing sheet resistance versus gas ratio for [PH3]/[SiH4] showing three illustrative samples in accordance with one embodiment;
  • FIG. 2B is a diagram showing atom concentration versus depth for the three samples of FIG. 2A and further showing a linear relationship between P concentration and PH3 flow in accordance with one example;
  • FIG. 3A is a cross-sectional view of a device having a selective epitaxial layer formed along with a non-epitaxial layer in a low temperature process in accordance with one embodiment;
  • FIG. 3B is a cross-sectional view of the device in FIG. 3A having the non-epitaxial layer etched to further form the selective epitaxial layer in accordance with one embodiment;
  • FIG. 3C is a cross-sectional view of the device in FIG. 3B after the non-epitaxial layer has been completely etched in accordance with one embodiment;
  • FIG. 4 is a block/flow diagram showing an illustrative method for selective epitaxial growth in accordance with the present principles; and
  • FIG. 5 is a block/flow diagram showing another illustrative method for selective epitaxial growth using etching in accordance with the present principles.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • In accordance with the present principles, methods for selective epitaxial growth of highly-doped silicon at low temperatures are disclosed. In particularly useful embodiments, growth temperatures as low as 150° C. are achieved using plasma enhanced chemical vapor deposition (PECVD). The epitaxial growth is obtained by increasing and optimizing a gas ratio of [H2]/[SiH4]. In another embodiment, an N+ doped silicon is grown by, e.g., incorporating phosphorus using PH3 gas.
  • High dopant activation, e.g., greater than 1×1020 cm −3, can be obtained at 150° C. Selective growth is provided by etching a deposited silicon on regions where crystalline-Si (c-Si) is not exposed, in H2 plasma. As a result, the present embodiments offer an uninterrupted selective epitaxial growth (SEG) of Si, where the epitaxial growth and the plasma etching of the non-epitaxial Si occur in a same reactor. Selective epitaxial growth of boron doped Si or other dopants is also possible using the present methods.
  • The low temperature process in accordance with the present principles opens up possibilities for many applications such as three-dimensional (3D) integration of devices, raised source/drain (S/D) regions for transistors fabricated on extremely thin semiconductor on insulator (ETSOI), partially-depleted SOI (PDSOI), bulk silicon substrates, etc. and other applications.
  • Plasma enhanced chemical vapor deposition (PECVD) may also be employed for low-temperature deposition of amorphous, microcrystalline, polycrystalline as well as epitaxial growth of silicon on a c-Si substrate at temperatures below 300° C.
  • The flowchart and diagrams in the Figures illustrate the architecture, functionality, and operation of possible implementations of various embodiments of the present invention. It should also be noted that, in some alternative implementations, the functions noted in the blocks may occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It will also be noted that each block of the block diagrams and/or flowchart illustrations, and combinations of blocks in the block diagrams and/or flowchart illustrations, can be implemented by special purpose hardware-based systems that perform the specified functions or acts, or combinations of special purpose hardware and instructions.
  • It is to be understood that the present invention will be described in terms of a given illustrative architecture using silicon; however, other architectures, structures, substrate materials and process features and steps may be varied within the scope of the present invention.
  • Devices described herein may be part of a design for an integrated circuit chip. The chip design may be created in a graphical computer programming language, and stored in a computer storage medium (such as a disk, tape, physical hard drive, or virtual hard drive such as in a storage access network). If the designer does not fabricate chips or the photolithographic masks used to fabricate chips, the designer may transmit the resulting design by physical means (e.g., by providing a copy of the storage medium storing the design) or electronically (e.g., through the Internet) to such entities, directly or indirectly. The stored design is then converted into the appropriate format (e.g., GDSII) for the fabrication of photolithographic masks, which typically include multiple copies of the chip design in question that are to be formed on a wafer. The photolithographic masks are utilized to define areas of the wafer (and/or the layers thereon) to be etched or otherwise processed.
  • The methods as described herein may be used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product. The end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
  • Referring now to the drawings in which like numerals represent the same or similar elements and initially to FIG. 1, a device or wafer 100 includes a substrate 102 wherein methods in accordance with the present principles will be applied. Substrate 102 may include, e.g., a bulk monocrystalline silicon substrate, a semiconductor-on-insulator (SOI), an extremely thin SOI (ETSOI) substrate, a partially-depleted SOI (PDSOI) substrate or other substrates. Other substrates may include Ge, III-V substrates (e.g., GaAs), etc. In the present embodiment, silicon is a preferred substrate material for epitaxial growth; however, other crystalline substrate materials may also be employed in accordance with the present principles.
  • The device 100 may be employed in three-dimensional (3D) integration applications or other applications where epitaxial growth is needed to form component layers. In a particularly useful embodiment, the epitaxial growth is employed to form raised source/drain (S/D) regions for transistors. The present embodiment will illustratively describe forming raised S/D regions although the present principles apply to any epitaxial growth and etching applications.
  • A gate structure 106 is formed including a gate insulator 108 (e.g., an oxide), a gate conductor 110 (e.g., doped polysilicon), and spacers 112 (e.g., nitride). Other gate structures and materials may also be employed. FIG. 1 illustratively shows faceted S/D regions 120, although the S/D regions 120 need not be faceted. S/D regions 120 are formed by epitaxial growth. The epitaxial growth may include a highly doped or undoped silicon at temperatures as low as 150 degrees C. on predetermined areas of the substrate 102. This is preferably where crystalline silicon (c-Si) is exposed, hence selective epitaxial growth.
  • In one embodiment, the selective epitaxial growth of silicon is performed in a hydrogen diluted silane environment using a plasma enhanced chemical vapor deposition process (PECVD). The gas ratio of hydrogen gas to silane gas ([H2]/[SiH4]) at 150 degrees C. is preferably between 0 to about 1000. In particularly useful embodiments, epitaxially growth of silicon begins at a gas ratio of about 5-10. The epitaxial Si quality is improved by increasing the hydrogen dilution, e.g., to 5 or greater.
  • Epitaxial silicon can be grown using various gas sources, e.g., silane (SiH4), dichlorosilane (DCS), SiF4, SiCl4 or the like. The quality of epitaxial silicon improves by increasing the dilution of hydrogen using these or other gases. For higher hydrogen dilution, smoother interfaces were produced (epitaxial silicon to crystalline silicon) and fewer stacking faults and other defects were observed.
  • Radio-frequency (RF) or direct current (DC) plasma enhanced chemical vapor deposition (CVD) is preferably performed at deposition temperature ranges from about room temperature to about 500 degrees C., and preferably from about 150 degrees C. to about 250 degrees C. Plasma power density may range from about 2 mW/cm2 to about 2000 mW/cm2. A deposition pressure range may be from about 10 mtorr to about 5 ton.
  • In one embodiment, high dopant activation can be obtained at temperatures as low as 150 degrees C. This makes the present methods attractive for applications in 3D integration and raised S/D fabrications. The epitaxial Si may contain, e.g., carbon, germanium, phosphorus, arsenic, boron, etc. The low-temperature epitaxial Si may be grown on different substrates, such as Si, Ge, and III-Vs. For example, an epitaxial silicon layer was grown by the present inventors on GaAs at about 200 degrees Celsius in accordance with the present principles.
  • Referring to FIG. 2A, sheet resistivity of phosphorus doped epitaxial silicon (epi-Si) for various PH3 gas flows is shown. Sheet resistivity for ˜40-50 nm thick epi-Si doped for various PH3 gas flows indicates a high dopant activation in silicon. The hydrogen to silane gas ratio was 14. Secondary ion mass spectroscopy (SIMS) was carried out for the samples denoted as 1, 2, and 3.
  • FIG. 2B shows P concentration (atoms/cm3) versus depth (nm) for samples 1, 2 and 3. The P concentration is linearly proportional with PH3 (see inset 210). A corresponding level of the electrically active dopants from the sheet resistivity measurements for the samples 1 and 2 is in agreement with the actual concentration of dopants given by the SIMS data. The concentration of the electrically active dopants for the sample 3, however, is much lower than the total incorporated dopants, evident from the SIMS analysis of FIG. 2B. The epitaxial growth of silicon was disrupted by increasing the [PH3]/[SiH4] for sample 3, and a non-epitaxial phase of silicon began to grow. Similar results were obtained for Boron incorporation. It should be understood that the dopant gas concentration should be maintained below a threshold gas ratio to avoid a non-crystalline silicon phase or to provide a non-epitaxial phase, if desired. With respect to FIG. 2B, the threshold appears to be at about a gas ratio of [PH3]/[SiH4] between about 5-8. Other gases/dopant processes have other thresholds.
  • Referring to FIGS. 3A-3C, in another embodiment, selective growth of epitaxial silicon 302 on predetermined areas of a substrate 304 may be obtained by in-situ etching of non-epitaxial silicon in H2 plasma 308. An etching process of amorphous silicon is employed to concurrently form epitaxial silicon on exposed crystalline silicon areas. It should be understood that the epitaxial growth and etching may be performed sequentially or concurrently as needed. In FIG. 3A, a window 312 is opened up within an insulator (e.g., silicon oxide (SiO2)) layer 306, which is formed on substrate 304. Silicon 302 is deposited at, e.g., 500 mTorr, [H2]/[SiH4]=14 and power density of 4 mW/cm2. As a result, the silicon 302 is epitaxial within the window areas 312 where the silicon 302 is exposed to c-Si of the substrate 304. The silicon on the insulator (e.g., oxide) 306 forms as non-epitaxial (e.g., amorphous) silicon 310.
  • In FIG. 3B, a H2 plasma etch 308 is performed at 150 degrees C. at 900 mtorr, resulting in an etch selectivity of approximately 1:3 for c-Si 304 with respect to a-Si:H 310. FIG. 3C shows the selective epitaxial silicon 302 and the non-epitaxial (amorphous in this case) Si 310 removed. It should be understood that a non-epitaxial portion (amorphous Si 310) grown on the insulator 306 can be etched using gases such as, e.g., H2, HCl, Cl2, Ar, etc. The epitaxial deposition and the H2 plasma etch may be performed sequentially or concurrently in a same chamber. The selective epitaxial growth can be achieved either by alternating gas pulses responsible for the epitaxial growth (e.g., silane and dopant species) and the etch (plasma etchants, e.g., H2, HCl, etc.) or by simultaneous flow of all the gases.
  • Referring to FIG. 4, a method for selective epitaxial growth is illustratively shown. In block 402, an exposed crystalline region of a substrate material is provided. This may include opening up windows in a dielectric layer or patterning a layer on the substrate. The substrate material may include Si, Ge, III-V materials, etc.
  • In block 404, silicon is epitaxially deposited on the substrate material in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius, and preferably less than 250 degrees Celsius. The process is selective to exposed areas of the substrate. The process preferably includes a radio frequency or direct current plasma enhanced chemical vapor deposition process.
  • In block 408, a source gas is diluted with a dilution gas including at least one of H2, HCl, Cl2 and Ar with a gas ratio of dilution gas to source gas of less than 1000. The source gas may include one of SiH4, dichlorosilane (DCS), SiF4 or SiCl4. In a particular useful embodiment, SiH4 is employed with H2 with a gas ratio [H2]/[SiH4] of over 5.
  • In block 410, a dopant species or multiple dopant species may be introduced with a gas ratio which provides a doped epitaxial silicon. The doped epitaxial silicon may include at least one of carbon, germanium, phosphorus, arsenic or boron.
  • Referring to FIG. 5, another method for selective epitaxial growth is illustratively shown, which employs, e.g., an RF or DC plasma enhanced chemical vapor deposition process. In block 502, a crystalline substrate material is provided. The substrate material may include Si, Ge, III-V materials, etc. In block 504, an oxide or insulator is grown on the substrate material. In block 506, the insulator (e.g., oxide) is opened up or patterned to form exposed areas of the substrate material.
  • In block 512, silicon is deposited on the exposed areas of the substrate material to form epitaxial silicon on the exposed areas and form non-epitaxial silicon in other than the exposed areas in a low temperature process (e.g., deposition temperature less than 500 degrees Celsius, and more preferably less than 250 degrees Celsius). In block 514, silicon deposition includes diluting a source gas with a dilution gas including at least one of H2, HCl, Cl2 and Ar with a gas ratio of dilution gas to source gas of less than 1000, wherein the source gas includes one of SiH4, dichlorosilane (DCS), SiF4 or SiCl4.
  • In block 516, the non-epitaxial (e.g., amorphous or polysilicon) silicon is selectively etched using a plasma, and further epitaxial deposition of silicon is performed over the exposed areas. The plasma may include at least one of H2, HCl, Cl2 or Ar.
  • In block 518, a dopant species or multiple dopant species may be introduced with a gas ratio which provides a doped epitaxial silicon. The doped epitaxial silicon may include at least one of carbon, germanium, phosphorus, arsenic or boron. In block 520, selective epitaxial growth is provided by alternating the depositing and etching steps, or the depositing and etching are concurrently performed.
  • Having described preferred embodiments for ultra low-temperature selective epitaxial growth of silicon for device integration (which are intended to be illustrative and not limiting), it is noted that modifications and variations can be made by persons skilled in the art in light of the above teachings. It is therefore to be understood that changes may be made in the particular embodiments disclosed which are within the scope of the invention as outlined by the appended claims. Having thus described aspects of the invention, with the details and particularity required by the patent laws, what is claimed and desired protected by Letters Patent is set forth in the appended claims.

Claims (11)

What is claimed is:
1. An epitaxy method, comprising:
providing a crystalline substrate material;
growing an insulator on the substrate material;
opening the insulator to form exposed areas of the substrate material;
depositing silicon on the exposed areas of the substrate material to form epitaxial silicon on the exposed areas and form non-epitaxial silicon in other than the exposed areas in a low temperature process wherein a deposition temperature is less than 500 degrees Celsius; and
etching the non-epitaxial silicon using a plasma to further epitaxial deposition of silicon over the exposed areas.
2. The method as recited in claim 1, wherein depositing silicon includes a radio frequency or direct current plasma enhanced chemical vapor deposition process.
3. The method as recited in claim 1, wherein depositing silicon includes diluting a source gas with a dilution gas including at least one of H2, HCl, Cl2 and Ar with a gas ratio of dilution gas to source gas of less than 1000, wherein the source gas includes one of SiH4, dichlorosilane (DCS), SiF4 or SiCl4.
4. The method as recited in claim 3, wherein diluting include diluting SiH4 with at least one of H2 with a gas ratio of over 5.
5. The method as recited in claim 1, wherein the deposition temperature is less than 250 degrees Celsius.
6. The method as recited in claim 1, wherein the substrate material includes one of Si, Ge, and III-V materials.
7. The method as recited in claim 1, further comprising introducing a dopant with a gas ratio which provides a doped epitaxial silicon.
8. The method as recited in claim 7, wherein the doped epitaxial silicon includes at least one of carbon, germanium, phosphorus, arsenic or boron.
9. The method as recited in claim 1, wherein the plasma includes at least one of H2, HCl, Cl2 or Ar.
10. The method as recited in claim 1, wherein selective epitaxial growth is provided by alternating the depositing and etching steps.
11. The method as recited in claim 1, wherein the steps of depositing and etching are concurrently performed.
US14/711,403 2011-02-23 2015-05-13 Low-temperature selective epitaxial growth of silicon for device integration Abandoned US20150247259A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/711,403 US20150247259A1 (en) 2011-02-23 2015-05-13 Low-temperature selective epitaxial growth of silicon for device integration
US15/371,366 US20170081781A1 (en) 2011-02-23 2016-12-07 Low-temperature selective epitaxial growth of silicon for device integration

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/032,866 US10011920B2 (en) 2011-02-23 2011-02-23 Low-temperature selective epitaxial growth of silicon for device integration
US14/711,403 US20150247259A1 (en) 2011-02-23 2015-05-13 Low-temperature selective epitaxial growth of silicon for device integration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/032,866 Division US10011920B2 (en) 2011-02-23 2011-02-23 Low-temperature selective epitaxial growth of silicon for device integration

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/371,366 Division US20170081781A1 (en) 2011-02-23 2016-12-07 Low-temperature selective epitaxial growth of silicon for device integration

Publications (1)

Publication Number Publication Date
US20150247259A1 true US20150247259A1 (en) 2015-09-03

Family

ID=46651684

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/032,866 Active 2034-04-09 US10011920B2 (en) 2011-02-23 2011-02-23 Low-temperature selective epitaxial growth of silicon for device integration
US14/711,403 Abandoned US20150247259A1 (en) 2011-02-23 2015-05-13 Low-temperature selective epitaxial growth of silicon for device integration
US15/371,366 Abandoned US20170081781A1 (en) 2011-02-23 2016-12-07 Low-temperature selective epitaxial growth of silicon for device integration
US15/976,224 Abandoned US20180258549A1 (en) 2011-02-23 2018-05-10 Low-temperature selective epitaxial growth of silicon for device integration

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/032,866 Active 2034-04-09 US10011920B2 (en) 2011-02-23 2011-02-23 Low-temperature selective epitaxial growth of silicon for device integration

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/371,366 Abandoned US20170081781A1 (en) 2011-02-23 2016-12-07 Low-temperature selective epitaxial growth of silicon for device integration
US15/976,224 Abandoned US20180258549A1 (en) 2011-02-23 2018-05-10 Low-temperature selective epitaxial growth of silicon for device integration

Country Status (5)

Country Link
US (4) US10011920B2 (en)
JP (1) JP2014512669A (en)
CN (1) CN103380480B (en)
DE (1) DE112012000962B4 (en)
WO (1) WO2012115743A1 (en)

Cited By (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020028028A1 (en) * 2018-07-30 2020-02-06 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8871620B2 (en) 2011-07-28 2014-10-28 International Business Machines Corporation III-V photovoltaic elements
US8980737B2 (en) 2012-05-24 2015-03-17 International Business Machines Corporation Methods of forming contact regions using sacrificial layers
US8889529B2 (en) 2012-05-24 2014-11-18 International Business Machines Corporation Heterojunction bipolar transistors with thin epitaxial contacts
US9064924B2 (en) 2012-05-24 2015-06-23 International Business Machines Corporation Heterojunction bipolar transistors with intrinsic interlayers
US9093548B2 (en) 2012-06-06 2015-07-28 International Business Machines Corporation Thin film hybrid junction field effect transistor
US9166072B2 (en) 2012-09-04 2015-10-20 International Business Machines Corporation Field-effect localized emitter photovoltaic device
US9059212B2 (en) * 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
US9859455B2 (en) 2013-02-08 2018-01-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device with a floating junction front surface field
US9640699B2 (en) 2013-02-08 2017-05-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device
US9276077B2 (en) 2013-05-21 2016-03-01 Globalfoundries Inc. Contact metallurgy for self-aligned high electron mobility transistor
US9231094B2 (en) 2013-05-21 2016-01-05 Globalfoundries Inc. Elemental semiconductor material contact for high electron mobility transistor
US9087705B2 (en) 2013-06-05 2015-07-21 International Business Machines Corporation Thin-film hybrid complementary circuits
US9356114B2 (en) 2013-10-01 2016-05-31 Globalfoundries Inc. Lateral heterojunction bipolar transistor with low temperature recessed contacts
US10651252B2 (en) 2014-03-26 2020-05-12 International Business Machines Corporation Vertically integrated active matrix backplane
US10079288B2 (en) 2016-06-07 2018-09-18 International Business Machines Corporation Contact formation on germanium-containing substrates using hydrogenated silicon
US11088033B2 (en) 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
US10504723B2 (en) * 2017-01-05 2019-12-10 Applied Materials, Inc. Method and apparatus for selective epitaxy
US20180308685A1 (en) * 2017-04-21 2018-10-25 Applied Materials, Inc. Low temperature selective epitaxial silicon deposition
TWI711716B (en) 2017-06-06 2020-12-01 美商應用材料股份有限公司 Selective deposition of silicon using deposition-treat-etch process
US10347667B2 (en) 2017-07-26 2019-07-09 International Business Machines Corporation Thin-film negative differential resistance and neuronal circuit
CN107731735B (en) * 2017-11-21 2020-02-14 长江存储科技有限责任公司 SEG preparation process for improving SEG growth form through mild wet etching
US10090415B1 (en) 2017-11-29 2018-10-02 International Business Machines Corporation Thin film transistors with epitaxial source/drain contact regions
US10256271B1 (en) 2017-11-30 2019-04-09 International Business Machines Corporation Phase change memory array with integrated polycrystalline diodes
US10312438B1 (en) 2017-12-18 2019-06-04 International Business Machines Corporation Resistive memory with amorphous silicon filaments
US10672490B2 (en) 2018-01-17 2020-06-02 International Business Machines Corporation One-time-programmable memory in a high-density three-dimensional structure
WO2019173624A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. A method for si gap fill by pecvd
US10374103B1 (en) 2018-03-28 2019-08-06 International Business Machines Corporation Crystallized silicon vertical diode on BEOL for access device for confined PCM arrays
US10930705B2 (en) 2018-03-28 2021-02-23 International Business Machines Corporation Crystallized silicon vertical diode on BEOL for access device for confined PCM arrays
US10615225B2 (en) 2018-08-22 2020-04-07 International Business Machines Corporation Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors
US11018188B2 (en) 2019-06-03 2021-05-25 International Business Machines Corporation Three-dimensional stackable multi-layer cross-point memory with bipolar junction transistor selectors
US10903275B2 (en) 2019-06-03 2021-01-26 International Business Machines Corporation Three-dimensional stackable multi-layer cross-point memory with single-crystalline bipolar junction transistor selectors
US20230307506A1 (en) * 2022-03-22 2023-09-28 Applied Materials, Inc. Low temperature n-type contact epi formation
CN115491655A (en) * 2022-10-05 2022-12-20 江苏筑磊电子科技有限公司 Microwave plasma auxiliary method for low-temperature cleaning and deposition in semiconductor technology

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040014307A1 (en) * 2002-07-19 2004-01-22 Shin Dong Suk Method for fabricating semiconductor device
US20040048454A1 (en) * 2002-09-10 2004-03-11 Kiyofumi Sakaguchi Substrate and manufacturing method therefor
US20060115934A1 (en) * 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US20060234504A1 (en) * 2005-02-04 2006-10-19 Matthias Bauer Selective deposition of silicon-containing films
US20070042569A1 (en) * 2005-08-18 2007-02-22 Tokyo Electron Limited Low temperature formation of patterned epitaxial Si containing films
US20090151623A1 (en) * 2007-12-12 2009-06-18 Atmel Corporation Formation and applications of high-quality epitaxial films
US20100071767A1 (en) * 2008-09-24 2010-03-25 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and manufacturing method thereof

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4473597A (en) 1978-02-01 1984-09-25 Rca Corporation Method and structure for passivating a PN junction
US4686763A (en) 1985-10-02 1987-08-18 Advanced Micro Devices, Inc. Method of making a planar polysilicon bipolar device
US5159424A (en) 1988-12-10 1992-10-27 Canon Kabushiki Kaisha Semiconductor device having a high current gain and a higher ge amount at the base region than at the emitter and collector region, and photoelectric conversion apparatus using the device
CA2055400C (en) 1990-11-15 1998-08-04 Kenji Yamagata Method of forming crystal
US5106767A (en) 1990-12-07 1992-04-21 International Business Machines Corporation Process for fabricating low capacitance bipolar junction transistor
US5117271A (en) 1990-12-07 1992-05-26 International Business Machines Corporation Low capacitance bipolar junction transistor and fabrication process therfor
JPH04333288A (en) 1991-05-08 1992-11-20 Canon Inc Manufacture of solar cell
FR2711276B1 (en) 1993-10-11 1995-12-01 Neuchatel Universite Photovoltaic cell and method of manufacturing such a cell.
US5895766A (en) 1995-09-20 1999-04-20 Micron Technology, Inc. Method of forming a field effect transistor
US6020246A (en) 1998-03-13 2000-02-01 National Semiconductor Corporation Forming a self-aligned epitaxial base bipolar transistor
JP4228458B2 (en) 1999-03-16 2009-02-25 ソニー株式会社 Manufacturing method of semiconductor device
CH694699A5 (en) * 1999-04-29 2005-06-15 Balzers Hochvakuum A process for the production of silicon.
US7476420B2 (en) 2000-10-23 2009-01-13 Asm International N.V. Process for producing metal oxide films at low temperatures
JP2002217433A (en) 2001-01-18 2002-08-02 Sharp Corp Semiconductor device
US6617220B2 (en) 2001-03-16 2003-09-09 International Business Machines Corporation Method for fabricating an epitaxial base bipolar transistor with raised extrinsic base
CN1365139A (en) 2001-04-12 2002-08-21 中国科学院长春光学精密机械与物理研究所 Method for removing oxide on silicon surface under low temperature and epitaxial growth
NL1017849C2 (en) 2001-04-16 2002-10-30 Univ Eindhoven Tech Method and device for depositing an at least partially crystalline silicon layer on a substrate.
US6759731B2 (en) 2002-06-05 2004-07-06 United Microelectronics Corp. Bipolar junction transistor and fabricating method
US6872606B2 (en) 2003-04-03 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with raised segment
US6998275B2 (en) 2003-04-09 2006-02-14 Texas Instruments Incorporated Hydrogen-less CVD TiN process for FeRAM VIA0 barrier application
US8664525B2 (en) 2003-05-07 2014-03-04 Imec Germanium solar cell and method for the production thereof
KR100586737B1 (en) 2003-12-26 2006-06-08 한국전자통신연구원 NMOS DEVICE, PMOS DEVICE AND SiGe BiCMOS DEVICE ON SOI SUBSTRATE AND METHOD OF FABRICATING THE SAME
US20060084243A1 (en) 2004-10-20 2006-04-20 Ying Zhang Oxidation sidewall image transfer patterning method
US20060130891A1 (en) 2004-10-29 2006-06-22 Carlson David E Back-contact photovoltaic cells
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
FR2880989B1 (en) 2005-01-20 2007-03-09 Commissariat Energie Atomique SEMICONDUCTOR DEVICE WITH HETEROJUNCTIONS AND INTERDIGITAL STRUCTURE
US7468485B1 (en) 2005-08-11 2008-12-23 Sunpower Corporation Back side contact solar cell with doped polysilicon regions
JP2009521801A (en) 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド Epitaxial deposition of doped semiconductor materials.
US20070169808A1 (en) 2006-01-26 2007-07-26 Kherani Nazir P Solar cell
US20070235759A1 (en) 2006-04-11 2007-10-11 International Business Machines Corporation CMOS process with Si gates for nFETs and SiGe gates for pFETs
US7737357B2 (en) 2006-05-04 2010-06-15 Sunpower Corporation Solar cell having doped semiconductor heterojunction contacts
CA2661047A1 (en) * 2006-05-15 2007-11-22 Arise Technologies Corporation Low-temperature doping processes for silicon wafer devices
DE102006042617B4 (en) 2006-09-05 2010-04-08 Q-Cells Se Method for generating local contacts
KR20100015622A (en) 2007-03-16 2010-02-12 비피 코포레이션 노쓰 아메리카 인코포레이티드 Solar cells
JP5142565B2 (en) 2007-03-20 2013-02-13 三洋電機株式会社 Manufacturing method of solar cell
JP2008311286A (en) 2007-06-12 2008-12-25 Canon Inc Semiconductor thin film and its manufacturing method
US8053810B2 (en) 2007-09-07 2011-11-08 International Business Machines Corporation Structures having lattice-mismatched single-crystalline semiconductor layers on the same lithographic level and methods of manufacturing the same
DE102007059486A1 (en) 2007-12-11 2009-06-18 Institut Für Solarenergieforschung Gmbh Rear contact solar cell with elongated, interleaved emitter and base regions at the back and manufacturing method thereof
WO2009094578A2 (en) 2008-01-24 2009-07-30 Applied Materials, Inc. Improved hit solar cell structure
US7622365B2 (en) 2008-02-04 2009-11-24 Micron Technology, Inc. Wafer processing including dicing
JP2009200419A (en) 2008-02-25 2009-09-03 Seiko Epson Corp Method for manufacturing solar cell manufacturing method
TW201019482A (en) 2008-04-09 2010-05-16 Applied Materials Inc Simplified back contact for polysilicon emitter solar cells
US7999175B2 (en) 2008-09-09 2011-08-16 Palo Alto Research Center Incorporated Interdigitated back contact silicon solar cells with laser ablated grooves
EP2315258A1 (en) 2008-10-30 2011-04-27 Mitsubishi Heavy Industries, Ltd. Process for producing photoelectric conversion apparatus
US20100186802A1 (en) 2009-01-27 2010-07-29 Peter Borden Hit solar cell structure
GB2467360A (en) 2009-01-30 2010-08-04 Renewable Energy Corp Asa Contact for a solar cell
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
DE102009024807B3 (en) 2009-06-02 2010-10-07 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Solar cell has photo-active, semiconducting absorber layer, where alternating adjacent arrangement of electrically insulating passivation areas on back of absorber layer with thickness
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
KR101248163B1 (en) 2009-09-10 2013-03-27 엘지전자 주식회사 Interdigitated back contact solar cell and manufacturing method thereof
US20110132444A1 (en) 2010-01-08 2011-06-09 Meier Daniel L Solar cell including sputtered reflective layer and method of manufacture thereof
US8790957B2 (en) 2010-03-04 2014-07-29 Sunpower Corporation Method of fabricating a back-contact solar cell and device thereof
JP6296793B2 (en) 2010-04-06 2018-03-20 シン フィルム エレクトロニクス エーエスエー Epitaxial structure, method for forming the same, and device including the same
US8686283B2 (en) 2010-05-04 2014-04-01 Silevo, Inc. Solar cell with oxide tunneling junctions
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US9099596B2 (en) 2011-07-29 2015-08-04 International Business Machines Corporation Heterojunction photovoltaic device and fabrication method
US20130228221A1 (en) 2011-08-05 2013-09-05 Solexel, Inc. Manufacturing methods and structures for large-area thin-film solar cells and other semiconductor devices
US9640676B2 (en) 2012-06-29 2017-05-02 Sunpower Corporation Methods and structures for improving the structural integrity of solar cells

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040014307A1 (en) * 2002-07-19 2004-01-22 Shin Dong Suk Method for fabricating semiconductor device
US20040048454A1 (en) * 2002-09-10 2004-03-11 Kiyofumi Sakaguchi Substrate and manufacturing method therefor
US20060115934A1 (en) * 2004-12-01 2006-06-01 Yihwan Kim Selective epitaxy process with alternating gas supply
US20060234504A1 (en) * 2005-02-04 2006-10-19 Matthias Bauer Selective deposition of silicon-containing films
US20070042569A1 (en) * 2005-08-18 2007-02-22 Tokyo Electron Limited Low temperature formation of patterned epitaxial Si containing films
US20090151623A1 (en) * 2007-12-12 2009-06-18 Atmel Corporation Formation and applications of high-quality epitaxial films
US20100071767A1 (en) * 2008-09-24 2010-03-25 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and manufacturing method thereof

Cited By (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI828731B (en) * 2018-07-30 2024-01-11 美商應用材料股份有限公司 Method of selective silicon germanium epitaxy at low temperatures
WO2020028028A1 (en) * 2018-07-30 2020-02-06 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US11018003B2 (en) 2018-07-30 2021-05-25 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
US20120210932A1 (en) 2012-08-23
CN103380480A (en) 2013-10-30
US20180258549A1 (en) 2018-09-13
CN103380480B (en) 2016-06-22
DE112012000962T5 (en) 2013-11-21
JP2014512669A (en) 2014-05-22
US10011920B2 (en) 2018-07-03
US20170081781A1 (en) 2017-03-23
WO2012115743A1 (en) 2012-08-30
DE112012000962B4 (en) 2020-11-12

Similar Documents

Publication Publication Date Title
US20180258549A1 (en) Low-temperature selective epitaxial growth of silicon for device integration
US10541312B2 (en) Air-gap top spacer and self-aligned metal gate for vertical fets
US9287399B2 (en) Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
US9312131B2 (en) Selective epitaxial formation of semiconductive films
US8394712B2 (en) Cavity-free interface between extension regions and embedded silicon-carbon alloy source/drain regions
US9812567B1 (en) Precise control of vertical transistor gate length
US20070082451A1 (en) Methods to fabricate mosfet devices using a selective deposition process
US8673699B2 (en) Semiconductor structure having NFET extension last implants
KR20070100401A (en) Selective deposition of silicon-containing films
US9129938B1 (en) Methods of forming germanium-containing and/or III-V nanowire gate-all-around transistors
US20070054460A1 (en) System and method for providing a nanoscale, highly selective, and thermally resilient silicon, germanium, or silicon-germanium etch-stop
US20140252501A1 (en) Sacrificial replacement extension layer to obtain abrupt doping profile
US9484430B2 (en) Back-end transistors with highly doped low-temperature contacts
US20070298594A1 (en) Semiconductor device fabrication method
US10692716B2 (en) Self-aligned spacerless thin film transistor
US9059245B2 (en) Semiconductor-on-insulator (SOI) substrates with ultra-thin SOI layers and buried oxides
US9349864B1 (en) Methods for selectively forming a layer of increased dopant concentration
WO2020072212A1 (en) Method of forming an rf silicon on insulator device

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HEKMATSHOAR-TABARI, BAHMAN;KHAKIFIROOZ, ALI;REZNICEK, ALEXANDER;AND OTHERS;SIGNING DATES FROM 20150506 TO 20150512;REEL/FRAME:035631/0846

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION